WO2006085356A1 - 光入力断検出装置 - Google Patents

光入力断検出装置 Download PDF

Info

Publication number
WO2006085356A1
WO2006085356A1 PCT/JP2005/001850 JP2005001850W WO2006085356A1 WO 2006085356 A1 WO2006085356 A1 WO 2006085356A1 JP 2005001850 W JP2005001850 W JP 2005001850W WO 2006085356 A1 WO2006085356 A1 WO 2006085356A1
Authority
WO
WIPO (PCT)
Prior art keywords
alarm
los
optical
lol
ber
Prior art date
Application number
PCT/JP2005/001850
Other languages
English (en)
French (fr)
Inventor
Yoshihiro Onoda
Takashi Sekiguchi
Takao Sumiya
Yoshihiro Kaneda
Original Assignee
Fujitsu Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Limited filed Critical Fujitsu Limited
Priority to PCT/JP2005/001850 priority Critical patent/WO2006085356A1/ja
Priority to CN200580047915.7A priority patent/CN101116267B/zh
Priority to JP2007502499A priority patent/JP4528827B2/ja
Publication of WO2006085356A1 publication Critical patent/WO2006085356A1/ja
Priority to US11/889,051 priority patent/US7684700B2/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/07Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems
    • H04B10/075Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal
    • H04B10/079Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal using measurements of the data signal
    • H04B10/0795Performance monitoring; Measurement of transmission parameters
    • H04B10/07955Monitoring or measuring power
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/03Arrangements for fault recovery

Definitions

  • the present invention relates to an optical transmission apparatus, and particularly detects an input signal interruption of an optical signal received by a terminal station or a relay station in a synchronous optical communication network such as SDH (Synchronous Digital Hierarchy) or SONET (Synchronous Optical Network).
  • SDH Synchronous Digital Hierarchy
  • SONET Synchronous Optical Network
  • An end station or relay station in a synchronous optical communication network detects an error such as an optical input interruption by monitoring an input level of an optical signal received from an opposite station and calculating an error rate of received data. It has.
  • an abnormality such as loss of optical input (LOS)
  • the terminal station or relay station switches the communication path from the work system to the protect system to maintain the normal communication state, An alarm to that effect is output to the operator terminal and the next terminal station.
  • LOS loss of optical input
  • FIG. 1 shows an example of a configuration of a conventional optical input break detection device in an optical transmission device.
  • an optical signal transmitted from a counter station is transmitted to an optical transceiver 1 of the own station. Then, it is input to the data power clock 'data recovery unit (CDR: Clock & Data Recovery) 2 which has been converted to optical / electrical (OZE).
  • CDR clock 'data recovery unit
  • the optical transceiver 1 includes an optical output constant control unit and the like (not shown). If a signal below a predetermined optical input level is detected using an optical AGC control signal, etc., a serious failure (SF) will occur. Outputs the corresponding light input loss (LOS) alarm to the data processing unit 3.
  • SF optical AGC control signal
  • clock 'data recovery unit 2 the clock component included in the input data signal is extracted to recover the reception clock, and the input data is sampled by the extracted clock to recover the reception data of the opposite local power. To do. Clock 'Data and clock recovered by the data recovery unit 2 are both output to the data processing unit 3.
  • the data processing unit 3 performs a decoding process on the received data to restore the source data.
  • bit error rate (BER) of the received data is calculated and the communication line
  • SD signal degradation
  • the data processor 3 switches the data from the work system to the protect system and executes the alarm processing of the line abnormality, and the continuous data value “0” is transferred to the next stage. Output.
  • FIG. 2 shows another configuration example of a conventional optical input break detection device.
  • the asynchronous state indicating the asynchronous (free-running) state output by the PLL (Phase Locked loop) circuit etc. in the clock 'data regeneration unit 2 is lost.
  • the (LOL: Loss of Lock) alarm is output to the data processor 3 in response to a severe failure (SF).
  • SF severe failure
  • Patent Document 1 JP 2001-339347 A
  • Patent Document 2 JP-A-7-95156
  • LOS in FIG 1 is set equal to the failure level and SF, for example SD is BER IE- 6 (10- 6), and LOS (SF) is the BER IE- 4 (10- 4) degree Is done.
  • the conventional optical transino 1 uses the fact that a continuous signal with a data value of “0” is output when the optical input level falls below a predetermined level. 'A method was also used in which the LOL alarm was output as soon as the data playback unit 2 detected it.
  • the optical transceiver 1 has a built-in amplifier associated with constant optical output control to improve reception sensitivity and extend the reception range, and the optical signal is a small signal with a noise level. Even if it exists, it has the structure where the data after amplification containing noise are output. For this reason, the conventional method (continuous zero detection) cannot be used in the next clock 'data recovery unit 2'.
  • an object of the present invention is to monitor the input level of a received optical signal, and immediately detect a severe fault (SF) when the optical input level is higher than a predetermined level. If the level is less than or equal to the specified level, priority is given to detection of minor faults (SD), and detection of severe faults (SF) is allowed after detection, thereby enabling early SF detection based on the light input level and SD force SF. Therefore, it is desirable to provide an optical input break detection device that can ensure both the detection order and the detection order.
  • SD minor faults
  • SF severe faults
  • an optical input unit that outputs received light power reduction information and an LOS alarm based on measurement of received light power of an optical input signal, and a synchronous clock included in the optical input signal are extracted,
  • a synchronization unit that outputs a LOL alarm when out of synchronization and the presence or absence of the received light power drop information are determined. If there is, the LOS alarm is enabled by the output of the LOL alarm, and if not, the LOS alarm is output.
  • a light input break detection device is provided which has a light input break detection unit that immediately activates and detects a light input break by the effective LOS alarm.
  • the light input interruption detection unit is also configured to output the LOL alarm output if the light input interruption is present. Instead of enabling the LOS alarm, the LOL alarm output is regarded as a valid LOS alarm.
  • the optical input break detection device further includes a BER measurement unit that measures the BER of the received data regenerated using the synchronous clock and determines an SD level failure state when the received data is equal to or higher than a predetermined BER value.
  • the BER value in the SD level failure state is smaller than the BER value in the LOS level or LOL level failure state. Also, the BER value in the failure state of the LOS level or the LOL level is substantially equal to the BER value in the failure state of the SF level.
  • the received light power reduction information is output after the elapse of a predetermined delay time or the force output at every predetermined period or the time force at which the information is acquired.
  • an optical input disconnection is detected due to a serious failure caused by a device failure or line disconnection.
  • LOS is detected immediately to satisfy the SF detection time within 100 ⁇ S of specification GR-253.
  • the optical input level drops below the minimum reception level (with light reception power reduction information)
  • the light reception level from the opposite station is usually sufficiently higher than the minimum reception level of the receiving station. It can be assumed that it has gradually occurred due to deterioration over time of the intra-station equipment and inter-station transmission path and changes in ambient temperature. Therefore, in the state where the optical input signal level is reduced, BER is measured during the continuous operation of the system to detect a minor failure (SD; BER IE—6) that allows the continuous operation of the system. Severe failure (LOL; BER IE-4) detected after failure (after SD detection).
  • SD minor failure
  • LOL BER IE-4
  • FIG. 1 is a diagram showing a configuration example of a conventional light input break detection device.
  • FIG. 2 is a diagram showing another configuration example of a conventional light input break detection device.
  • FIG. 3 is a diagram showing a first embodiment of a light input break detection device according to the present invention.
  • FIG. 4 is a diagram showing an example of a control flow of a LOS control unit.
  • FIG. 5 is a diagram showing an example of a specific circuit configuration of a LOS control unit.
  • FIG. 6 is a diagram showing an example (1) of the operation time chart of FIG.
  • FIG. 7 is a diagram showing an example (2) of the operation time chart of FIG. 3.
  • FIG. 8 is a view showing a second embodiment of the light input break detecting device according to the present invention.
  • FIG. 9 is a diagram showing an example (1) of the operation time chart of FIG.
  • FIG. 10 is a diagram showing an example (2) of the operation time chart of FIG.
  • FIG. 3 shows a first embodiment of the optical input break detection device according to the present invention.
  • the optical transceiver 1, the clock data recovery unit (CDR) 2, and the data processing unit 3 are the same as in the conventional example. Therefore, it will not be further described here. Due to the MSA of optical transceivers, the recent optical transceiver 1 has various monitoring functions such as optical output power, internal temperature, power supply voltage, etc., and Z or detection functions. In the present invention, the received light power monitoring function or the received light power alarm function is used for LOS detection.
  • the LOS control unit 4 newly added in this example receives the light reception power drop information and the LOS alarm from the optical transceiver 1 and the LOL alarm from the clock / data recovery unit 2, Controls the passage of the LOS alarm to the optical transceiver 1 power data processing unit 3 based on the received light power reduction information and the LOL alarm.
  • the data processing unit 3 executes the same processing as in the conventional example of FIG.
  • the LOS control unit 4 performs LOS alarm or clock data recovery from the optical transceiver 1, both of which indicate a severe failure (BER IE—4), based on the received power reduction information from the optical transceiver 1. Either one of the LOL alarms from part 2 may be output (see Figure 5 below).
  • the data processing unit 3 executes the same processing as the conventional example in FIG. 2 when the LOL alarm is given.
  • FIG. 4 shows an example of the control flow of the LOS control unit 4.
  • the LOS control unit 4 receives received light power drop information from optical transceiver 1 (in this example Monitors the light reception power drop alarm) and determines whether or not it is present (S01).
  • the light reception power reduction alarm is output, for example, when the light reception power value measured at predetermined intervals or the average value thereof is less than the minimum light reception power guarantee value.
  • the LOS alarm from the optical transceiver 1 is monitored to determine whether it is present (S03).
  • an LOS alarm is detected (ON)
  • it is output to the data processor 3 (S04).
  • the process returns to the monitoring of the received light power reduction alarm (S01).
  • the LOS control unit 4 outputs the input LOS alarm to the information processing unit 3 as it is. Can satisfy the specified value of 100 ⁇ S.
  • the LOL alarm of the clock 'data reproduction unit 2 force is monitored to determine whether or not there is (S02). If an LOL alarm is detected (ON), the LOS alarm input from the optical transceiver 1 is passed and output to the information processing unit 3 (S04). If the LOL alarm is not detected (OFF), the process returns to the monitoring of the received power low alarm (S01).
  • the LOS control unit 4 sets the condition for detecting the LOL alarm from the clock 'data recovery unit 2 (LOL The LOS alarm input after detection is output to the information processing unit 3. This protects the order of SD and LOS generation.
  • FIG. 5 shows an example of a specific circuit configuration of the LOS control unit.
  • the inverter 41 of the LOS control unit 4 receives the light reception power drop alarm from the optical transceiver 1, and the AND circuit 42 in the next stage receives the output of the inverter 41 and the LOS alarm from the optical transceiver 1. Entered. Therefore, the AND circuit 42 passes the LOS alarm from the optical transceiver 1 as it is when it does not detect the light reception power drop alarm (value “0”). On the other hand, if a light reception power drop alarm is detected (value "1”), the LOS alarm from optical transceiver 1 is prohibited.
  • the received power reduction alarm from the optical transceiver 1 is input to one input of the AND circuit 43, and the LOL alarm from the clock data recovery unit 2 is input to the other input. It is powered. Accordingly, when the AND circuit 43 does not detect the light reception power reduction alarm (value “0”), the AND circuit 43 prohibits the passage of the LOL alarm from the clock data recovery unit 2. On the other hand, when a light reception power drop alarm is detected (value "1"), the LOL alarm from the clock data recovery unit 2 is passed as it is.
  • the OR circuit 44 in the output stage outputs either the LOS alarm from the optical transceiver 1 or the LOL alarm from the clock data recovery unit 2 depending on the presence or absence of the received light power reduction alarm.
  • the LOS and LOL failure levels are both equal to the SF failure level (BER IE-4), so the output alarms in the figure are shown as LOST alarms.
  • the received light power is monitored by a received light power drop alarm from the optical transceiver 1 (it may be a received light level monitor signal), and if the received light power exceeds the minimum value guaranteed by the optical transmission device, the optical transceiver 1 Enables LOS monitoring based on the received light power, disables the LOS alarm based on the received light power below the minimum value of the received light power, and monitors the LOL alarm from the clock data recovery unit 2.
  • a received light power drop alarm from the optical transceiver 1 it may be a received light level monitor signal
  • the optical transceiver 1 Enables LOS monitoring based on the received light power, disables the LOS alarm based on the received light power below the minimum value of the received light power, and monitors the LOL alarm from the clock data recovery unit 2.
  • LOS detection can be performed within 100 S from the interruption of optical input within the range of received light power guaranteed by the optical transmission apparatus.
  • the SD force can also follow the LOS failure order by considering LOS detection by the LOL alarm output after SD detection using BER.
  • FIG. 6 and 7 show examples of operation time charts of the optical input break detection device according to the present invention.
  • Fig. 6 shows an example when the received light power is larger than the minimum light receiving threshold value guaranteed by the light input break detection device
  • Fig. 7 shows the minimum received light power value guaranteed by the light input break detection device. An example of each case is shown below.
  • Fig. 6 shows a severe failure (SF) caused by sudden fiber cable disconnection or the like when an optical signal with a received light power sufficiently larger than the minimum received power is input as shown in Fig. 6 (a). Shows an example of the operation when the optical input power becomes zero.
  • the received light power drop alarm output from the optical transceiver 1 is the received power value measured every cycle of several mS—several tens of mS, the average value thereof, and the minimum received power value (of Fig. 7 (a)).
  • Light output power threshold The force is also delayed in the order of mS by the predetermined period ((b) in FIG. 6).
  • the LOS control unit 4 determines that an optical signal of a light receiving part larger than the minimum light receiving power is being input until this light receiving power drop alarm is output.
  • the output power delay alarm output line (41 input in Fig. 4) is delayed by about lms by adding a capacitive element, for example, during which the received power as shown in Fig. 6 (a) is delayed. Let's make sure that the LO S alarm is output by detecting the instantaneous fluctuation of the ⁇ (see 42 in Fig. 5).
  • the LOS alarm output from the optical transceiver 1 is detected by a simple comparison between the optical input power and the LOS threshold value of the received light power (see (a) in FIG. 7). For this reason, the LOS alarm is output almost simultaneously with the light input interruption as shown in Fig. 6 (c). In this example, the LOL alarm from the clock / data recovery unit 2 shown in Fig. 6 (d) is ignored.
  • the received light power is larger than the minimum received power
  • the LOS alarm from the optical transceiver 1 passes through the LOS control unit 4 and is output to the data processing unit 3 as shown in Fig. 6 (e).
  • the data processing unit 3 starts alarm processing immediately. Therefore, as shown in the figure, if the LOS alarm is used, 100 S, which is the regulation of SF detection time (T), can be sufficiently satisfied.
  • Fig. 7 shows that the optical input power is gradually reduced due to aging of the inter-station equipment and the transmission line and changes in the ambient temperature (Fig. 7 (a)).
  • An example of the operation when the power alarm threshold is lower than the LOS threshold of the received power is shown.
  • an optical input signal with a received light power greater than the received light power alarm threshold can be received without error
  • an optical input signal with a received light power smaller than the LOS threshold for received light power cannot be received
  • An optical input signal with a received power between the alarm threshold and the received power LOS threshold can receive a BER level (SD) that allows the current system to continue operation.
  • SD BER level
  • an optical signal having a light reception power intermediate between the light reception power alarm threshold value and the LOS threshold value of the light reception power at the start of operation is targeted. For this reason, as in the case of Fig. 6, severe faults (SF) that do not need to consider intermediate received light power from the beginning due to line disconnection etc. are excluded from this example.
  • SF severe faults
  • the optical transceiver 1 outputs a LOS alarm when the light reception power of the optical input signal falls below the LOS threshold of the light reception power ((c) in FIG. 7).
  • the data processing unit 3 repeatedly calculates the BER, and when the BER power E-6 is reached, the reception state is determined as the SD level and the information is recorded.
  • the LOS control unit 4 detects the LOL alarm from the clock / data reproduction unit 2 when the BER is in the vicinity of IE-4 ((d) in FIG. 7).
  • the LOS control unit 4 outputs the LOS alarm from the optical transceiver 1 present at the time of detecting the LOL alarm or the detected LOL alarm to the data processing unit 3 as a LOS alarm (Fig. 7 (e)).
  • the data processing unit 3 starts severe fault processing (SF).
  • SF severe fault processing
  • FIG. 8-10 shows a second embodiment of the optical input break detection device according to the present invention.
  • the difference between the first embodiment shown in FIG. 3 and FIG. 8 is that in FIG. 3, the LOL alarm force output from the PLL circuit of the clock data recovery unit 2 is output to the S control unit 4.
  • the data processing unit 3 obtains the BER level IE-4 equivalent to the LOL alarm shown in Fig. 3 by software operation in the same way as SD, and uses the LOS control as a bit error error alarm instead of the LOL alarm. This is the point that is output to part 4. The rest is the same as the first embodiment.
  • FIGS. 9 and 10 showing the operation example of the second embodiment
  • (d) in FIG. 9 is the bit “error” alarm of the data processing unit
  • an optical input interruption (LOS) is immediately generated, and when the optical input power is equal to or lower than the minimum reception level, the SD force LOS can be generated in this order.

Landscapes

  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Optical Communication System (AREA)
  • Time-Division Multiplex Systems (AREA)

Abstract

 光伝送装置に関し、特にSDHやSONET等の同期光通信網において、端局や中継局等が受信する光信号の入力断をSD,SFの順序で検出する光入力断検出装置を提供する。光入力断検出装置は、光入力信号の受光パワーの計測に基づいて受光パワー低下情報とLOSアラームとを出力する光入力部と、前記光入力信号に含まれる同期クロックを抽出し、同期はずれのときにLOLアラームを出力する同期部と、前記受光パワー低下情報の有無を判定し、有りの場合は前記LOLアラームの出力によって前記LOSアラームを有効にし、無しの場合は前記LOSアラームを直ちに有効にして、その有効なLOSアラームによって光入力断を検出する光入力断検出部と、を有する。

Description

明 細 書
光入力断検出装置
技術分野
[0001] 本発明は光伝送装置に関し、特に SDH (Synchronous Digital Hierarchy)や SON ET (Synchronous Optical Network)等の同期光通信網において、端局や中継局等 が受信する光信号の入力断を検出するための光入力断検出装置に関するものであ る。
背景技術
[0002] 同期光通信網内の端局や中継局は、対向局から受信する光信号の入力レベル監 視ゃ受信データの誤り率の算出等を行って光入力断等の異常を検出する装置を備 えている。光入力断 (LOS : Loss of Signal)等の異常が検出されると、端局や中継局 は、正常な通信状態を維持するためにワーク系からプロテクト系へ通信経路を切り替 えたり、局内のオペレータ端末や次段の端局等へその旨の警報を出力する。
[0003] 図 1には、光伝送装置における従来の光入力断検出装置の一構成例を示している 図 1にお 、て、対抗局から送出された光信号は自局の光トランシーバ 1に入力され 、そこで光一電気(OZE: Optical/Electrical)変換されたデータ力 クロック 'データ再 生部(CDR: Clock & Data Recovery) 2へ入力される。
[0004] 光トランシーバ 1は、図示しない光出力一定制御部等を含んでおり、光 AGC制御 信号等を使って所定の光入力レベル以下の信号を検出すると重度の障害 (SF : Signal Failure)に相当する光入力断 (LOS)アラームをデータ処理部 3へ出力する。
[0005] クロック 'データ再生部 2では、入力データ信号に含まれるクロック成分を抽出して 受信クロックを再生し、その抽出したクロックによって入力データをサンプリングするこ とで対向局力 の受信データを再生する。クロック 'データ再生部 2で再生されたデー タ及びクロックは共にデータ処理部 3へ出力される。
[0006] データ処理部 3は、受信データの復号処理を行って送信元のデータを復元する。
その際に、受信データのビット誤り率(BER: Bit Error Rate)を算出して通信回線の 劣化レベルを判定し、 BERが所定値以上になると SFより軽度の障害に相当する信 号劣化(SD: Signal Degrade)と判定してその情報を記録する。
[0007] また、データ処理部 3は、 LOSアラームを検出するとワーク系からプロテクト系への 系間切り替えや回線異常の警報処理を実行すベぐ連続するデータ値" 0"のデータ を次段に出力する。
[0008] 図 2には、従来の光入力断検出装置の別の構成例を示している。
本例では、図 1の光トランシーバ 1からの LOSアラームに変えて、クロック 'データ再 生部 2の内部にある PLL (Phase Locked loop)回路等が出力する非同期(自走)状態 を示す同期はずれ (LOL: Loss of Lock)アラームを重度の障害(SF)に対応させて データ処理部 3へ出力する。その他は図 1の構成と同様である。なお、ここでは SDH 関連の民間団体である MSA(Multi Source Agreement)の共通スペックを満足する 巿販の CDRデバイスをクロック ·データ再生部 2にお 、て使用して 、る。
[0009] 本例も、データ処理部 3は、 LOLアラームを検出すると、ワーク系からプロテクト系 への系間切り替えや回線異常の警報処理を実行すベぐ連続するデータ値" 0"のデ 一タを次段に出力する (特許文献 2参照)。
(特許文献 1)特開 2001 - 339347号公報
(特許文献 2)特開平 7— 95156号公報
[0010] 図 1の従来構成では、データ処理部 3が算出する信号エラー(BER)とは無関係に 光トランシーバ 1で受光レベルに基づいて LOSアラームを出力するため、軽度の障 害 SD、重度の障害 SFの順序で障害が検出されない場合が生じ得る。通常、図 1の LOSは SFと同等の障害レベルに設定されており、例えば SDは BER IE— 6 (10— 6)、 そして LOS (SF)は BER IE— 4 (10— 4)程度に設定される。
[0011] その結果、本来は現用システムの継続運用が可能なレベル SDで検出されるべきも のが重度の回線障害 SFとして検出される場合が生じ得る。また、局の保守員やベン ダ一は、障害原因を究明するために障害発生に至るまで又は障害発生時における B ERの解析を行うが上記の場合はそれができず、そのため BERを用いた障害監視が 有効に機能しな 、と 、う問題があった。
[0012] また、図 2の従来構成では、市販の CDRデバイスが重度の障害(SF)である BER I E— 4程度で同期はずれの LOLアラームを出力することを利用している力 その LOL の検出速度、すなわち光入力断から同期はずれを検出するまでの時間、力PLL等 の回路構成上の制限によって仕様 GR— 253で規定する 100 /z S以内の SF検出時 間を満足できな 、とい問題があった。
[0013] そのため、上述した LOLの検出機能とは別に、従来の光トランシーノ 1では光入力 レベルが所定のレベル以下に低下するとデータ値" 0"の連続信号を出力することを 利用して、クロック 'データ再生部 2がそれを検出すると直ちに LOLアラームを出力さ せる手法も用いられていた。
[0014] し力しながら、近年の光トランシーバ 1は、受信感度の向上や受信レンジの拡大の ために光出力一定制御と関連する増幅器を内蔵しており、光信号が雑音レベルの小 信号であっても雑音を含む増幅後のデータが出力される構造を有している。そのた め、次段のクロック 'データ再生部 2で上記の従来手法 (連続するゼロ検出)が利用で きなくなっていた。
発明の開示
[0015] そこで本発明の目的は、上記問題点に鑑み、受信した光信号の入力レベルを監視 し、光入力レベルが所定レベル以上では重度の障害(SF)を直ちに検出し、反対に 光入力レベルが所定レベル以下では軽度の障害(SD)の検出を優先させ、その検 出後に重度の障害(SF)の検出を許可することで、光入力レベルに基づく早期の SF 検出と SD力 SFへの検出順序の確保とを両立させた光入力断検出装置を提供す ることにめる。
[0016] 本発明によれば、光入力信号の受光パワーの計測に基づいて受光パワー低下情 報と LOSアラームとを出力する光入力部と、前記光入力信号に含まれる同期クロック を抽出し、同期はずれのときに LOLアラームを出力する同期部と、前記受光パワー 低下情報の有無を判定し、有りの場合は前記 LOLアラームの出力によって前記 LO Sアラームを有効にし、無しの場合は前記 LOSアラームを直ちに有効にして、その有 効な LOSアラームによって光入力断を検出する光入力断検出部と、を有する光入力 断検出装置が提供される。
[0017] 前記光入力断検出部は、また前記有りの場合は、前記 LOLアラーム出力によって 前記 LOSアラームを有効にするのに代えて前記 LOLアラーム出力を有効な LOSァ ラームとみなす。前記光入力断検出装置は、さらに前記同期クロックを用いて再生さ れた受信データの BERを計測し、所定の BER値以上のときに SDレベルの障害状態 と判定する BER計測部を有する。
[0018] 前記 SDレベルの障害状態における BER値は、 LOSレベル又は LOLレベルの障 害状態における BER値よりも小さい。また、前記 LOSレベル又は前記 LOLレベルの 障害状態における BER値は、 SFレベルの障害状態における BER値と実質的に等し い。そして、前記受光パワー低下情報は、前記受光パワー低下情報は、所定周期毎 に出力される力 又はその情報が取得された時点力 所定の遅延時間経過後に出 力される。
[0019] 本発明によれば、光入力レベルが十分に大きな受信状態 (受光パワー低下情報の 無し)では、光入力断 (LOS)が検出されるのは、装置故障や回線切断等による重度 の障害(SF)によってのみ発生するため、この場合には直ちに LOSを検出して仕様 GR— 253の 100 μ S以内の SF検出時間を満足させる。
[0020] 一方、光入力レベルが最小受信レベル以下に低下する状態 (受光パワー低下情報 の有り)は、通常は対向局からの受光レベルが受信局の最小受信レベルよりも十分 に大きいことから、局内装置、局間伝送路の経時劣化や周囲温度の変化等によって 徐々に生じたものと仮定できる。そのため、光入力信号レベルが低下した状態では、 システムの継続運用中に BERを計測してシステムの継続運用が可能な軽度の障害( SD;BER IE— 6)を検出し、その SD検出を条件(SD検出後)にさらに障害が進んだ 重度の障害 (LOL ;BER IE-4)を検出する。
[0021] これにより、 BERによる障害監視機能を用いて重度の障害に至るまでの具体的な 障害解析が可能となり、局やシステム全体の保守作業も格段に容易となる。また、上 述したことから本発明では上述した従来手法 (連続するゼロ検出)は不要となり、市販 の CDRデバイスを利用した低コストで効率的な装置設計が可能となる。
図面の簡単な説明
[0022] [図 1]従来の光入力断検出装置の一構成例を示した図である。
[図 2]従来の光入力断検出装置の別の構成例を示した図である。 [図 3]本発明による光入力断検出装置の第 1の実施例を示した図である。
[図 4]LOS制御部の制御フローの一例を示した図である。
[図 5]LOS制御部の具体的な回路構成の一例を示した図である。
[図 6]図 3の動作タイムチャートの一例(1)を示した図である。
[図 7]図 3の動作タイムチャートの一例(2)を示した図である。
[図 8]本発明による光入力断検出装置の第 2の実施例を示した図である。
[図 9]図 8の動作タイムチャートの一例(1)を示した図である。
[図 10]図 8の動作タイムチャートの一例(2)を示した図である。
発明を実施するための最良の形態
[0023] 図 3は、本発明による光入力断検出装置の第 1の実施例を示したものである。
図 3において、光トランシーバ 1、クロック 'データ再生部(CDR) 2、及びデータ処理 部 3は従来例と同様である。従って、ここではさらに説明しない。なお、光トランシーバ の MSA化により、近年の光トランシーバ 1は光出力パワー、内部温度、電源電圧等 の多様なモニタ機能及び Z又は検出機能を備えるようになつている。本発明ではそ の内の受光パワーのモニタ機能又は受光パワーのアラーム機能を LOS検出のため に用いる。
[0024] また、本例で新たに追加された LOS制御部 4は、光トランシーバ 1からの受光パヮ 一低下情報及び LOSアラームと、クロック ·データ再生部 2からの LOLアラームとを受 けて、その内の受光パワー低下情報及び LOLアラームに基づいて光トランシーバ 1 力 データ処理部 3への LOSアラームの通過を制御する。データ処理部 3は、 LOS アラームが与えられると図 1の従来例と同様の処理を実行する。
[0025] 別に、 LOS制御部 4は、光トランシーバ 1からの受光パワー低下情報に基づいて、 双方が重度の障害(BER IE— 4)を示す光トランシーバ 1からの LOSアラーム又はク ロック ·データ再生部 2からの LOLアラームの 、ずれか一方を出力するようにしてもよ い(後述する図 5参照)。データ処理部 3は、 LOLアラームが与えられると図 2の従来 例と同様の処理を実行する。
[0026] 図 4には、 LOS制御部 4の制御フローの一例を示している。
本例では、 LOS制御部 4が、光トランシーバ 1からの受光パワー低下情報 (本例で は、受光パワー低下アラーム)を監視して、その有無を判定する(S01)。受光パワー 低下アラームは、例えば所定周期毎に計測される受光パワー値又はその平均値が、 最小受光パワー保証値以下になったときに出力される。
[0027] 受光パワー低下アラームを検出しない場合(OFF)は、光トランシーバ 1からの LOS アラームを監視してその有無を判定する(S03)。 LOSアラームを検出すると (ON)そ れをデータ処理部 3へ出力する(S04)。一方、 LOSアラームを検出しないときには( OFF)、受光パワー低下アラームの監視に戻る(S01)。
[0028] このように、受光パワー低下アラームを検出しな 、状態 (受光パワーが最小受光パ ヮー値以上)では、 LOS制御部 4は入力された LOSアラームをそのまま情報処理部 3へ出力することで 100 μ Sの規定値を満足させることができる。
[0029] 一方、受光パワー低下アラームを検出した場合 (ON)は、クロック 'データ再生部 2 力 の LOLアラームを監視してその有無を判定する(S02)。そこで LOLアラームを 検出すると (ON)、光トランシーバ 1から入力された LOSアラームを通過させて情報 処理部 3へ出力する(S04)。 LOLアラームを検出しなときは (OFF)、受光パワー低 下アラームの監視に戻る(S01)。
[0030] このように、受光パワー低下アラームを検出した状態 (受光パワーが最小受光パヮ 一値以下)では、 LOS制御部 4は、クロック 'データ再生部 2からの LOLアラーム検出 を条件 (LOLの検出後)に入力された LOSアラームを情報処理部 3へ出力する。これ により、 SD、 LOSの発生順序が守られる。
[0031] 図 5は、 LOS制御部の具体的な回路構成の一例を示したものである。
図 5において、 LOS制御部 4のインバータ 41には、光トランシーバ 1からの受光パヮ 一低下アラームが入力され、次段の AND回路 42にはインバータ 41の出力と光トラン シーバ 1からの LOSアラームが入力される。従って、 AND回路 42は、受光パワー低 下アラームを検出しないときは (値" 0")、光トランシーバ 1からの LOSアラームをその まま通過させる。反対に、受光パワー低下アラームを検出したときは (値" 1")、光トラ ンシーバ 1からの LOSアラームの通過を禁止する。
[0032] また、 AND回路 43の一方の入力には光トランシーバ 1からの受光パワー低下ァラ ームが入力され、他方の入力にはクロック 'データ再生部 2からの LOLアラームが入 力される。従って、 AND回路 43は、受光パワー低下アラームを検出しないときは (値 "0")、クロック'データ再生部 2からの LOLアラームの通過を禁止する。反対に、受光 パワー低下アラームを検出したときは (値" 1")、クロック'データ再生部 2からの LOL アラームをそのまま通過させる。
[0033] その結果、出力段の OR回路 44からは、受光パワー低下アラームの有無によって、 光トランシーバ 1からの LOSアラーム又はクロック 'データ再生部 2からの LOLアラー ムのいずれか一方が出力される。なお、本例では LOS及び LOLの各障害レベルが 共に SFの障害レベル(BER IE— 4)と等しいことから、図中の出力アラームを LOST ラームで表示して示して 、る。
[0034] 本例では、光トランシーバ 1からの受光パワー低下アラーム(受光レベルのモニタ信 号でもよい)により受光パワーを監視し、光伝送装置が保証する受光パワーの最小値 以上では光トランシーバ 1の受光パワーによる LOS監視を有効にし、受光パワーの 最小値以下では受光パワーによる LOSアラームを無効にし、クロック 'データ再生部 2からの LOLアラームを監視する。
[0035] その結果、光伝送装置が保証する受光パワーの範囲内では、光入力断から 100 S以内での LOS検出が可能となる。また、受光パワーが保証値以下となった場合は、 BERを用いた SD検出後に出力される LOLアラームによって LOS検出とみなすこと で、 SD力も LOSへの障害発生順序を守ることができる。
[0036] 図 6及び 7は、本発明による光入力断検出装置の動作タイムチャートの一例を示し ている。ここでは、図 6は受光パワーが光入力断検出装置が保証する最小受光パヮ 一値よりも大きい場合の一例を、そして図 7は受光パワーが光入力断検出装置が保 証する最小値受光パワー値よりも小さ 、場合の一例をそれぞれ示して 、る。
[0037] 図 6は、図 6の(a)に示すように最小受光パワーよりも十分大きな受光パワーの光信 号が入力されている状態で、突然ファイバケーブルの切断等による重度の障害 (SF) が発生し、光入力パワーがゼロとなった場合の一動作例を示している。この場合、光 トランシーバ 1が出力する受光パワー低下アラームは、数 mS—数十 mSの周期毎に 計測される受光パワー値やそれらの平均値と、最小受光パワー値 (図 7の (a)の受光 パワーアラーム閾値を参照)との比較によって出力されるため、その出力は光入力断 力も前記所定周期による mSのオーダで遅延する(図 6の(b) )。 LOS制御部 4は、こ の受光パワー低下アラームが出力されるまでは最小受光パワーよりも大きな受光パヮ 一の光信号が入力されている状態と判定する。なお、受光パワー低下アラームの出 カライン(図 4の 41の入力)に、例えば容量素子を付加することでその出力を lms程 度遅延させ、その間に図 6の(a)に示すような受信パワーの瞬時変動を検出して LO Sアラームが確実に出力されるようにしてもょ ヽ(図 5の 42参照)。
[0038] 一方、光トランシーバ 1が出力する LOSアラームは、光入力パワーと受光パワーの LOS閾値(図 7の(a)参照)との単純な比較によって検出される。そのため、 LOSァラ 一ムは図 6の(c)に示すように光入力断とほぼ同時に出力される。なお、本例では図 6 (d)に示すクロック ·データ再生部 2からの LOLアラームは無視される。
[0039] 受光パワーが最小受光パワーよりも大きな本例では、図 6の(e)に示すように光トラ ンシーバ 1からの LOSアラームは LOS制御部 4をそのまま通過してデータ処理部 3 へ出力され、データ処理部 3ではそれを受けて直ちにアラーム処理を開始する。従つ て、図中に示すように、 LOSアラームを使えば SF検出時間(T)の規定である 100 Sを十分に満足させることができる。
[0040] 図 7は、局間装置や伝送路の経年劣化や周囲温度の変化等によって光入力パヮ 一が徐々に低下して 、き(図 7の(a) )、その光入力パワーが受光パワーアラーム閾 値ゃ受光パワーの LOS閾値よりもさらに低下した場合の一動作例を示している。ここ で、受光パワーアラーム閾値よりも大きな受光パワーの光入力信号はエラーフリーに 受信可能であり、受光パワーの LOS閾値よりも小さな受光パワーの光入力信号は受 信不可能であり、そして受光パワーアラーム閾値と受光パワーの LOS閾値との間の 受光パワーをもつ光入力信号は現用システムの継続運用が可能な BERレベル (SD )の受信が可能である。
[0041] 本例は、動作開始時に受光パワーアラーム閾値と受光パワーの LOS閾値との間の 中間の受光パワーをもつ光信号が対象とされる。そのため、図 6の場合のように回線 切断等によって当初から中間の受光パワーを考慮する必要のない重度の障害(SF) は本例の対象から除かれる。
[0042] 図 7の (b)に示すように、受光パワー低下アラームは、光入力信号の受光パワーが 受光パワーアラーム閾値よりも低下した時点で出力される。 LOS制御部 4は、この受 光パワー低下アラームの検出時点力 少なくともクロック 'データ再生部 2からの LOL アラームを検出するまでの間、光トランシーバ 1からの LOSアラームを無視する(図 7 の (b)— (d)参照)。
[0043] この間において、光トランシーバ 1は光入力信号の受光パワーが受光パワーの LO S閾値よりも低下した時点で LOSアラームを出力する(図 7の(c) )。また、データ処理 部 3は BERの算出を繰り返し行 、、 BER力 E— 6となった時点で受信状態を SDレべ ルと判定してその情報を記録する。さらに、 LOS制御部 4は、 BERが IE— 4近辺でク ロック ·データ再生部 2からの LOLアラームを検出する(図 7の(d) )。
[0044] これにより、 LOS制御部 4は、 LOLアラームを検出した時点で現に存在する光トラ ンシーバ 1からの LOSアラーム又は検出した LOLアラームを LOSアラームとしてデ ータ処理部 3へ出力する(図 7の(e) )。データ処理部 3は、それを受けて重度の障害 処理 (SF)を開始する。このように、本例によれば受光パワー低下アラームが出力さ れている状態で、 SD、 SFの発生順序が確実に保証される。
[0045] 図 8— 10は、本発明による光入力断検出装置の第 2の実施例を示したものである。
図 3の第 1の実施例と図 8との相違点は、図 3ではクロック 'データ再生部 2の PLL回 路等から出力される LOLアラーム力 ¾ )S制御部 4に出力されているのに対して、本 例ではデータ処理部 3が図 3の LOLアラーム相当の BERレベル IE— 4を SD同様にソ フトウェア演算によって求め、それを LOLアラームに代わるビット'エラー ·アラームと して LOS制御部 4に出力して 、る点である。それ以外は第 1の実施例と同様である。
[0046] このため、第 2の実施例の動作例を示す図 9及び 10においても、図 9の(d)がデー タ処理部のビット'エラ一'アラームに、そして図 10の(d)がデータ処理部のビット'ェ ラー ·アラームに変更されている。これら以外は第 1の実施例の図 6及び 7と同様であ る。従って、本発明による第 2の実施例については、第 1の実施例と重複した説明を 回避するためにこれ以上は説明しな 、。
[0047] 以上、本発明によれば光入力パワーが最小受信レベル以上のときには光入力断( LOS)を直ちに発生し、最小受信レベル以下のときには SD力 LOSの順序で発出 させることが可會 となる。

Claims

請求の範囲
[1] 光入力信号の受光パワーの計測に基づいて受光パワー低下情報と LOSアラーム とを出力する光入力部と、
前記光入力信号に含まれる同期クロックを抽出し、同期はずれのときに LOLアラー ムを出力する同期部と、
前記受光パワー低下情報の有無を判定し、有りの場合は前記 LOLアラームの出力 によって前記 LOSアラームを有効にし、無しの場合は前記 LOSアラームを直ちに有 効にして、その有効な LOSアラームによって光入力断を検出する光入力断検出部と を有することを特徴とする光入力断検出装置。
[2] 前記光入力断検出部は、前記有りの場合は前記 LOLアラーム出力によって前記 L
OSアラームを有効にするのに代えて、前記 LOLアラーム出力を有効な LOSアラー ムとみなすことを特長とする光入力断検出装置。
[3] さらに、前記同期クロックを用いて再生された受信データの BERを計測し、所定の
BER値以上のときに SDレベルの障害状態と判定する BER計測部を有することを特 徴とする請求項 1又は 2に記載の光入力断検出装置。
[4] 前記 SDレベルの障害状態における BER値は、 LOSレベル又は LOLレベルの障 害状態における BER値よりも小さいことを特徴とする請求項 3記載の光入力断検出 装置。
[5] 前記 LOSレベル又は前記 LOLレベルの障害状態における BER値は、 SFレベル の障害状態における BER値と実質的に等しいことを特徴とする請求項 4記載の光入 力断検出装置。
[6] 前記受光パワー低下情報は、所定周期毎に出力されるか、又はその情報が取得さ れた時点力 所定の遅延時間経過後に出力されることを特徴とする請求項 1又は 2 記載の光入力断検出装置。
[7] 光信号の送受信を行う光トランシーバであって、光入力信号の受光パワーを計測し 、それが第 1の閾値以下のときに受光パワー低下情報を出力し、第 2の閾値以下のと きに LOSアラームを出力する光入力部を含む光トランシーバと、 前記光入力信号に含まれる受信データの同期再生を行うクロック 'データ再生部で あって、前記光入力信号に含まれる同期クロックを抽出し、同期はずれのときに LOL アラームを出力する同期部を含むクロック ·データ再生部と、
前記受光パワー低下情報の有無を判定し、有りの場合は前記 LOLアラームの出力 によって前記 LOSアラームを有効にするか又は前記 LOLアラームを有効な LOST ラームとみなし、無しの場合は前記 LOSアラームを直ちに有効にする LOS制御部と 前記受信データの処理を行うデータ処理部であって、前記同期クロックを用いて再 生された受信データの BERを計測し、所定の BER値以上のときに SDレベルの障害 状態と判定してその障害状態を記録する BER計測部を含み、そして前記有効な LO Sアラームによって光入力断の障害処理を実行するデータ処理部と、
で構成することを特徴とする光伝送装置。
光信号の送受信を行う光トランシーバであって、光入力信号の受光パワーを計測し 、それが第 1の閾値以下のときに受光パワー低下情報を出力し、第 2の閾値以下のと きに LOSアラームを出力する光入力部を含む光トランシーバと、
前記受光パワー低下情報の有無を判定し、有りの場合はビット 'エラー'アラーム出 力によって前記 LOSアラームを有効にし、無しの場合は前記 LOSアラームを直ちに 有効にする LOS制御部と、
前記光信号に含まれる受信データの処理を行うデータ処理部であって、前記受信 データの BERを計測し、それが第 1の BER値とそれより大きな第 2の BER値との間に あるときは SDレベルの障害状態と判定してその障害状態を記録し、前記第 2の BER 値以上のときは前記ビット 'エラー'アラームを出力し、そして前記有効な LOSアラー ムによって光入力断の障害処理を実行するデータ処理部と、
で構成することを特徴とする光伝送装置。
PCT/JP2005/001850 2005-02-08 2005-02-08 光入力断検出装置 WO2006085356A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
PCT/JP2005/001850 WO2006085356A1 (ja) 2005-02-08 2005-02-08 光入力断検出装置
CN200580047915.7A CN101116267B (zh) 2005-02-08 2005-02-08 光输入中断检测装置
JP2007502499A JP4528827B2 (ja) 2005-02-08 2005-02-08 光入力断検出装置
US11/889,051 US7684700B2 (en) 2005-02-08 2007-08-08 Loss-of-signal detecting device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2005/001850 WO2006085356A1 (ja) 2005-02-08 2005-02-08 光入力断検出装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/889,051 Continuation US7684700B2 (en) 2005-02-08 2007-08-08 Loss-of-signal detecting device

Publications (1)

Publication Number Publication Date
WO2006085356A1 true WO2006085356A1 (ja) 2006-08-17

Family

ID=36792931

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/001850 WO2006085356A1 (ja) 2005-02-08 2005-02-08 光入力断検出装置

Country Status (4)

Country Link
US (1) US7684700B2 (ja)
JP (1) JP4528827B2 (ja)
CN (1) CN101116267B (ja)
WO (1) WO2006085356A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008128462A1 (fr) * 2007-04-18 2008-10-30 Huawei Technologies Co., Ltd. Procédé, système et appareil de détection de défaut pour un réseau réparti optique
JP2011023793A (ja) * 2009-07-13 2011-02-03 Oki Electric Industry Co Ltd 加入者端末、光通信ネットワーク及び光通信ネットワークにおける光信号の強度調整方法
CN104093156A (zh) * 2014-07-24 2014-10-08 京信通信***(中国)有限公司 分布式基站***的从站设备地址分配方法和***
JP2015233281A (ja) * 2014-06-09 2015-12-24 株式会社ユービークオス 予備ポートで予備幹線回線の受信光信号強度測定可能なポット二重化光回線終端装置(olt)、パッシブ光ネットワーク(pon)システム、及びそれを用いた予備幹線回線の安定性判断
WO2019167361A1 (ja) * 2018-02-27 2019-09-06 日本電気株式会社 光信号受信装置、光信号受信方法、及び非一時的なコンピュータ可読媒体

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4899098B2 (ja) * 2007-03-19 2012-03-21 富士通株式会社 光ロス検出装置
JP4621756B2 (ja) * 2008-06-04 2011-01-26 日本オプネクスト株式会社 光受信器、及び光受信器の光信号断検出方法
CN101340692B (zh) * 2008-08-21 2011-02-16 ***通信集团河北有限公司 移动通信工程割接告警过滤方法
CN102064880A (zh) * 2009-11-13 2011-05-18 中兴通讯股份有限公司 一种射频拉远模块及其功放保护方法
JP6019704B2 (ja) * 2012-04-24 2016-11-02 住友電気工業株式会社 光送受信装置
US9219543B2 (en) * 2012-07-11 2015-12-22 Commscope Technologies Llc Monitoring optical decay in fiber connectivity systems
US9270368B2 (en) * 2013-03-14 2016-02-23 Hubbell Incorporated Methods and apparatuses for improved Ethernet path selection using optical levels
CN104218987B (zh) * 2013-05-31 2017-04-12 中国电信股份有限公司 无源光网络中的光链路探测方法、***与探测器
US9819436B2 (en) 2013-08-26 2017-11-14 Coriant Operations, Inc. Intranodal ROADM fiber management apparatuses, systems, and methods
US9723385B2 (en) * 2013-11-06 2017-08-01 Coriant Operations, LLC Procedures, apparatuses, systems, and computer programs for providing optical network channel protection
CN105515715A (zh) * 2015-11-24 2016-04-20 上海欣诺通信技术有限公司 基于波分复用的以太无源光网传输***及方法
CN105763250B (zh) * 2016-02-23 2018-01-02 烽火通信科技股份有限公司 一种防止错误开销字节传递的方法及***
CN106253977B (zh) * 2016-08-22 2019-05-21 青岛海信宽带多媒体技术有限公司 Los告警判决门限的调整方法及光模块
US10771151B2 (en) * 2017-07-31 2020-09-08 Level 3 Communications, Llc Outside plant fiber health monitoring system
US10432301B2 (en) * 2017-11-08 2019-10-01 Facebook, Inc. High-speed optical transceiver field reader
US10911152B2 (en) * 2019-04-18 2021-02-02 Microsoft Technology Licensing, Llc Power-based decoding of data received over an optical communication path
US11018776B2 (en) 2019-04-18 2021-05-25 Microsoft Technology Licensing, Llc Power-based decoding of data received over an optical communication path
US10873392B2 (en) 2019-04-18 2020-12-22 Microsoft Technology Licensing, Llc Throughput increases for optical communications
US10862591B1 (en) 2019-04-18 2020-12-08 Microsoft Technology Licensing, Llc Unequal decision regions for throughput increases for optical communications
US10911155B2 (en) 2019-04-18 2021-02-02 Microsoft Technology Licensing, Llc System for throughput increases for optical communications
US10938485B2 (en) 2019-04-18 2021-03-02 Microsoft Technology Licensing, Llc Error control coding with dynamic ranges
US10897315B2 (en) * 2019-04-18 2021-01-19 Microsoft Technology Licensing, Llc Power-based decoding of data received over an optical communication path
US10998982B2 (en) 2019-04-18 2021-05-04 Microsoft Technology Licensing, Llc Transmitter for throughput increases for optical communications
US10742326B1 (en) 2019-04-18 2020-08-11 Microsoft Technology Licensing, Llc Power-based encoding of data to be transmitted over an optical communication path
US10892847B2 (en) 2019-04-18 2021-01-12 Microsoft Technology Licensing, Llc Blind detection model optimization
US10756817B1 (en) 2019-04-18 2020-08-25 Microsoft Technology Licensing, Llc Power switching for systems implementing throughput improvements for optical communications
US10742325B1 (en) 2019-04-18 2020-08-11 Microsoft Technology Licensing, Llc Power-based encoding of data to be transmitted over an optical communication path
US10951342B2 (en) 2019-04-18 2021-03-16 Microsoft Technology Licensing, Llc Throughput increases for optical communications
US10873393B2 (en) 2019-04-18 2020-12-22 Microsoft Technology Licensing, Llc Receiver training for throughput increases in optical communications
US10911141B1 (en) 2019-07-30 2021-02-02 Microsoft Technology Licensing, Llc Dynamically selecting a channel model for optical communications
CN110971990B (zh) * 2019-11-18 2022-05-10 武汉光谷信息光电子创新中心有限公司 一种olt光模块突发sd/los检测的方法与装置
CN111049576B (zh) * 2019-12-27 2022-03-11 深圳市光为光通信科技有限公司 一种光模块los告警的方法
CN114982155B (zh) * 2020-02-07 2023-10-03 株式会社富士 光通信装置以及元件安装机
US20230239073A1 (en) * 2022-01-24 2023-07-27 Cisco Technology, Inc. Correlating transceiver parameters for insight into transceiver health
WO2024124490A1 (zh) * 2022-12-15 2024-06-20 华为技术有限公司 一种通信方法及相关设备
CN118100915B (zh) * 2024-04-28 2024-07-02 成都电科星拓科技有限公司 Cdr电路

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002044035A (ja) * 2000-07-21 2002-02-08 Sumitomo Electric Ind Ltd 波長多重分割伝送方法およびそのシステム
JP2002141874A (ja) * 2000-11-01 2002-05-17 Nec Corp 信号断検出装置
JP2003060736A (ja) * 2001-08-21 2003-02-28 Fujitsu Ltd 伝送装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5517519A (en) * 1993-06-14 1996-05-14 International Business Machines Corporation Apparatus for repowering and monitoring serial links
WO2002071701A2 (en) * 2001-03-02 2002-09-12 Meriton Networks Inc. Data path architecture for a light layer 1 oeo switch
US20040052520A1 (en) * 2002-02-07 2004-03-18 Ross Halgren Path protection in WDM network
US7664401B2 (en) * 2002-06-25 2010-02-16 Finisar Corporation Apparatus, system and methods for modifying operating characteristics of optoelectronic devices
US7486894B2 (en) * 2002-06-25 2009-02-03 Finisar Corporation Transceiver module and integrated circuit with dual eye openers
US7349450B2 (en) * 2002-08-12 2008-03-25 Broadcom Corporation Multi-stage high speed bit stream demultiplexer chip set having switchable master/slave relationship

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002044035A (ja) * 2000-07-21 2002-02-08 Sumitomo Electric Ind Ltd 波長多重分割伝送方法およびそのシステム
JP2002141874A (ja) * 2000-11-01 2002-05-17 Nec Corp 信号断検出装置
JP2003060736A (ja) * 2001-08-21 2003-02-28 Fujitsu Ltd 伝送装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008128462A1 (fr) * 2007-04-18 2008-10-30 Huawei Technologies Co., Ltd. Procédé, système et appareil de détection de défaut pour un réseau réparti optique
JP2011023793A (ja) * 2009-07-13 2011-02-03 Oki Electric Industry Co Ltd 加入者端末、光通信ネットワーク及び光通信ネットワークにおける光信号の強度調整方法
JP2015233281A (ja) * 2014-06-09 2015-12-24 株式会社ユービークオス 予備ポートで予備幹線回線の受信光信号強度測定可能なポット二重化光回線終端装置(olt)、パッシブ光ネットワーク(pon)システム、及びそれを用いた予備幹線回線の安定性判断
CN104093156A (zh) * 2014-07-24 2014-10-08 京信通信***(中国)有限公司 分布式基站***的从站设备地址分配方法和***
CN104093156B (zh) * 2014-07-24 2017-11-14 京信通信***(中国)有限公司 分布式基站***的从站设备地址分配方法和***
WO2019167361A1 (ja) * 2018-02-27 2019-09-06 日本電気株式会社 光信号受信装置、光信号受信方法、及び非一時的なコンピュータ可読媒体

Also Published As

Publication number Publication date
US20070280684A1 (en) 2007-12-06
CN101116267A (zh) 2008-01-30
JPWO2006085356A1 (ja) 2008-06-26
US7684700B2 (en) 2010-03-23
JP4528827B2 (ja) 2010-08-25
CN101116267B (zh) 2010-09-08

Similar Documents

Publication Publication Date Title
JP4528827B2 (ja) 光入力断検出装置
US20050281556A1 (en) Optical switching apparatus and optical communication network system
CN101667864B (zh) 一种下行物理链路故障诊断的方法、***及装置
JP2007194983A (ja) パッシブ光ネットワーク
US9337921B2 (en) Method and apparatus for monitoring mechanical fiber stress of optical fiber spans
US7924737B2 (en) Signal degrade detecting method, signal restoration detecting method, devices for those methods, and traffic transmission system
WO2016019851A1 (zh) 一种倒换实现方法、站点及***
JP4586653B2 (ja) 光伝送装置及び該光伝送装置に用いられる障害情報伝送方法
CN102611499A (zh) 一种otn设备交叉盘实现oduk保护倒换的方法
JPH0241252B2 (ja)
EP3403378B1 (en) Fault propagation in segmented protection
JP2010130267A (ja) 伝送装置
JP4351189B2 (ja) 光伝送路監視方法、光伝送路監視プログラムおよび光伝送路監視装置
JP2005110069A (ja) オートネゴシエーション監視システム、中継伝送装置及びそれに用いるオートネゴシエーション監視方法
JP2005033401A (ja) 光送受信装置
US8867923B2 (en) Transponder, repeater, and terminal equipment
JPH10200489A (ja) 光信号遮断障害監視方法及び装置、並びに光ネットワークシステム
CN100486148C (zh) 光监控通道环回保护的装置
JP2012124736A (ja) 通信インタフェース装置、送信制御方法および切断処理制御方法
JP3730950B2 (ja) ディジタル無線装置
JP4507700B2 (ja) 障害検出システム及びその方法並びにそれに用いる光伝送装置及び光伝送システム
WO2019167361A1 (ja) 光信号受信装置、光信号受信方法、及び非一時的なコンピュータ可読媒体
JP5436233B2 (ja) Sdh/sonet伝送装置間の故障区間探索方法
JPH05344104A (ja) 伝送路切替装置
JP2000092030A (ja) 光伝送装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007502499

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200580047915.7

Country of ref document: CN

Ref document number: 11889051

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

WWP Wipo information: published in national office

Ref document number: 11889051

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 05709902

Country of ref document: EP

Kind code of ref document: A1

WWW Wipo information: withdrawn in national office

Ref document number: 5709902

Country of ref document: EP