WO2005083352A1 - Method and apparatus for high-speed thickness mapping of patterned thin films - Google Patents

Method and apparatus for high-speed thickness mapping of patterned thin films Download PDF

Info

Publication number
WO2005083352A1
WO2005083352A1 PCT/US2004/032692 US2004032692W WO2005083352A1 WO 2005083352 A1 WO2005083352 A1 WO 2005083352A1 US 2004032692 W US2004032692 W US 2004032692W WO 2005083352 A1 WO2005083352 A1 WO 2005083352A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
light
reflectance
film
image
Prior art date
Application number
PCT/US2004/032692
Other languages
French (fr)
Inventor
Scott A. Chalmers
Randall S. Geels
Thomas F. A. Bibby
Original Assignee
Filmetrics, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Filmetrics, Inc. filed Critical Filmetrics, Inc.
Publication of WO2005083352A1 publication Critical patent/WO2005083352A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/0205Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows
    • G01J3/0208Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows using focussing or collimating elements, e.g. lenses or mirrors; performing aberration correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2823Imaging spectrometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/30Measuring the intensity of spectral lines directly on the spectrum itself
    • G01J3/36Investigating two or more bands of a spectrum by separate detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2803Investigating the spectrum using photoelectric array detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4792Polarisation of scatter light

Definitions

  • This invention relates generally to the field of film thickness measurement, and more specifically, to the field of film measurement in an environment, such as semiconductor wafer fabrication and processing, on which a layer with an unknown thickness resides on a patterned sample. Many industrial processes require precise control of film thickness.
  • a semiconductor wafer is fabricated in which one or more layers of material from the group comprising metals, metal oxides, insulators, silicon dioxide (SiOj), silicon nitride (SiN), polysilicon or the like, are stacked on top of one another over a substrate, made of a material such as silicon. Often, these layers are added through a process known as chemical vapor deposition (CVD), or removed by etching or removed by polishing through a process known as chemical mechanical polishing (CMP).
  • CVD chemical vapor deposition
  • CMP chemical mechanical polishing
  • the level of precision required can range from 0.0001 ⁇ m (less than an atom thick) to 0.1 ⁇ m (hundreds of atoms thick).
  • each product wafer i.e., on each wafer produced that contains partially processed or fully processed and saleable product
  • each product wafer i.e., on each wafer produced that contains partially processed or fully processed and saleable product
  • features on the order of 0.1 ⁇ m to 10 ⁇ m wide Because the areas covered by these features are generally unsuitable for measurement of film properties, specific measurement sites called "pads" are provided at various locations on the wafer. To minimize the area on the wafer that is taken up by these measurement pads, they are made to be very small, usually about 100 ⁇ m by 100 ⁇ m square.
  • a measurement spot size of an optical system refers to the size of a portion of an object being measured that is imaged onto a single pixel of an imaging detector positioned in an image plane ofthe optical system.
  • Systems exploiting this technique include a light source, a first polarizer to establish the polarization of light, a sample to be tested, a second polarizer (often referred to as an analyzer) that analyzes the polarization of light reflected from the sample, and a detector to record the analyzed light.
  • Companies such as J. A. Woolam, Inc. (Lincoln, NE) and Rudolph Technologies, Inc. (Flanders, NJ) manufacture ellipsometer systems. Accordingly, it is an object of the present invention to provide a method and apparatus for achieving rapid measurement of film thickness and other properties on patterned wafers during, between, or after semiconductor processing steps.
  • An additional object is a method and apparatus for film measurement that is capable of providing an accurate measurement of film thickness and other properties of individual films in a multi-layered or patterned sample.
  • An additional object is a method and apparatus for film measurement that is capable of providing an accurate measurement of film thickness and other properties of individual films in a multi-layered or patterned sample based on image analysis.
  • a further object is an optical method and apparatus for thin-film measurement that overcomes the disadvantages of the prior art. Further objects ofthe subject invention include utilization or achievement of the foregoing objects, alone or in combination. Additional objects and advantages will be set forth in the description which follows, or will be apparent to those of ordinary skill in the art who practice the invention.
  • the invention provides a spectrometer configured to simultaneously capture a reflectance spectrum for each of a plurality of spatial locations on the surface of a sample.
  • the spectrometer includes a wavelength-dispersive element, such as a prism or diffraction grating, for receiving light representative of the plurality of spatial locations, and separating the light for each such location into its constituent wavelength components.
  • the spectrometer further includes an imager for receiving the constituent wavelength components for each ofthe locations, and determining therefrom the reflectance spectrum for each location.
  • the invention also provides a system for measuring one or more properties of a layer of a sample.
  • the system includes a light source for directing light to the surface of the layer at an angle that deviates from the layer normal by a small amount.
  • a sensor for receiving light reflected from and representative of a plurality of spatial locations on the surface of the layer, and simultaneously determining therefrom reflectance spectra for each ofthe plurality of spatial locations on the surface.
  • the system also includes a processor for receiving at least a portion of the data representative of the reflectance spectra for each of the plurality of spatial locations and determining therefrom one or more properties ofthe layer.
  • the invention further includes one or more polarizers to provide for measuring the reflectance spectrum of polarized light.
  • broad spectral light passes through a first polarizer, reflects and mixes with light reflecting from one or more layers at a plurality of locations on the surface of a sample, and passes through a second polarizing element that allows the spectrometer to disperse and image the reflected light according to its polarization for each location.
  • the invention also provides a method for measuring one or more properties of a layer of a sample. The method includes the step of directing light to a surface of the layer. It also includes the step of receiving light at a small angle reflected from the surface of the layer, and determining therefrom reflectance spectra representative of each of a plurality of spatial locations on the surface of the layer.
  • the sample may be relatively translated with respect to the directed and received light until reflectance spectra for all or a substantial portion of the layer have been determined.
  • One or more properties of the layer may be determined from at least a portion ofthe reflectance spectra for all or a substantial portion ofthe layer.
  • the invention further provides a system of and method for measuring at least one film on a sample from light reflected from the sample having a plurality of wavelength components, each having an intensity.
  • a set of successive, spatially contiguous, one-spatial-dimension spectral reflectance images may be obtained by scanning the wafer with a one-spatial-dimension spectroscopic imager.
  • the resulting series of one-spatial-dimension spectral images may be arranged to form a two-spatial-dimension spectral image of the wafer.
  • the spectral data at one or more of the desired measurement locations may then be analyzed to determine a parameter such as film thickness.
  • the invention further provides a system of and method for measuring at least one film on a sample from polarized light reflected from the sample having a plurality of wavelength components, each having an intensity.
  • a set of successive, spatially contiguous, one-spatial-dimension spectral reflectance with s-polarized and/or p-polarized images may be obtained by scanning the wafer with a one-spatial-dimension spectroscopic imager.
  • the resulting series of one-spatial- dimension spectral images may be arranged to form an s-polarized two-spatial- dimension spectral image (s-polarized image) of the wafer and a p-polarized two- spatial-dimension spectral image (p-polarized image) of the wafer, where the s- polarized image and the p-polarized image map in a one-to-one way each of the plurality of spatial locations on the sample.
  • the s-polarized image and the p- polarized image data at one or more of the desired measurement locations may then be analyzed to determine a parameter such as film thickness.
  • FIG. 1 illustrates a first embodiment of a system in accordance with the subject invention.
  • FIG. 2 illustrates in detail the optical subsystem of the embodiment shown in FIG. 1.
  • FIG. 3 illustrates a second embodiment of a system in accordance with the subject invention.
  • FIG. 4 illustrates an embodiment of a method in accordance with the subject invention.
  • FIG. 5A is a top view of an example semiconductor wafer showing desired measurement locations.
  • FIG. 5B is a side view of an example semiconductor wafer showing stacked layers each configured with one or more precise features.
  • FIG. 5A is a top view of an example semiconductor wafer showing desired measurement locations.
  • FIG. 5B is a side view of an example semiconductor wafer showing stacked layers each configured with one or more precise features.
  • FIG. 6A illustrates a commercial embodiment of a system according to the invention.
  • FIG. 6B illustrates aspects ofthe optical path ofthe system of FIG. 6A.
  • FIG. 7 illustrates an example of a reflectance spectrum for a location on the surface of a semiconductor wafer.
  • FIG. 8 illustrates a cross section of the fiber bundle ofthe system of FIG.
  • FIG. 9A depicts the one-spectral, two-spatial dimensional data that is captured for an individual layer in the system of FIG. 6A.
  • FIG. 9B shows the ensemble of one-spectral, two-spatial dimensional data that together forms a hyperspectral image.
  • FIG. 10A illustrates the area surrounding a desired measurement location in which matching is performed in the system of FIG. 6A.
  • FIG. 10B illustrates the corresponding image of the desired measurement location in FIG. 10A.
  • FIG. 11 is a flowchart of an embodiment of a method of operation in the system of FIG. 6A.
  • FIG. 12 illustrates an embodiment of a spectral ellipsometric system in accordance with the subject invention.
  • FIG. 13 illustrates an embodiment of a variable angle spectral ellipsometric system in accordance with the subject invention.
  • FIG. 14A illustrates the illumination of patterned features with broad angle, large numerical aperture light according to the system in accordance with the prior art.
  • FIG. 14B illustrates the illumination of patterned features with shallow angle, small numerical aperture light according to the system in accordance with the subject invention.
  • FIG. 15 shows measurements of erosion using the system in accordance with the subject invention.
  • FIG. 16 is a flowchart showing a method of compensating icy second order spectral overlap using the apparatus ofthe subject invention.
  • FIG. 17 shows the spectral response with and without compensation for second order spectral overlap.
  • FIG. 18 shows the correction factor for compensation for second order spectral overlap.
  • FIG. 19 shows an image of a round wafer undergoing non-uniform motion during the measurement.
  • FIG. 20 shows an example of the Goodness-of-Alignment values as a function of rotational angle ⁇ using the auto-rotate algorithm of the present invention.
  • FIG. 21 illustrates a second embodiment of a spectral ellipsometric system in accordance with the subject invention.
  • FIG. 22 shows measurement spot size for 100% fill factor imaging for (A) optimal wafer orientation, and (B) worst-case wafer orientation.
  • FIG. 23 shows how to mask individual pixels according to the present invention.
  • FIG. 24 shows measurement spot size for ⁇ 100% fill factor imaging resulting from the use of masked pixels for (A) optimal wafer orientation, and (B) worst-case wafer orientation.
  • FIG. 25 illustrates the use of over-sampling to enhance vertical pixel image density using masked pixels according to the present invention.
  • FIG. 26 shows the technique of row staggering based on the use of masked pixels to enhance the horizontal pixel image density according to the present invention.
  • FIG. 27 illustrates another method of enhancing the horizontal pixel image density.
  • FIG.28 shows a wafer paddle motion dampening system.
  • FIG. 29 shows the integration of a process chamber viewport into the optical system ofthe line imaging spectrometer according to the present invention.
  • FIG. 30 shows a dual-Offher imaging system for enhancing the quality of images recorded with the line imaging spectrometer ofthe present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • a 1 st embodiment System for measurements at an angle
  • FIG. 1 A first embodiment of an imaging system 100 in accordance with the subject invention, suitable for use in applications such as measuring the thickness of transparent or semi-transparent films, is illustrated in FIG. 1.
  • the film to be measured ranges in thickness from .001 ⁇ m to 50 ⁇ m, but it should be appreciated that this range is provided by way of example only, and not by way of limitation.
  • This embodiment is advantageously configured for use with a wafer transfer station 1 to facilitate rapid measurement of a cassette of wafers.
  • the station houses a plurality of individual wafers la, lb, lc, and is configured to place a selected one of these wafers, identified with numeral Id in the figure, onto a platform 2.
  • Each of wafers la, lb, lc, Id has a center point and an edge.
  • This embodiment also comprises a light source 3 coupled to an optical fiber 9 or fiber bundle for delivering light from the light source 3 to the wafer Id situated on platform 2.
  • the light source 3 is a white light source.
  • the light source 3 is a tungsten-halogen lamp or the like in which the output is regulated so that it is substantially invariant over time.
  • this embodiment is shown being used to measure the thickness of film on wafer Id, which together comprises a sample, but it should be appreciated that this embodiment can advantageously be employed to measure the thickness of individual films in samples comprising multi-layer stacks of films, whether patterned or not.
  • Light source 3 may optionally include a diffuser disposed between light source 3 and optical fiber 9 to even out light source non- uniformities so that light entering optical fiber 9 is uniform in intensity.
  • the first embodiment of imaging system 100 further includes a line imaging spectrometer 11 comprising a lens assembly 4, a slit 5 having a slit width, a lens assembly 6, a diffraction grating 7, and a two-dimensional imager 8.
  • Line imaging spectrometer 11 has an optical axis 31, and is disposed in imaging system 100 so that optical axis 31 is aligned at a small angle to the wafer Id normal.
  • Lens assembly 4 and lens assembly 6 each have a magnification.
  • Two-dimensional imager 8 has an integration time during which it absorbs light incident upon it to create a detected signal.
  • Angle ⁇ defines near normal incidence, and can be as small as 0 degrees or as large as that given by the Brewster angle of the topmost layer, but preferably the angle ⁇ is approximately 2 degrees.
  • a range of angles from 0 to Brewster angle allows one or more measurements at angle , which provides greater information.
  • the angle ⁇ lies in a measurement plane that, if aligned with an array of conductive metal lines, results in improved measurements. Measurements obtained at such an angle are uniquely capable of determining the thickness of films in finely patterned areas with feature dimensions on the order of the wavelength of the light being used.
  • System 100 further includes a translation mechanism 53 that is mechanically connected to platform 2 and serves to move platform 2 holding wafer Id. In accordance with commands from computer 10, translation mechanism 53 causes platform 2 to move.
  • Computer 10 is also electrically connected to a synchronization circuit 59 via an electrical connector 57. Synchronization circuit 59 in turn is electrically connected to light source 3.
  • synchronization circuit 59 Upon command from computer 10 and propagated via electrical connection 57, synchronization circuit 59 sends one or more synchronization signals to light source 3 that cause light source 3 to emit one or more pulses of light.
  • synchronization circuit 59 By coordinating motion of wafer Id and the synchronization signals sent to synchronization circuit 59, minimally sized illumination spots are formed on wafer Id. In the absence of relative motion of wafer Id, each of the one or more pulses of light forms a small spot on wafer Id, where the size of each spot is determined largely by the specific design configuration of line imaging spectrometer 11 and the pixel dimensions of two-dimensional imager 8. The nominal size of each measurement spot is approximately 50 um.
  • a scan time is defined as the time necessary for system 100 to acquire data from the regions of interest of wafer Id, i.e. by sequentially imaging areas across wafer Id.
  • a scan speed is the scan time divided by the length of the area being measured. For example, if entire wafer Id is the scan area, and 5 seconds is the scan time, then the scan speed is 40 mm/s, assuming a 200 mm diameter wafer.
  • scan speed refers to the speed with which an area on wafer Id is being imaged moves across wafer Id; whether wafer Id or light source 3 or line imaging spectrometer 11 moves does not matter.
  • two-dimensional imager 8 having a 1 ms integration time in the example above, the measurement spot for each measurement sweeps across an additional portion of wafer Id that extends for 40 um. This additional distance causes the detected reflectance spectrum to be a mixture of whatever film stacks the spot passed over during the integration time. However, by using short pulses of light, the additional distance is reduced. For example, a 10 us pulse width means that the additional distance less than 1 um, which is significantly less than the nominal spot size of 50 um. Imaging system 100 operates as follows.
  • Light from source 3 passes through fiber bundle 9, and impinges on a film contained on or in wafer Id.
  • the light reflects off the wafer and is received by lens assembly 4.
  • Lens assembly 4 focuses the light on slit 5.
  • Slit 5 receives the light and produces a line image of a corresponding line on the wafer Id.
  • the line image is arranged along a spatial dimension.
  • the line image is received by second lens assembly 6 and passed through diffraction grating 7.
  • Diffraction grating 7 receives the line image and dissects each subportion thereof into its constituent wavelength components, which are arranged along a spectral dimension. In one implementation, the spectral dimension is perpendicular to the spatial dimension.
  • the result is a two- dimensional spectral line image that is captured by two-dimensional imager 8 during the integration time.
  • the imager is a CCD
  • the spatial dimension is the horizontal dimension
  • the spectral dimension is the vertical dimension.
  • the spectral components at each horizontal CCD pixel location along the slit image are projected along the vertical dimension ofthe CCD array. Additional detail regarding the spectrometer 11 is illustrated in FIG. 2 in which, compared to FIG. 1, like elements are referenced with like identifying numerals.
  • reflected light for purposes of illustration, two rays of reflected light, identified with numerals 13a and 13b are shown separately
  • lens assembly 4 and focused onto slit 5.
  • Slit 5 forms a line image of the light in which the subportions of the line image are arranged along a spatial dimension.
  • the line image is directed to lens assembly 6.
  • Lens assembly 6 in turn directs the line image to diffraction grating 7.
  • Diffraction grating 7 dissects each subportion ofthe line image into its constituent wavelength components.
  • the wavelength components for a subportion of the line image are each arranged along a spectral dimension.
  • Two-dimensional imager 8 individually captures the wavelength components for the subportions of the line image during the integration time.
  • the wavelength components for ray 13a are individually captured by pixels 14a, 14b, and 14c, respectively.
  • the wavelength components for ray 13b are individually captured by pixels 15a, 15b, and 15c, respectively.
  • Imager 8 is preferably designed so that the vast majority of photons landing upon individual pixels wind up storing electrical charge only within the pixels that they land on.
  • common CCD design allows photons with large penetration depths (i.e., photons with long wavelength) to generate electrons far beneath the pixels that they land on, and then allows these electrons to wander up and to be collected by pixels neighboring the pixel that the photons originally entered the CCD through. This causes a reduction in image resolution and an increase in the apparent measurement spot size, but can be substantially reduced by proper CCD design (by reducing the migration length of electrons below the pixels, for example.) With reference to FIG. 1, the light source 3 and the platform 2 are moveable relative to one another.
  • platform 2 and spectrometer 11 are moveable in relation to one another.
  • the light source 3 and spectrometer 11 are stationary, and the platform is moveable in an X direction 12. Since the apparatus ofthe present invention is capable of obtaining a large number of measurements, prodigious quantities of data must be dealt with.
  • One way to limit the extent of such large quantities of data is to move platform 2 in a non-linear fashion. For example, platform 2 can be instructed to execute a large translational step to one particular location, then move in small translational steps over a region of wafer Id where measurements are desired, then make another large translational step to another region of wafer Id where more measurements are desired, and so on.
  • computer 10 sends commands to translation stage 53 that cause wafer Id on platform 2 on wafer station 1 to move.
  • computer 10 sends synchronization commands to synchronization circuit 59, which cause light source 3 to emit pulses of light that propagate fiber bundle 9 to wafer Id.
  • Computer 10 also sends configuration commands to two-dimensional imager 8 that include the integration time and a command to initiate data collection.
  • the pulses of light emitted by light source 3 are short enough compared to the speed of wafer Id that the light collected by one-spatial-dimension imaging spectrometer 11 comes from a minimally sized spot on wafer Id.
  • the pulses of light from light source 3 are synchronized with the integration time and the data acquisition command so that each pulse is emitted only during the integration time.
  • One-spatial-dimension imaging spectrometer 11 in turn communicates the spectral and spatial information to the computer 10 over one or more signal lines or through a wireless interface.
  • Spectral reflectance data is continually taken in this way while the wafer Id is moved under the one-spatial-dimension imaging spectrometer by the platform 2 under the action of translation stage 53 and upon command from computer 10.
  • the computer 10 uses the successively obtained one-dimensional spatial data to generate a two-spatial-dimension image.
  • the plurality of spectral reflectance images comprises a "hyperspectral image".
  • This two-dimensional map or hyperspectral image can be generated by assembling the measured signal intensity at a single wavelength at each location on the wafer into an image, while retaining the spatial relationship between image locations within each scan and from contiguous scan line to the next.
  • This two-dimensional image can then be analyzed to find pixels that correspond to specific locations on the wafer, and then the spectral reflectance data that is associated with these pixels can be analyzed using suitable techniques to arrive at an accurate estimate of the thickness of the film.
  • film thickness is determined by matching the measured spectrum to a theoretically or experimentally determined set of spectra for layers of different thicknesses.
  • a CCD-based one-spatial- dimension imaging spectrometer is illustrated and described as the means for determining the intensity of the reflected light as a function of wavelength, it should be appreciated that other means are possible for performing this function, and other types of one-spatial-dimension imaging spectrometers are possible than the type illustrated in the figure.
  • the foregoing embodiment is described with a preferred way of forming minimally sized spots on each wafer by synchronizing the emission of pulses of light with the integration time of two-dimensional imager 8 and with wafer motion.
  • alternate approaches that compensate for the relative wafer-to- imager motion also achieve the same ends.
  • the electrically actuated mirror includes a piezoelectric element mechanically connected to one edge of the mirror while the center of the mirror is secured to form a hinge that allows rotational motion about the center axis of the mirror so that the focal distance between the imaging system 11 and the wafer Id remains substantially the same.
  • the electrically actuated mirror Upon applying an electrical signal to the piezoelectric element, the electrically actuated mirror then deflects the light between wafer Id and the imaging system 11 such that the imaging system tracks the wafer motion during each integration period. Between integration periods, the mirror position is reset to begin tracking the proper wafer location for the following integration time.
  • Similar "wafer tracking" capabilities may be realized by displacing other optical elements, such as the slit 5.
  • the foregoing embodiment is described in the context of semiconductor wafers, and is illustrated in combination with a wafer transfer station for performing this function, it should be appreciated that it is possible to employ this embodiment in other contexts and in combination with other processing apparatus.
  • Other possible applications include providing thin film scratch resistant and/or antireflective optical coatings to automotive plastics, eyeglass lenses, and the like plastics packaging applications, and applications involving providing appropriate polyimide and resist thicknesses for flat panel display manufacturing.
  • any application or industrial process in which film measurement is desired is possible for use with the subject embodiment.
  • the primary advantages of the foregoing embodiment is that it is particularly well suited for real-time applications.
  • the one-spatial-dimension imaging spectrometer directly provides digitized values of intensity of the incoming light as a function of wavelength without requiring mechanical sweeping steps or the like.
  • digital CCD-based line-scan cameras are available with sufficient numbers of pixels so that resolution of measurement pads is possible.
  • the number of analytical and pattern recognition steps performed by the computer are limited to only a very few. This is because an image of the entire wafer is made, which eliminates complicated pattern recognition routines that are needed when only small areas of the wafers are viewed at any one time, as is the case with microscope-based instruments.
  • FIG. 3 A second embodiment of the subject invention, suitable for measuring transparent or semi-transparent films, such as dielectrics deposited upon patterned semiconductor wafers, is illustrated in FIG. 3 and designated as an imaging system 101 in which, compared to FIG. 1 and FIG. 2, like elements are referenced with like identifying numerals.
  • This embodiment is similar to the previous embodiment, with the exception that the wafer Id is in a vacuum process or transfer chamber 16, and the wafer motion required for scanning is provided by a transfer robotics assembly 17 that are used to move the wafer inside a vacuum chamber 16. Vacuum chamber 16 may be used for processing wafers, or for transferring wafers. Transfer robotics assembly 17 allows the wafer Id to move in the X direction relative to light source 3 and spectrometer 11.
  • a viewport 18 Visual access to the wafer Id is provided by a viewport 18. More specifically, light from light source 3 is directed to impinge upon wafer Id via fiber bundle 9 through viewport 18. In addition, light reflected from wafer Id is received by spectrometer 11 after passage through viewport 18. As transfer robotics assembly 17 moves the wafer Id through the vacuum chamber 16 as part of the CVD process, spectral measurements are successively taken from successive portions of wafer Id and provided to computer 10. Transfer robotics assembly 17 further serves to orient wafer Id so that patterned features such as arrays of conductive lines are oriented to be co-planar with a plane defined by the wafer normal and the optical axis of spectrometer 11, which consequently enhances the precision with which film thickness measurements can be made.
  • the plurality of spectral reflectance images of the patterned semiconductor wafer or portions of the wafer comprises a
  • Computer 10 may successively perform calculations on the data as it is received or may do so after all or a substantial portion ofthe wafer Id has been scanned. As with the previous embodiment, computer 10 may use this data to estimate film thickness.
  • this embodiment has the additional advantage of providing rapid in-line film thickness measurements taken during the normal transfer motion of the wafers between processes. This means that measurements can be made without slowing down the process and thus will not negatively affect throughput. Also, because the unit is compact and can be integrated into existing equipment, very little additional cleanroom space is required. Additionally, because there are no added moving parts, the system is very reliable. Moreover, because this embodiment is disposed entirely outside of vacuum chamber 16, it introduces no particles or contamination to the fabrication process.
  • FIG. 4 An embodiment of a method in accordance with the invention is illustrated in FIG. 4. As illustrated, in step 20, a line image of a corresponding line of a film is formed. The line image has subportions arranged along a spatial dimension.
  • Step 20 is followed by step 21, in which subportions of the line image are individually dissected to their relevant constituent wavelength components.
  • the wavelength components for a subportion are arranged along a spectral dimension.
  • Step 21 is followed by step 22, in which data representative of the wavelength components of the subportions is individually formed.
  • the process may then be repeated for successive lines of the film until all or a selected portion of the film has been scanned. Throughout or at the conclusion of this process, estimates of film thickness or other film properties may be formed from the assembled data.
  • the light source 3 is a tungsten/halogen regulated light source, manufactured by Stocker & Yale, Inc. (Salem, NH).
  • Fiber/fiber bundle 9 in this embodiment is a bundle configured into a line of fibers to provide uniform illumination along the measured surface.
  • Several companies, Stocker & Yale being a prime example, manufacture such a fiber optic "line light”. This example is configured for use with CVD processing system Model
  • the line imaging spectrometer 11 in this example is manufactured by Filmetrics, Inc., San Diego, California, the assignee ofthe subject application.
  • the imager 8 is a CCD imager incorporating a time delay and integration line scan camera manufactured by Dalsa Inc., Part No. CT-E4-2048 that has a CCD imager with 2048 pixels in the system spatial direction and 96 pixels in the system spectral direction.
  • Optometrics (Ayer, MA) manufactures transmission diffraction grating 7 as Part No. 34-1211.
  • the lenses 4 and 6 are standard lenses designed for use with 35 mm-format cameras.
  • the line scan camera is custom-configured to operate in area-scan mode, with only the first 32 rows of pixels read out. This results in a data read rate greater than 1000 frames per second. Thirty-two rows of spectral data are sufficient for measurement of thicknesses in the range required for CVD deposited layers. It has been found that this example embodiment yields a thickness accuracy of +1 nm at a 1000 nm film thickness, at a rate of five seconds per wafer scan.
  • a commercial embodiment of a system according to the invention will now be described.
  • the manufacturers of the components of this system are as identified in the previous exception, with the exception of the lens assembly used in the spectrometer.
  • high quality lenses and mirrors manufactured by Optics 1 Thiand Oaks, CA
  • These lenses and mirrors are such that the modulation transfer function (MTF) for a plurality of alternating black and white line pair having a density of about 40 line pairs/mm. is greater than 70% over the entire wavelength range of interest.
  • MTF modulation transfer function
  • This system is configured to measure the thicknesses of individual layers of a sample, e.g., patterned semiconductor wafer, at desired measurement locations. The coordinates of these desired measurement locations are provided to the system.
  • the thickness of the wafer at each of these desired locations is determined by comparing the actual reflectance spectra for locations in a larger area containing the desired measurement location with a modeled reflectance spectra for the area assuming a particular layer thickness. If the comparison is within a desired tolerance, the assumed thickness is taken to be the actual thickness. If the comparison is not within the desired tolerance, the assumed thickness is varied, and the modeled reflectance spectra re-determined consistent with the newly assumed thickness. This process is continued until a comparison is performed which is within the desired tolerance. This process is repeated for a predetermined number, e.g. 5, of desired measurement locations on a layer ofthe wafer.
  • a predetermined number e.g. 5, of desired measurement locations on a layer ofthe wafer.
  • FIG. 5A illustrates a top view ofthe wafer 500.
  • the wafer 500 may be divided up into individual dies 502a, 502b, and 502c.
  • a plurality of predetermined measurement locations 504a, 504b, and 504c may also be provided. These measurement locations are typically situated in areas on the surface of wafer 500 that are between adjacent dies. The reason is these areas tend to have areas designed for use as measurement locations. This can be seen from an examination of FIG. 5B, which illustrates an example of a cross-section of one of the dies of FIG. 5A.
  • the cross-section has three layers, identified from top to bottom respectively with identifying numerals 506a, 506b, and 506c.
  • a combination of features provided in layers 506b and 506c form field-effect transistors 514a, 514b, and 514c.
  • Layer 506c in this example provides doped regions 506a, 506b, 506c within a silicon substrate, where the doped regions 506a, 506b, 506c serve as the source/drain regions, respectively, of transistors 514a, 514b, and 514c.
  • Layer 506b in this example comprises regions 510a, 510b, 510c which serve at the gates, respectively, of transistors 514a, 514b, and 514c.
  • the topmost layer 506a provides metal contact regions 512a, 512b, 512c, which may be selectively connected to individual ones of gate regions 510a, 510b, 510c during the processing ofthe die.
  • This cross-section is built up layer by layer in the following order: 506c, 506b, and 506a.
  • 506c During or after the process of adding each of the layers, 506a, 506b, 506c, it may be desirable to measure the thickness of the layer at one or more points.
  • each ofthe layers includes features that make it difficult to precisely model the reflectance spectra at those locations.
  • layer 506c has source/drain regions 508a, 508b, and 508c; layer 506b has gate regions 510a, 510b, 510c; and layer 506a has contact regions 512a, 512b, and 512c.
  • predetermined measurement locations are determined in areas where there are typically fewer features present, thereby simplifying the modeling process.
  • examples of these locations are the locations identified with numerals 504a, 504b, and 504c. Most often, open areas approximately 100 ⁇ m x 100 ⁇ m are included in the wafer pattern design to serve as locations for film property measurements.
  • FIG. 6A illustrates an overall view of the commercial embodiment 600 of the system.
  • a wafer 500 is supported on platform 632.
  • a light source 604 directs light 630 to a plurality of locations 634 on the surface of the wafer 500, which, in the current commercial embodiment, is in the form of a line that spans the entire diameter of the wafer 500. It should be appreciated, however, that embodiments are possible where the plurality of locations 634 form an irregular or curved shape other than a line, or form a line which spans less than the full diameter of wafer 500.
  • a sensor 602 receives the reflected light from the one or more locations 634, and determines therefrom the reflectance spectra representative of each ofthe one or more locations.
  • the reflectance spectrum for a location is the spectrum of the intensity ofthe reflected light from the location as a function of wavelength, or some other wavelength-related parameter such as 1/ ⁇ , n/ ⁇ , nd/ ⁇ , or nd (cos ) / ⁇ where n is the index of refraction for the material making up the layer, ⁇ is wavelength, d is the thickness of the layer and ⁇ is the angle that the optical axis of spectrometer 11 makes with respect to the wafer normal.
  • An example of the reflectance spectrum for a location on the surface of wafer 500 may be as illustrated in FIG. 7.
  • the reflectance spectra for the plurality of locations 634 is provided to processor 606 over one or more signal lines 626, which may be implemented as a cable or other wired connection, or as a wireless connection or interface.
  • This data may be provided to the processor concunently with the capture of data from other locations on the surface of wafer 500. Alternatively, this transfer may be deferred until data for all or a substantial portion of the surface of wafer 500 has been captured.
  • a translation mechanism 608 is configured to relatively translate wafer 500 so that the incident light 630 can be scanned across the entirety of the surface of wafer 500.
  • the translation mechanism 608 may be under the control of processor 606 or some other control means.
  • Translation mechanism 608 has the further capability of orienting, under command of processor 606, wafer 500 so that the measurement plane is parallel with features such as parallel conductive lines in wafer 500 that may be present.
  • the wafer 500 need only be moved in the X direction, identified with numeral 636, but it should be appreciated that embodiments are possible in which other directions of scanning, or combinations of directions, are possible.
  • the wafer 500 may be scanned in its entirety by scanning one half of the wafer in the X direction, then translating the wafer in the Y direction (identified with numeral 638) so that the remaining un-scanned portion of the wafer 500 resides under the incident light, and then scanning the second half of the wafer 500 by translating the wafer 500 in the X direction.
  • the light source 604 and sensor 602 are in a fixed relationship relative to one another, and the translation mechanism 608 is configured to achieve relative translation between the sensor 602 and the wafer 500 by successively moving the platform 632 supporting the wafer 500 relative to the light source 604 and sensor 602 in the X direction, identified with numeral 636.
  • the translation mechanism 608 is configured to achieve relative translation between the sensor 602 and the wafer 500 by successively moving the platform 632 supporting the wafer 500 relative to the light source 604 and sensor 602 in the X direction, identified with numeral 636.
  • light source 604 and sensor 602 are moveable relative to the wafer 500 by moving the light source 604 and sensor 602 relative to the platform 632.
  • the light source 604 comprises a white light source 610, or at least a light source having wavelength components over a desired wavelength range.
  • light source 604 also includes a light shaper 612, which may be in the form of a fiber cable bundle where the individual fibers at the outer face 640 of the cable in aggregate form a rectangular shape as shown in FIG. 8.
  • the rectangular shape of outer face 640 serves to project light from source 610 onto the surface of wafer 500 in the form of a line in the Y direction that spans the full diameter of the wafer, which in the case of this example is 100 mm.
  • the sensor 602 in the current commercial embodiment includes a lens assembly 614 situated along the optical path traced by the reflected light 642 from the surface of wafer 500. This lens assembly 614 functions to reduce the size of the reflected light from about a 100 mm line to about a 26 mm line.
  • a slit 616, concave mirror 618, and convex mirror 620 are also included within sensor 602, and are also placed along the optical path traced by the reflected light 642. In the current commercial embodiment, these optical elements are placed after lens assembly 614 in the order shown in FIG. 6A.
  • the slit 616 functions to aperture the light emerging from lens assembly 614 so that it is in the form of a line
  • mirrors 618 and 620 function to direct the light so that it impinges upon transmission diffraction grating 622 which next appears along the optical path.
  • the entire lens/slit/minor assembly is of sufficient quality that the MTF for an alternating black and white line pattern having a density of 40 line pairs/mm is not less than 70%.
  • lens assembly 614, slit 616, and mirrors 618 and 620 are not essential to the invention, and that embodiments are possible where these components are avoided entirely, or where other optical components are included to perform the same or similar functions.
  • the light that impinges on diffraction grating 622 is located close to the CCD imager and is thus close to being focused back into the form of a line.
  • FIG. 6B The situation is as depicted in FIG. 6B in which, relative to FIG. 6A, like elements are identified with like reference numerals.
  • the reflected light 642 is also in the shape of a line, and after various resizing and shaping steps, impinges upon diffraction grating 622.
  • the line 644 is divisible into portions, each of which is representative of conesponding portions of wafer 500 along line
  • portion 644a of the light impinging on diffraction grating 622 is representative of portion 634a of wafer 500
  • portion 644b of the impinging light on diffraction grating 500 is representative of portion 634b of wafer 500.
  • Diffraction grating 622 breaks each of the individual portions of line 644 into their constituent wavelengths.
  • grating 622 breaks portion 644a into n wavelength components, ⁇ o, ..., ⁇ n - ⁇ , identified respectively with numerals 644a(0), . .
  • imager 624 has a resolution of 2048 pixels by 96 pixels, although in the current commercial embodiment, only 32 pixels in the vertical (spectral) dimension are used.
  • the slit 616 in the spectral dimension determines the measurement spot size in the direction perpendicular to the line image, and it was chosen so that the spot size is 50 ⁇ m in this dimension as well, so the resulting measurement spot size is approximately 50 ⁇ m x 50 ⁇ m square over the entire 100 mm line being measured on the wafer.
  • Additional commercial embodiments such as the Filmetrics STMapper, measure larger wafers with the same sensors by simply mounting multiple sensors side-by-side to measure contiguous 100-mm-wide swathes of the wafers simultaneously. For example, the very common 200 mm diameter wafers are measured by mounting two sensors side-by-side, and the larger 300 mm diameter wafers are measured by mounting three sensors side-by-side.
  • the processor 606 has access to the reflectance spectra for all or a substantial portion ofthe entire surface of wafer 500. This data can be depicted as shown in FIG. 9A.
  • Numeral 900a identifies the reflectance data for points on wafer 500 for the first wavelength component, ⁇ 0 ; numeral 900b identifies the reflectance data for the second wavelength component, ⁇ ls and numeral 900c identifies the reflectance data for the (n-l) th wavelength component, ⁇ n - ⁇ .
  • reflectance data 900a in combination with off-wafer data points for the first wavelength component ⁇ o comprises reflection data 910a.
  • Reflectance data 900b in combination with off-wafer data points for the second wavelength component ⁇ 2 comprises reflection data 910b.
  • reflectance data 900c in combination with off-wafer data points for the first wavelength component ⁇ n -i comprises reflection data 910c.
  • the ensemble of reflectance data 910 comprises a hyperspectral image 920, shown in FIG. 9B.
  • the wavelength components identified with numerals 902a, 902b, and 902c collectively constitute the reflectance spectrum for a site on the surface of the wafer 500. Cunently, about 1 Gbyte of data is generated for each layer, so the processor must include a storage device that is capable of storing this quantity of data.
  • processor 606 is configured to analyze the data and determine therefrom the thickness of the layer at one or more desired measurement locations.
  • the coordinates of these measurement locations are known, and accessible to the processor 606.
  • the processor 606 also has access to information that describes the structure of the wafer at the desired measurement locations sufficiently to allow the reflectance spectra at the desired locations, or the immediately sunounding areas, to be accurately modeled.
  • Such information might include the composition of the layer in question and that of any layers below the layer in question, a description of any features, such as metal leads and the like, present in the layer in question and in any layers below the layer in question, and the thicknesses of any layers below the layer in question.
  • the processor 606 For each of the desired measurement locations, the processor 606 is configured to use this information to model the reflectance spectrum of that location, or surrounding areas, assuming a thickness for the layer in question.
  • the processor 606 is further configured to compare the modeled spectrum for a desired measurement location, or sunounding locations, with the actual reflectance spectra for these locations, and if the modeled spectra is within a defined tolerance of the actual spectra, determine that the assumed layer thickness is the actual layer thickness. If the comparison is not within the defined tolerance for the measurement location in question, the processor 606 is configured to vary the assumed layer thickness, remodel the reflectance spectra using the assumed layer thickness, and then re-perform the comparison until the modeled data is within the prescribed tolerance.
  • the processor 606 is configured to repeat this process for each ofthe desired measurement locations on a layer.
  • the processor 606 performs the comparison over a 10 x 10 pixel area centered on the nominal position of the desired measurement location. Analysis of more than one pixel is generally required because there is some uncertainty in the exact location of the desired measurement spot relative to the acquired wafer image, due to image imperfections caused by wafer vibration or other non-idealities.
  • FIG. 10 illustrates the 10 x 10 pixel area sunounding the nominal desired measurement location 1000.
  • FIG. 10 (A) shows a portion 1005 of wafer 500 with the outline of pixels superimposed on portion 1005.
  • FIG. 10 (A) shows a portion 1005 of wafer 500 with the outline of pixels superimposed on portion 1005.
  • FIG. 10 (A) shows bond pad 1020 between die edge 1030 and die edge 1040.
  • a desired measurement site 1000 In the center of bond pad 1020 is a desired measurement site 1000.
  • FIG. 10 (B) shows an image of portion 1055 with the outline of pixels visible. The fill of each pixel represents the spectrum associated with each pixel; like fill indicates like spectra.
  • is the difference between the modeled and actual intensities ofthe i th wavelength component for the pixel being analyzed
  • ABS is the absolute value function.
  • pixels conesponding to like spectra can be used to identify high contrast regions such as those found at the edge of die.
  • spectral signatures By looking for spectral signatures, one can identify key features such as bond pads. For example, an examination of a row 1060 leads to the signature of two high contrast regions with five pixels having the signature of streets in between. Likewise, an examination of a row
  • FIG. 11 is a flowchart of the method of operation followed by the cunent commercial embodiment for each layer in the sample being evaluated.
  • the sample may be a semiconductor wafer or some other sample.
  • step 1100 the reflectance spectra for a plurality of spatial locations on the surface of a sample are simultaneously captured.
  • the spatial locations may be in the form of a line, or some other shape, such as a curved shape, although in the cunent commercial embodiment, the locations are in the form of a line.
  • step 1004 an evaluation is made whether all or a substantial portion of the entire surface has been scanned. If not, step 1102 is performed.
  • a relative translation is performed between the surface of the sample and the light source and sensor used to perform the capture process.
  • Step 1100 is then re-performed, and this process repeated until all or a substantial portion ofthe entire surface ofthe layer has been scanned.
  • step 1106 is performed.
  • step 1106 the coordinates of a desired measurement location are used to locate the reflectance data for that location or a location within a sunounding area.
  • step 1108 is then performed.
  • step 1108 the reflectance data for the location or a location within the sunounding area is compared with modeled reflectance data for that location to determine if the modeled data and actual data are within a prescribed tolerance.
  • This modeled data is determined assuming a thickness for that layer at or near the desired measurement location. The closeness of the fit is evaluated in step 1112. If the fit is outside a prescribed tolerance, step 1110 is performed. In step 1110, the reflectance data for the location is re-modeled assuming a different layer thickness and/or the location from which the actual data is taken is varied. Steps 1108 and 1112 are then re-performed. This process then continues until the modeled data is within the prescribed tolerance of the actual data. Step 1114 is then performed. In step 1114, the assumed layer thickness for the modeled data that satisfied the tolerance criteria in step 1112 is taken to be the actual layer thickness at the desired location. Step 1116 is then performed.
  • step 1116 it is determined whether there are additional desired measurement locations for the layer in question. If so, a jump is made back to step 1106, and the process then repeats from that point on for the next location. If not, the process ends.
  • a variation on the method shown in the flowchart in FIG. 11 is insert a step prior to step 1100 that includes a rapid scan of all or part of the sample, and an analysis to assess whether the sensitivity of the detector has been set properly.
  • This analysis involves comparing the intensity recorded by each pixel to the maximum possible, and if the maximum such intensity is within a pre-determined range that optimizes the measurements, then the logic of the method proceeds to step 1100; otherwise the sensitivity is adjusted to ensure that maximum intensity measurements obtained in step 1100 do fall within the pre-determined range at which point the logic ofthe method proceeds to step 1100.
  • FIG. 12 shows system 102, which is identical to system 100 except for the addition of a polarizer 1210 and a rotating analyzer 1220 and software in computer 10 to control rotating polarizer 1220 and to analyze the data obtained with system 102.
  • Polarizer 1210 is a linear polarizer having a polarization axis that defines the polarization angle of maximum transmission.
  • Polarizer 1210 is disposed between light source 3 and optical fiber 9 and serves to ensure that light emitted from light source 3 impinges upon wafer Id linearly polarized.
  • rotating analyzer 1220 has a polarization axis that defines the polarization angle of maximum transmission.
  • Rotating analyzer 1220 further includes a rotation mechanism controllable by computer 10 such that the polarization angle of rotating analyzer 1220 is known.
  • System 102 operates to collect light reflected from wafer Id identically to system 100 except for the effects of using polarized light and the algorithms used to infer film characteristics such as film thickness. Light impinging upon wafer Id is polarized due to polarizer 1210 and the light reflecting from wafer Id undergoes polarization shifts according the film properties on wafer Id.
  • Rotating analyzer 1220 transmits light reflected from wafer Id in accordance with the polarization axis of rotating analyzer 1220.
  • the light continues to propagate through line imaging spectrometer 11 to two-dimensional imager 8 where it forms a polarized line image. Since analyzer 1220 rotates, it alternately passes s- polarized and p-polarized light. By sequentially capturing s-polarized and p- polarized light, spatial maps of ⁇ and ⁇ can be generated from which, using well known methods, film properties such as thickness can be determined for each point and thus for all or portions of wafer Id. It is also important that data acquisition from two-dimensional imager 8 be synchronized with the velocity of wafer Id so that alternating frames of data conesponding to s- and p-polarized light, can be aligned so that rows of s- and p- polarized data overlap.
  • Previously discussed light strobing and/or wafer tracking methods can be used. Ellipsometric measurements can also be made using alternate configurations. If polarizer 1210 and analyzer 1220 are replaced with a rotating polarizer and a fixed analyzer respectively, then a rotating polarizer configuration is obtained. The operation of such a configuration is basically the same except that the polarization of the incident light is modulated before reflecting from the surface of wafer Id and being analyzed by the fixed analyzer and recorded by two-dimensional imager 8. The foregoing embodiment is described such that s- and p-polarized light is sensed in sequentially alternating frames.
  • a dual sensor anangement can be used, as shown in FIG. 21 as imaging system 104.
  • light reflected from wafer Id passes through a non-polarizing beamsplitter 2110 before being analyzed and detected.
  • Beamsplitter 2110 is disposed within system 102 so that light reflected by the beamsplitter remains in the plane defined by angle ⁇ .
  • Line imaging spectrometer 11s Light passing through the beamsplitter is analyzed by a line imaging spectrometer 11s for s-polarized light, where line imaging spectrometer 11s is identical to line imaging spectrometer 11 except that rotating analyzer 1220 is replaced by a fixed analyzer 1220s that is oriented to pass s-polarized light. Light reflected by beamsplitter
  • second line imaging spectrometer lip for p-polarized light is analyzed by a second line imaging spectrometer lip for p-polarized light, where second line imaging spectrometer lip is identical to line imaging spectrometer 11s except that it includes a fixed analyzer 1220p that is oriented to pass p-polarized light.
  • the other elements of second line imaging spectrometer lip (enumerated in FIG. 21 with a suffix 'p') are duplicates of like identified elements of line imaging spectrometer 11s.
  • images captured with the two line imaging spectrometers can be disposed within system 104 so that s-polarized and p- polarized measurements of the same locations on wafer Id are substantially aligned.
  • ellipsometric measurement anangements can also be accomplished using the basic structure of system 100 with suitable modifications.
  • Such ellipsometric measurement anangements are well known in the art and include a rotating compensator ellipsometer (which require a nanow spectrum light source for effective operation), a polarization modulation ellipsometer, and a null ellipsometer.
  • FIG. 13 shows a variable angle spectroscopic ellipsometer 103, which is yet another type of wide-area high-speed, high-resolution imaging ellipsometric imager that can be made.
  • Ellipsometer 103 is identical to ellipsometer 102 except for the addition of angle track 1330.
  • Ellipsometer 103 functions in the same way as ellipsometer 102 except that it allows ⁇ and ⁇ to be measured over a range of angles ⁇ .
  • ellipsometric images are obtained at a fixed angle ⁇ , then ⁇ is adjusted to a different angle and another set of ellipsometric images are collected. This process continues over a range of angles that depends on the materials being measured.
  • the apparatus of the present invention can also be used to rapidly perform measurements to determine erosion, which occurs during CMP.
  • Erosion is the excess removal of material in an anay of metal lines or vias, and involves the removal of both metal and dielectric material though in unequal proportions. If too much metal is removed, then the integrated circuit so formed is subject to numerous performance issues ranging from degraded performance due to increased capacitance affecting RC-time constants to joule-heating failures arising from excessive reduction of the cross sectional area of metal lines (Bret W. Adams, et al., "Full-Wafer Endpoint Detection Improves Process Control in Copper CMP", Semiconductor Fabtech Vol..12, p.283, 2000).
  • the reflectance apparatus of the present invention is used to shine light onto an anay of metal lines following a CMP step, where the incident light is in a plane parallel to the lines and perpendicular to the anay of metal lines. Once such light is incident upon an anay of metal lines, film thickness measurements of the top-most layer can be made at multiple locations on the image of wafer Id adjacent to and including a desired measurement site. These thickness measurements are obtained from between metal lines or vias.
  • FIG. 14 shows an example patterned film structure 1400 that includes an anay of copper lines 1410a - 1410d sunounded by silicon dioxide 1420 over a thin layer of silicon nitride 1430 and a second layer of silicon dioxide 1440 and a silicon substrate 1450.
  • FIG. 14 shows an example patterned film structure 1400 that includes an anay of copper lines 1410a - 1410d sunounded by silicon dioxide 1420 over a thin layer of silicon nitride 1430 and a second layer of silicon dioxide 1440 and a silicon substrate 1450.
  • FIG. 14 (A) shows incident light rays 1460, 1462, and 1464 striking patterned structure 1400 at a range of relatively large incident angles.
  • Incident light rays 1460, 1462, and 1464 strike copper lines 1410a - 1410c at sidewalls 1412a and 1412b and at underside 1412c respectively. For simplicity no refractive or diffractive effects are included though they would be present.
  • light ray 1460 strikes copper line 1410a at sidewall 1412a, and reflects off substrate 1450 before passing between copper line 1410a and 1410b before leaving patterned structure 1400.
  • Light ray 1462 demonstrates different behavior in that after reflecting off sidewall 1412b of copper line 1410b and substrate 1450 it reflects off underside 1412c of copper line 1410c, which leads to a second reflection off substrate 1450 before exiting patterned structure 1400.
  • a multiplicity of reflections between copper lines 1410 and substrate 1450 is possible, each reflection of which introduces increased dependence of the reflectance spectrum upon the copper lines.
  • Light ray 1464 which has a relatively large incident angle, undergoes a single reflection off substrate 1450 before exiting patterned structure 1400.
  • Light rays 1460 and 1462 have optical path lengths that depend significantly upon parameters of the copper lines such as width, thickness, and sidewall angle. Consequently, the overall reflectance signal depends significantly upon these physical parameters.
  • FIG. 14 (B) shows that light with a small NA incident at small angles leads to a high percentage of light passing by copper lines 1410 with reduced deflections off sidewalls 1412, reflecting off substrate 1450, and passing again between copper lines 1410 with substantially reduced reflections off of sidewalls 1412.
  • small NA light rays incident at a small angle the extent of the variation of reflections due to variation of patterned features such as copper lines 1410 is minimized, which leads to significantly reduced sensitivity of the reflectance spectrum to variations in the copper line dimensions. This means that erosion can be measured with this simple system without undue sensitivity or interference from variations in metal line dimensions.
  • the metal lines still have to be accounted for when modeling the wafer structure to determine the thickness of the top oxide layer using well-known methods such as Rigorous Coupled Wave
  • RCWA Integrated Circuit Analysis
  • Normally encountered variations in the metal dimensions are typically not enough to cause inaccuracies in oxide thickness determination.
  • high-NA measurement systems such as those previously mentioned that use microscope objectives to acquire spectral reflectance from a single point, are much more sensitive to variations in metal line dimensions because of the effect such variations have on the overall reflectance.
  • the reflectance of light incident upon an anay of lines such as copper lines 1410 depends in part upon the polarization ofthe incident light and the orientation of copper lines 1410. Copper lines 1410 thus behave like a wire grid polarizer, as described in US 6,532,111.
  • the polarization ofthe light in apparatus 100 may be restricted to one polarization and this effect may be used advantageously in combination with the advantages of the low NA, low incident angle light in analyzing three-dimensional structures. If the incident light in system 102 is linearly polarized as a result of polarizer 1020 so that the light has an electric field nominally perpendicular to copper lines 1410, then the light passes easily into the patterned structure 1400 where it reflects and again passes easily out of patterned structure 1400. If the incident light has an electric field nominally parallel to copper lines 1410, then a greater portion of the light reflects from the patterned structure 1410 compared to the case of light with an electric field perpendicular to copper lines 1410.
  • Anays of conductive lines on a patterned semiconductor wafer are almost always parallel or perpendicular to a notch line extending from the wafer center to the notch.
  • each metallization layer generally has almost all lines oriented in the same direction.
  • platform 2 can be used to rotate wafer Id so that the metal lines are parallel to the electric field ofthe polarized light so the ensuing measurements are more sensitive to light reflecting off of the top of the metal features.
  • FIG. 15 shows an example of how the apparatus ofthe present invention is used to determine erosion.
  • FIG. 15 shows a patterned structure 1500 that has erosion.
  • This structure includes an anay of copper lines 1510 between which is silicon dioxide 1520.
  • the copper lines 1510 are on a layer of silicon nitride 1530 and a second layer of silicon dioxide 1540 on a substrate 1550.
  • a hyperspectral image of patterned structure 1500 includes reflectance due to light ray 1570 and 1575, where light ray 1570 passes between copper lines 1510 where there has been minimal erosion.
  • Light ray 1575 passes between copper lines 1510 where there has been substantial erosion.
  • step 1955 involves determining a first thickness of silicon dioxide 1520 from light ray 1570 and a second thickness value of silicon dioxide 1520 from light ray 1575, and calculating a net difference value between the first thickness value and the second thickness value.
  • the net difference value is the erosion.
  • the apparatus of the present invention can be used to conect for spectral overlap enors that distort the signal detected and cause enors.
  • satisfies the grating equation
  • m ⁇ d (sin ⁇ + sin ⁇ )
  • m an integer
  • the diffraction angle
  • d the grating period.
  • the number of orders that must be accounted for depends on the diffraction efficiency of diffraction grating 7 for each order, the range of wavelengths of light emitted by light source 3, and the range of wavelengths over which two- dimensional imager 8 is sensitive.
  • diffraction grating 7 scatters second order light from light having a wavelength of 400 nm into the same angle as first order light having a wavelength of 800 nm.
  • a pixel in two-dimensional imager 8 aligned to receive the 400 nm light also receives the 800 nm light.
  • light from wavelengths ranging from 400 nm to 500 nm is scattered onto pixels that receive light ranging from 800 nm to 1000 nm.
  • Method 1600 shown in FIG. 16 can be used. This method involves calibrating the response of two- dimensional imager 8 to second order diffracted light at several calibration wavelengths between the smallest wavelength of light that can be second order light and the upper limit of sensitivity ofthe detector. For example, if light source 3 has a minimum wavelength of 400 nm, and two-dimensional imager 8 has an upper limit of sensitivity of 1000 nm, then wavelengths in the range of 400 nm to 500 nm are selected. Any of a variety of light sources can be used to provide nanow band calibration light including lasers and light emitting diodes.
  • LEDs light emitting diodes
  • lasers can also be used, they suffer the disadvantage of being of such nanow bandwidth that the exact location of light incident upon two-dimensional imager 8 is not known other than that it falls within the pixel the light strikes.
  • LEDs normally have a bandwidth of 10 to 20 nm, which means that when such light strikes two-dimensional imager 8 it covers more than one pixel.
  • curve-fitting algorithms the exact location ofthe peak can be found.
  • FIG. 17 shows the effect of an un-conected spectral response curve and a conected spectral response curve.
  • a spectral response curve 1730 extends from ⁇ m ; n to 2 ⁇ m i n . In this wavelength range there is no spectral overlap. Above 2 ⁇ m j n is a spectral response curve 1770, which extends from 2 ⁇ m j n to ⁇ cut and includes both first and second order diffracted light. From equation (3) and from the figure, a portion ofthe light in this wavelength range must be subtracted from the total light detected to arrive at a conected spectral curve. Equivalently, spectral response curve 1760 results from first order spectral light whereas spectral response curve
  • Step 1610 of method 1600 involves selecting a calibration wavelength to use. Since the contributions due to second order effects tend to vary relatively smoothly over the affected range, it suffices to use approximately four calibration wavelengths in the range between the smallest wavelength and half the maximum wavelength at which the detector is sensitive. These wavelengths, designated as ⁇ i, ⁇ 2 , ⁇ 3 , and ⁇ 4 , are shown in FIG. 17.
  • Step 1620 of method 1600 involves directing the light into system 100 with light source 3 replaced by an LED emitting at a desired calibration wavelength. It should be noted that these calibration measurements can be performed with the angle ⁇ as small as zero degrees. Light at calibration wavelength ⁇ i leads to first order intensity 1705 and second order intensity 1735 at 2 ⁇ ].
  • Step 1630 of method 1600 involves sensing the light, including both first and second order wavelengths, and recording these measurements.
  • diffraction grating 7 is generates first and second order diffracted light that strikes two-dimensional imager 8 at two locations on two-dimensional imager 8. This measurement results in a curve with two sharp peaks, a first peak conesponding first order diffracted light and a second peak conesponding to second order diffracted light.
  • Step 1640 of method 1600 assesses whether sufficient different wavelengths of light have been used. If measurements at sufficient wavelengths have been made, then the logic of method 1600 moves to Step 1650; if not, then the logic of method 1600 moves to Step 1610 and another wavelength is chosen.
  • Step 1650 of method 1600 calculates a system response based on measurements obtained in Step 1630. For each intensity curve, i.e., for each calibration wavelength, the intensity values adjacent to a nominal peak that exceed a threshold value are selected.
  • a peak-finding algorithm is used to determine precisely each peak amplitude and wavelength, one for first order diffracted light and one for second order diffracted light. Such peak-finding algorithms are well known; examples of such algorithms include parabolic fitting and Gaussian fitting.
  • This peak-finding process is repeated for each calibration wavelength. Having obtained precise peak amplitudes and wavelengths for each first and second order calibration wavelengths of light, a ratio of the peak amplitude conesponding to first order diffracted light to the peak amplitude conesponding to second order light is calculated, viz.,
  • Step 1650 concludes by calculating the conection factor C( ⁇ ) by interpolating R;( ⁇ j) for wavelength values between ⁇ i and ⁇ N and extrapolating for wavelength values between 2 ⁇ m j n and ⁇ cut that lie outside the range ⁇ i and ⁇ w.
  • the result is a piece-wise continuous conection factor 1810 shown in FIG. 18.
  • Step 1650 concludes by storing the conection factor C( ⁇ ) in memory.
  • inegularities in the ensuing image may occur that cause image distortion. These inegularities result from non-constant wafer velocity during the measurement process.
  • the resulting image is either a circle (which is good), or an ellipse. Whether the semi-major axis of the ellipse is disposed along the direction of motion or transverse to it depends on the linear velocity. In either case, the streets are straight lines, but they do not intersect at right angles.
  • This distortion can be conected for by a linear remapping of the image using correction factors obtained by determining the length of the semi-major and semi-minor axes of the ellipse.
  • the distinctive character of the streets allows them to be identified.
  • tangents at the intersection of the chord and the streets can be formed. Alternate tangents point in the same direction because of the linear velocity, and they conespond to either horizontal or to vertical rows. These tangents depend only on the linear velocity of the wafer during the measurements, and on the sampling rate.
  • This algorithm is based on extracting information from a single chord. For a wafer moving at a constant velocity, this single measurement applies to the entire wafer. Any chord spanning the wafer thus contains sufficient information to extract the wafer velocity, and therefore to infer how to conect for it. Since this algorithm applies to a single chord, which is obtained in a short measurement time, it can be applied to small areas of the wafer and to situations where the motion is non-uniform. Examples of such motion include the motion that a wafer undergoes if being manipulated by a robot arm on an R- ⁇ stage, or on a CMP tool undergoing orbital, or rotational, or linear motion.
  • FIG. 19 shows reflectance data 1900 at an arbitrary wavelength that includes wafer image 1910 having a plurality of street images 1920, and a wafer edge image 1905. Street images 1920 appear as wavy lines due to non-uniform velocity.
  • the waviness provides a way to infer the precise amount of velocity non-uniformity. More importantly, the waviness in combination with the fact that the streets are actually straight can be used to conect for the non-uniform velocity. To conect for the distortion in wafer images, find selected features in key locations and examine tangent lines to the features at these points. There are two cases to consider: one, where the streets are actually oriented horizontally and vertically (conesponding to rotational angle ⁇ equal to 0°, 90°, 180°, or 270°); and two, where the streets are not so oriented.
  • the first step is to find wafer edge image 1905 by sequentially examining points from the edge of reflectance data 1910, for example by examining the points along the dotted line 1924 in the direction ofthe , line designated by the numeral 1973. Reflectance values conesponding to points off the wafer are less than a threshold value, which facilitates finding an edge point 1950 on wafer edge image 1905. Suitable threshold values range from 0.002 to 0.30, but a prefened value is 0.01. (This technique can be applied in other directions, e.g.
  • a tangent line I960 at edge point 1950 is created.
  • the additional points, in the presence of non-uniform motion, may include some curvature, which can be determined through the use of well- known curve-fitting algorithms.
  • a similar process leads to determining a tangent line 1966 at an edge point 1956.
  • the direction of tangent line 1960 is related to the angle of the edge of wafer 500 and the wafer velocity. This process works for all edge points except at the wafer top, the wafer bottom, and at the midpoints.
  • wafers include a notch to identify crystallographic orientation, the very high resolution of images formed with the apparatus of the present invention render this notch visible in wafer image 1910. Since wafers are usually loaded with the notch in a given position, the image of the notch is likely to be in a conesponding position. (However, the notch position can differ from the alignment ofthe wafer patterning by as much a degree or two).
  • the reflectance data 1900 Begin with the reflectance data 1900, and start from the top of the image and move down until wafer edge image 1905 is detected, as described above. Examine the reflectance at all wavelengths and using the highest reflectance compared to the threshold value.
  • Wafer 500 has a center point whose location is known to within a couple of millimeters, so a wafer image center point 1980 is also known to within a few pixels.
  • To find the wafer image center 1980 of wafer image 1910 use chords across wafer image 1910.
  • the exact location of wafer image center 1980 is at the intersection of first diameter line and the second diameter line. If the first diameter line and the second diameter line are not the same (due to having walked upon the notch), then repeat the process of obtaining diameter lines along + 45 degree lines. Having found the edges of wafer image 1910, the notch is found as follows. After determining the wafer center location, start at the top of wafer image 1910 and move around either clockwise or counter-clockwise. Each step involves moving either one pixel left or right or one pixel up or down, depending on where the center of the wafer is. For example, if starting at the top of wafer image 1910 then the wafer center is directly below.
  • One way to find the notch involves examining the first derivative of the data.
  • the first derivative is highest at the edges ofthe notch, and yields a good approximate location for the notch.
  • To more precisely locate the notch once having found the notch using the first derivative apply well-known curve-fitting algorithms to' the tip ofthe notch.
  • the present invention further includes such a process, which is called an "autorotate" algorithm.
  • This algorithm involves accurately determining the rotational orientation of the hyperspectral image of wafer Id. This algorithm makes no assumption about spatial orientation, so this approach is particularly effective in processes such as CMP where wafers may slip during process. 5/083352
  • the method described here takes advantage of the fact that wafer pattern features align orthogonally due to the step and repeat nature of patterns on partially processed integrated circuits. This effect is especially apparent in the streets regions between the die.
  • a row or column summation preserves a signature indicative of these features.
  • the wafer pattern features are not aligned, then the elements of the resulting row or column summation are more of an average from a much greater variety of areas of the wafer, and thus maintain much less feature differentiation.
  • a single "Goodness-of- Alignment" value for a given orientation ofthe image of wafer Id by: summing all of the reflectance values along each row to form a sequence of row sums; forming a difference column by calculating the difference between adjacent elements ofthe sequence of row sums, and determining the Goodness-of- Alignment value for the given orientation of the image of wafer Id by summing each value in the difference row that exceeds a threshold value.
  • Determining the orientation of the image of wafer Id involves applying the above algorithm to the image of wafer Id over a range of image rotations to generate a series of Goodness-of-Alignment values for different rotational orientations of the image of wafer Id.
  • the rotations are performed by applying the appropriate mathematical transformations to the image of wafer Id.
  • FIG. 20 shows an example of the resultant Goodness-of-Alignment values as a function of rotational angle ⁇ . Notice that the Goodness-of-Alignment values have sharp maxima at ninety-degree intervals, which conespond to alignments between the pattern features and the rows and columns of the image of wafer Id. These peaks are seen in practice.
  • FIG. 20 shows an example of the resultant Goodness-of-Alignment values as a function of rotational angle ⁇ . Notice that the Goodness-of-Alignment values have sharp maxima at ninety-degree intervals, which conespond to alignments between the pattern features and the rows and
  • An alternate approach to orienting the streets in the auto-rotate algorithm involves using light in a single nanow band is used instead of using all of the light.
  • One suitable wavelength is 660 mn.
  • One example is to use a relatively blue wavelength, for example 410 nm, and a relatively red wavelength, e.g. 660 nm.
  • An optional step within the autorotate algorithm is to obtain a die signature.
  • pattern recognition techniques are used to identify in wafer image Id the locations of portions, e.g. quadrants of individual die. Unless each die is exactly symmetric about its center point, the reflectance in different quadrants of each die vary from quadrant to quadrant is asymmetric. These variations from quadrant to quadrant constitute a signature indicative of the orientation of each die.
  • An additional technique is to use the ratio of reflection intensities at different wavelengths as described above.
  • Rotational Auto-rotate method Yet another approach to obtaining an oriented wafer image is to analyze an image of a portion of a patterned wafer, where the portion of the wafer being examined includes a street at the radial distance from the wafer center, but at an unknown angle.
  • the nominal location of the wafer center is known to within tens of microns, but the notch is at an unknown angle albeit at a known radius.
  • the wafer center lies within a center die, and in the second situation a street (either horizontal or vertical) traverses the center of the wafer.
  • This rotational method of orienting wafers involves using system 100 to measure reference wafers and non- reference wafers with the same pattern as the reference wafer.
  • the rotational method includes positioning line imaging spectrometer 11 so that it images a portion of the wafer along a line perpendicular to a radial line extending from the center of wafer Id to the edge of wafer Id.
  • Line imaging spectrometer 11 substantially straddles the radial line. If dealing with the first situation where the center of the wafer falls within the center die, line imaging spectrometer 11 is disposed to image a portion of wafer Id at a half-die width equal to one half of the die height away from the wafer center.
  • the reflectance data pertains to light reflecting substantially from a street portion of wafer Id.
  • line imaging spectrometer 11 is disposed to straddle and to image the center of wafer Id.
  • the rotational method then involves rotating the wafer about its center point with line imaging spectrometer 11 held at the half-die width (situation one) or at the wafer center (situation two).
  • computer 10 records reflectance data sensed by line imaging spectrometer 11.
  • computer 10 forms an orientation signal by summing all the pixels in each row over all wavelengths.
  • a plot of the orientation signal as a function of rotational angle has peaks conesponding to the street being optimally aligned with the portion of wafer Id being imaged. For situation one, two peaks are present, thus providing orientation to within + 180 degrees. For situation two, four peaks are present if the wafer center aligns with the intersection of both vertical and horizontal streets; otherwise only two peaks are present.
  • a reference method is used. The reference method 5/083352 involves using the aforementioned rotational method to obtain a clear orientation signal refened that serves as a reference orientation signal, and is stored in memory.
  • test orientation signal A subsequent measurement on another wafer having the same pattern on it is then measured to obtain a test orientation signal that is compared with the reference orientation signal.
  • the test orientation signal is likely to exhibit a poorer quality indication that line imaging spectrometer 11 are aligned with the streets due to the uncertainty in the location ofthe wafer center.
  • the reference method can be used to determine the proper orientation ofthe wafer. Numerous techniques can be used to compare the test orientation signal with the reference orientation signal. One such technique is to use a one- dimensional cross-conelation function.
  • t(n) and r(n) are the test and reference orientation signals respectively
  • N is the number of pixels in a row
  • is the conelation angle.
  • Another comparison technique involves calculating a difference between t(n) and r(n- ⁇ ) and identifying the minimum such difference as conesponding to the desired rotational angle. Additional techniques using the method of least squares can also be used.
  • the process of matching model spectra to measured spectra requires that the measured spectra are conect. It is also advantageous to perform the following calibration procedure to ensure that measured spectra are indeed mapped to the proper wavelengths.
  • the apparatus used for conecting for second order spectral overlap is used.
  • light source 3 of system 100 is replaced with an LED or with broadband light passed through a bandpass filter to produce light with a 10 - 20 nm bandwidth.
  • the spatial dimension is the horizontal dimension
  • the spectral dimension is the vertical dimension.
  • Light from the 10 - 20 nm light source should give a uniform response from two-dimensional imager 8.
  • the row element exhibiting the maximum response along the columns conesponding to the spectral dimension should be the same in each column across the spatial dimension of the anay.
  • Illumination with light having a 10 - 20 nm bandwidth is important so that several pixels sense the light, and well-known curve fitting algorithms can be used to find an exact peak location, thus improving the accuracy of the calibration procedure.
  • the wavelength can be conected by fitting the measured response to a second order polynomial. Repeating this calibration procedure at several wavelengths in the range of sensitivity of two-dimensional imager 8 maximizes the accuracy of the calibration. This calibration process can be done at different wavelengths sequentially, or simultaneously.
  • test sites which are bond-pad like features that are typically large compared to device features. Typically, many such sites are located on each wafer on which ICs are being fabricated. Since most existing tools for measuring test sites involve the time-consuming and hence expensive serial data acquisition, few test sites are measured due to the time-consuming nature of existing metrology techniques.
  • optical systems such as those described in the present invention involve an object (e.g. wafer) and a collection of optical elements disposed to create an image in an image plane that coincides with the sensing portion of a multiple-pixel, two-dimensional imager.
  • object e.g. wafer
  • collection of optical elements disposed to create an image in an image plane that coincides with the sensing portion of a multiple-pixel, two-dimensional imager.
  • Such systems also function in reverse, i.e., the collection of optical elements also images the multiple-pixel, two-dimensional imager (now viewed as an object) onto a second image plane that coincides with the plane of the wafer.
  • a measurement spot size be as small or smaller than the test site, and that one or more measurement spots lie substantially within the test site.
  • the measurement apparatus one uses determines this capability.
  • the minimum test site area that can be measured is determined by the measurement spot size, which is equal to the size ofthe "pixel image" that is imaged onto the wafer surface by the imaging system 100.
  • the pixel image size is primarily determined in the present invention in the horizontal direction by the pixel width multiplied by the product of the magnification of lens assembly 4 and the magnification of lens assembly 6 and in the scan direction by the slit width multiplied by the magnification of lens assembly 4.
  • the ability of a measurement system to measure a test site also depends on the measurement spot density, i.e., the number of measurements made per unit area on wafer Id.
  • the measurement spot density is determined primarily by the density of pixel images in the horizontal direction and the scan speed in the scan direction.
  • the measurement spot size and the measurement spot density are affected by the magnifications of the lenses 4 and 6.
  • the present invention involves performing sufficiently numerous measurements in a very short period of time that the very density of measurements combined with the small measurement spot size of individual measurements ensures that accurate measurements at desired test sites are made.
  • Methods already described in U.S. Patent Application Serial No. 09/899,383, and U.S. Patent Application Serial No. 09/611,219 address the issue of efficiently sifting through measurement data to extract measurements at desired test sites.
  • Standard solid-state imagers have rectangular pixels whose width is equal to the horizontal pixel pitch. This relationship implies a 100% fill factor, i.e., there is no portion ofthe sensing region ofthe imager that is not sensitive to light.
  • improving the measurement spot size requires innovation.
  • the measurement spot size depends in part on the orientation ofthe image of the measurement site compared to the orientation of the pixels in two- dimensional imager 8.
  • FIG. 22 (A) shows a 4x4 portion of a pixel anay 2210 of two-dimensional imager 8 that has a 100% fill factor, and where each pixel has a horizontal dimension 2220 and a vertical dimension 2230. If the measurement sites are optimally oriented, as shown in FIG. 22 (A), then the minimum measurement site image size is twice the pixel size. (Smaller site areas could straddle two pixels so that neither pixel would sense light from a single film stack, thus forming difficult or impossible to decipher measurements.) Pixel anay 2210 moves in a scan direction indicated by an anow designated by the numeral 2270.
  • a measurement site image 2240 Superimposed on anay 2210 is a measurement site image 2240. If the measurement site image size is any less than two times horizontal dimension 2220 or two times vertical dimension 2230 then there is a risk that a measurement will not include at least one pixel that is completely covered by the measurement site image. However, it cannot be assumed that the measurement sites are optimally oriented since there is uncertainty in the orientation of wafer Id on platform 2, even if wafer Id is oriented prior to being placed on platform 2. The worst-case scenario is that the measurement sites are oriented at a 45-degree angle, as shown in FIG. 22 (B), which shows a measurement site image 2250 oriented at a 45- degree angle to the pixels of pixel anay 2210.
  • Measurement site image 2250 has an edge dimension 2260 that has a minimum length of 2V2 times horizontal dimension 2220.
  • To deal with the worst-case scenario, and to meet or exceed the minimum measurement spot size involves reducing the active area of the pixels that receive light.
  • the present invention includes several techniques that provide for this capability. Pixel Masking Decreasing the active area of the pixels that receive light can reduce the measurement spot size. For optimal results, this approach involves reducing the active area in both the horizontal and vertical directions. Masking the pixel area can achieve this reduction in the horizontal dimension.
  • FIG. 23 (A) shows a pixel 2310 to which an opaque material has been applied to form a mask 2320 and a mask 2330 that block light from reaching the active portion of pixel 2310, thus fonning active area 2340 having a width 2345.
  • mask 2320 and mask 2330 near the outer edges of pixel 2310 optimizes the sensitivity of pixel 2310 to light and reduces electrical crosstalk between adjacent pixels, and it reduces resolution degradation caused by non-ideal optics (such as those that may be found in lens assemblies 4 and 6).
  • the opaque material that forms mask 2320 and mask 2330 is deposited during the fabrication of two-dimensional anay 8, using standard IC fabrication methods. Materials such as metals (alummum, gold, silver, etc.) are suitable opaque materials. Advantageously, such materials are anti-reflection (AR) coated to suppress reflections. In the vertical dimension masking can also be used to reduce the pixel area.
  • FIG. 24 (A) shows a 4x4 portion of a pixel anay 2410 of a two-dimensional imager that is identical to two-dimensional imager 8 except for the pixels being masked as shown in FIG. 23. Pixel masking results in a decrease in fill factor to the product of height
  • FIG. 24 (B) shows a measurement site image 2450 oriented at a 45-degree angle to the pixels of pixel anay 2410. Although measurement site image 2450 is nominally the same size as measurement site image 2250, measurement site image
  • FIG. 24 shows the reduction in measurement spot size due to reducing each edge of active pixel area by one half, which leads to a 25% fill factor.
  • a way to increase the probability that a measurement of wafer Id using system 100 actually results in a measurement of a desired measurement site is to increase the measurement spot density by reducing the scan speed relative to the data acquisition rate. Although it is intuitive to set the scan speed to result in a measurement spot density that is equal in directions both parallel to and perpendicular to the scan direction, decreasing the scan speed by a factor of two while maintaining the data acquisition rate increases the measurement spot density by a factor of two.
  • FIG. 25 shows measurement site image 2450 as well as pixel anay 2410 at two sequential integration times.
  • the first integration time conesponds to the dotted lines, and the second integration time conesponds to the solid lines.
  • a pixel 2520 and a pixel 2525 are entirely within measurement site image 2450.
  • a pixel 2510, a pixel 2515, a pixel 2530, and a pixel 2535 are entirely within measurement site image 2450.
  • An ensemble image comprised of images recorded at both the first and second integration times leads to an image that includes six pixels that are covered entirely by measurement site image 2450 measurement site image 2450, which is a significant increase in the probability that a single sweep of measurements across wafer Id results in high quality measurements at desired test sites. Further reducing the scan speed can lead to the case of "overlapping", i.e., where the measurement spots begin to overlay in the scan direction. Overlapping further reduces the minimum measurement site size. The example just described serves to show how a 50% reduction in scan speed doubles the number of measurements made during a single sweep across " wafer Id using system 100, thus increasing the spatial resolution of measurements. Further decreasing the available light sensitive area by scaling each pixel down is one way to obtain additional resolution.
  • Another way to obtain further increases in spatial resolution is to further reduce the active area of pixels by masking more of each pixel. Reducing height 2322 by adjusting blade 2350 and/or a blade 2360 appropriately leads to nominally square light sensitive regions. Further reducing the scan speed results in more measurements on wafer Id. Depending on how much masking is done it may be necessary to increase the intensity of light generated by light source 3. In operation, the scan speed is reduced to one half of its nominal speed. As wafer Id moves, light from light source 3 reflects off wafer Id and enters line imaging spectrometer 11 of system 100, where two-dimensional imager 8 has been replaced with two-dimensional imager 2410. Computer 10 receives spectral data from line imaging spectrometer 11, and generates spectral images of wafer Id from which the film thickness of a film at desired measurement sites is determined, as described in U.S. Patent Application Serial No. 09/899,383, and
  • each pixel is masked on a single side, as described above and using known methods. Adjacent rows are offset by the width of the mask.
  • FIG. 26 An example of a two-dimensional imager with staggered rows is shown in FIG. 26, which shows a portion of two-dimensional imager 2610 having a three-fold increase in measurement spot density in the horizontal direction.
  • pixels disposed along the horizontal direction conespond to a spatial dimension and pixels disposed along the vertical direction conespond to the spectral dimension, as indicated in the figure.
  • Pixels in every third row sense light from the same physical location on wafer Id, but at different wavelengths.
  • two-dimensional imager 2610 includes a pixel row 2620 that includes a pixel 2650 having a width 2637 with a mask 2651 having a width 2647.
  • Two-dimensional imager 2610 further includes pixel rows 2622, 2624, 2626,
  • Pixel rows 2620, 2622, and 2624 form a row group 2670.
  • Pixel rows 2626, 2628, and 2630 form a row group 2672.
  • Pixel rows 2632, 2634, and 2636 form a row group 2674.
  • pixel row 2622 and pixel row 2624 of row group 2670 include a pixel 2652 and a pixel 2654 respectively.
  • Pixel row 2672 include a pixel 2656, a pixel 2658, and a pixel 2660 respectively.
  • Pixel row 2632, pixel row 2634, and pixel row 2636 of row group 2674 include a pixel 2662, a pixel 2664, and a pixel 2666 respectively.
  • Each pixel dimension as well as the dimensions and position of the mask on each pixel of each row is identical to that of pixel 2651 and mask 2647. Width
  • two-dimensional imager 2610 includes 32 row groups. If each row group includes three pixel rows per row group, then 96 rows are needed to provide spectral measurements at 32 distinct wavelengths. Individual pixel rows receive light at slightly a different wavelength than adjacent pixel rows. This difference is small, and even though it does mean that physically adjacent points have 32-point spectra associated with them, there is a slight shift in wavelength from site to adjacent site.
  • Wafer Paddle Motion Damper The process of acquiring high-speed, high-density reflectance data from a patterned wafer involves sensing light reflected from the surface of the patterned wafer. Since the wafer must move relatively to light source 3 and line imaging spectrometer 11, there is opportunity for such relative motion to degrade the sensed reflectance due to increased measurement area. Typically, such unwanted motion is in a direction transverse to the X direction 12. To suppress such undesirable motion the present invention provides for a mechanism that reduces this motion. As shown in FIG. 28 (A), platform 2 of system 100 further includes an arm 2810 to which a wand 2820 is mechanically attached. Wand 2820 serves to secure wafer Id.
  • platform 2 further includes a fixture 2850 that serves to limit unwanted motion while simultaneously allowing wafer Id to be translated in the X direction 12 upon command from computer 10.
  • FIG. 28 shows three exemplary ways limit unwanted motion.
  • FIG. 28 (B) shows fixture 2850 in cross section, and in particular shows a groove 2860 that has been formed in fixture 2850. Groove 2860 is formed to conform to the shape of arm 2810 so that as computer 10 causes translation mechanism 53 to move wafer Id, arm 2810 moves along fixture in the X direction 12. Motion in directipns transverse to the X direction 12 is suppressed by groove 2860 and by slight downward pressure applied by translation mechanism 53 to keep arm 2810 in groove 2860.
  • groove 2860 is shown as being rectangular, a wide variety of other shapes also work provided that they conform to the shape of arm 2810.
  • Example cross-sectional shapes include round, triangular, etc. In practice, only nominal shape conformality is needed: so long as at least two portions of groove 2860 are present that present stable supporting points that limit the transverse motion of arm 2810 in groove 2860, the objective of stabilizing the motion of wafer Id is satisfied.
  • the use of TeflonTM or wheels or bearings can also be used to reduce the sliding friction.
  • FIG. 28 (C) shows a variation on the embodiment shown in FIG. 28 (B) wherein arm 2810 has been modified to include a beveled edge 2852 and a beveled edge 2854, thus forming arm 2810a.
  • Fixture 2850 has been likewise modified to include a beveled edge 2856 and a beveled edge 2858 that match beveled edges 2852 and 2854 respectively.
  • the addition of these beveled edges further restricts translational motion while facilitating the ability of translational mechanism 53 to position arm 2810 within groove 2860 of fixture 2850.
  • FIG. 28 (D) shows yet another way to stabilize transverse motion.
  • An arm 2810b is formed by modifying arm 2810 to include a magnet 2870 disposed substantially within arm 2810c, as shown in FIG. 28 (D). Magnet 2870 is oriented so that one pole, designated with a "+" in FIG. 28 (D), is oriented away from ann 2810b.
  • a fixture 2850b is formed by disposing a magnet 2872 within fixture 2850b so that magnet 2872 is flush with the surface of a groove 2860b, as shown in the figure.
  • Magnet 2872 is oriented so that one pole, designated with a "+" in FIG. 28 (D), is oriented toward arm 2810b.
  • Essential to the operation of this embodiment is that like poles face each other so as to form a magnetic bearing.
  • translation mechanism 53 presses arm 2810b into groove 2860 and the opposing force induced by the close proximity of like poles in magnets 2870 and 1872 along with the structure of groove 2860b suppresses transverse motion.
  • FIG. 28 (C) Considerable variations on the embodiment shown in FIG. 28 (C) are possible.
  • the present invention further provides enhanced visibility of wafer Id when using system 101 in FIG. 3.
  • implementing viewport 18 with a bi-planar glass plate leads to a degraded image due to wavelength dependent optical path length differences (dispersion) as light refracts through viewport 18.
  • Coating viewport 18 with an AR coating is not sufficient to solve the problem.
  • viewport 18 is treated as an integral component of the optical elements used in system 101, and the optical design parameters of lens assembly 4, and lens assembly 6 if necessary, are adjusted to compensate for the dispersion in viewport 18.
  • designing lens assembly 4 so that is takes into account the optical effects of viewport 18 can result in non-degraded images.
  • viewport 18 can be viewed as having a top surface 18t with a curvature Rt, and a bottom surface 18b having a curvature Rb, and the design process can be performed to optimize curvature Rt of top surface 18t, and/or optimizing curvature Rb of bottom surface 18b.
  • FIG. 29 shows system 105, which is identical to system 101 except that lens assembly 4 and viewport 18 have been replaced with lens assembly 4' that combines the functionality of lens assembly 4 and viewport 18 into a single element.
  • Fiber bundle 9 has also been modified so that it is optically and mechanically coupled to transfer chamber 16.
  • Lens assembly 4' includes one or more lenses, each having front and back surfaces having curvature that is optimized to provide a clear image ofthe portion of wafer Id being illuminated by light source 3.
  • the operation of system 105 is identical to that of system 101. Dual-Offner:
  • the need for obtaining measurements on very small measurement sites on wafers drives two conflicting factors.
  • One factor is the need for sensing light from very small areas without optical contamination from nearby areas, and the second factor is the need for simple, low-cost optics.
  • Conventional single-spot microscope-based measurement systems typically use refractive (i.e., transmissive) lens systems to provide a small, well-defined measurement spot.
  • refractive lens systems are complex and expensive because the refractive index ofthe glass materials used to make the lenses varies with wavelength, and to be able to image a small spot over a wide range of wavelengths requires a lens system that consists of numerous (typically five or more) precision lenses that are positioned in a low-tolerance assembly.
  • the optical system for an imaging spectrometer is even more complex and expensive because the size of the area that they must image precisely is several orders of magnitude larger than that of a single-spot system (because each line image consists of thousands ofthe single-spot sized images.)
  • the optical systems of the resolution required for the imaging micron-sized structures such as those found on ICs include three or more concave and convex minors that are set at precise angles to one another, which adds to the parts cost and increases the complexity of assembly due to tight alignment tolerances, which further increases system cost.
  • such systems typically include at least one minor element that is not spherical (i.e., that is aspherical), which adds significantly to the cost.
  • the detector pixel size is comparable to the size of the measurement pads, which means that imaging with a magnification of approximately 1 : 1 is needed.
  • optical systems that use reflection alone eliminate the dispersion associated with refractive optics.
  • the use of reflective surfaces alone is insufficient to address the above problems. Such surfaces must also minimize optical defects such as spherical abenation and coma; otherwise the problem of wavelength dispersion is replaced by another problem, viz., image distortion.
  • An Offher imaging system is a catoptic system with unit magnification with high resolution provided by convex and concave spherical minors ananged with their centers of curvature at a single point.
  • Such systems use reflective optical elements configured to substantially eliminate spherical abenation, coma, and distortion. They are also free from third order astigmatism and field curvature.
  • magnification of approximately 1.2:1 can be used without excessively degrading optical performance.
  • the traditional Offher imaging system simply re-images abenant light from an object.
  • a first Offher system replaces lens 4 of system 100, i.e. it re-images light reflected from a wafer being tested onto a slit that performs a spatial filtering function.
  • a second Offher system replaces lens 6, and serves to re-image the spatially filtered light to the entrance aperture of a one-dimensional imaging system, which then disperses the light into its constituent wavelengths for subsequent analysis.
  • this dual- Offher system provides near defect free image light to the one-dimensional imaging system, thus essentially stripping the recorded image of abenations.
  • FIG. 30 shows a dual Offher imaging system 3100 according to the present invention that includes a folding minor 3170, a first Offher group 3103, a folding minor 3140, a slit 3130, a second Offher group 3105, and a one- dimensional imaging system 3190 having an entrance aperture.
  • Folding minor 3170 and folding minor 3130 are front surface minors that serve to fold the optical path of light emanating from wafer Id to reduce the size of dual Offher imaging system 3100.
  • Slit 3130 is an adjustable mechanical assembly having a pair of straight edges opposing each other and adjustable to maintain a fixed distance between the straight edges.
  • One-dimensional imaging system 3190 has an entrance aperture that receives light.
  • First Offher group 3103 includes a convex minor 3160 and a concave minor 3150, both of which have a radius of curvature and a focal point located at the center of curvature. Convex minor 3160 and concave minor 3150 are disposed within system 3100 so that their focal points are coincident. First Offher group 3103 has a focal point 3180 and a focal point 3182. Second Offher group 3105 includes a convex minor 3120 and a concave minor 3110, both of which have a radius of curvature and a focal point located at the center of curvature.
  • Convex minor 3120 and concave minor 3110 are disposed within. system 3100 so that their focal points are coincident.
  • Second Offher group 3105 has a focal point 3184 and a focal point 3186.
  • Second Offher group 3105 is disposed within system 3100 so that focal point 3182 and focal point 3184 coincide within slit 3140.
  • Focal point 3186 is disposed within system 3100 at the entrance aperture of one-dimensional imaging system 3180.
  • wafer Id is positioned within system 3100 so that portions of wafer Id that include one or more measurement test sites pass through focal point 3180 of first Offher group 3103.
  • Minor 3170 reflects light reflected from wafer Id at focal point 3180 and directs it toward concave minor 3150 whereupon it is reflected toward convex minor 3160. The light then undergoes a reflection back toward concave minor 3150, and in so doing it starts to converge. The light reflects off concave minor 3150 in a second reflection from this minor. Subsequent to this reflection, the light reflects off folding minor 3140, as it converges to focal point 3182.
  • the blades of slit 3130 having been adjusted to approximately 10 um of separation, spatially filter the light passing through slit
  • R- ⁇ stages also allow the overall system footprint of a given embodiment to be reduced compared to the system footprint using linear translation stages.
  • Implementing system 100, system 101, system 102, system 103, system 104, or system 105 with R- ⁇ stages involves moving one or both of optical system 11 wafer Id with the R- ⁇ stage. It should also be clear that the methods and embodiments of the present invention can be used to measure film properties on all or on only a portion of a wafer or other structure having a stack of thin films.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Engineering & Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

A system (100) is described that permits high-speed, high-resolution mapping of thicknesses (or other properties) of layers on patterned semiconductor wafers (1d). The system comprises one or more spectrometers (11) that each simultaneously image a plurality of spatial locations. In one example, the spectrometer (11) comprises two­dimensional CCD imager (8) with one axis of the imager (8) measuring spectral data and the other axis measuring spatial data. Spectral reflectance or transmission of the patterned wafer (1d) under test is obtained by passing the wafer (1d) under (or over) the imaging spectrometer(s) (11) and taking sequential reflectance or transmission images for successive pluralities of spatial locations. The resulting spectral reflectance or transmission map can then be analyzed at discrete locations to determine the thicknesses or other properties of the layers at those locations.

Description

METHOD AND APPARATUS FOR HIGH-SPEED THICKNESS MAPPING OF PATTERNED THIN FILMS BACKGROUND OF THE INVENTION This invention relates generally to the field of film thickness measurement, and more specifically, to the field of film measurement in an environment, such as semiconductor wafer fabrication and processing, on which a layer with an unknown thickness resides on a patterned sample. Many industrial processes require precise control of film thickness. In semiconductor processing, for example, a semiconductor wafer is fabricated in which one or more layers of material from the group comprising metals, metal oxides, insulators, silicon dioxide (SiOj), silicon nitride (SiN), polysilicon or the like, are stacked on top of one another over a substrate, made of a material such as silicon. Often, these layers are added through a process known as chemical vapor deposition (CVD), or removed by etching or removed by polishing through a process known as chemical mechanical polishing (CMP). The level of precision required can range from 0.0001 μm (less than an atom thick) to 0.1 μm (hundreds of atoms thick). To determine the accuracy of these processes after they occur, or to determine the amount of material to be added or removed by each process, it is advantageous to measure the thickness of the layers on each product wafer (i.e., on each wafer produced that contains partially processed or fully processed and saleable product), which is generally patterned with features on the order of 0.1 μm to 10 μm wide. Because the areas covered by these features are generally unsuitable for measurement of film properties, specific measurement sites called "pads" are provided at various locations on the wafer. To minimize the area on the wafer that is taken up by these measurement pads, they are made to be very small, usually about 100 μm by 100 μm square. This small pad size presents a challenge for the film measurement equipment, both in measurement spot size and in locating the measurement pads on the large patterned wafer. A measurement spot size of an optical system refers to the size of a portion of an object being measured that is imaged onto a single pixel of an imaging detector positioned in an image plane ofthe optical system. To date, though its desirable effects on product yield and throughput are widely recognized, thickness measurements are only made after certain critical process steps, and then generally only on a small percentage of wafers. This is because current systems that measure thickness on patterned wafers are slow, complex, expensive, and require substantial space in the semiconductor fabrication cleanroom. Spectral reflectance is the most widely used technique for measuring thin- film thickness on both patterned and unpatterned semiconductor wafers. Conventional systems for measuring thickness on patterned wafers employ high- magnification microscope optics along with pattern recognition software and mechanical translation equipment to find and measure the spectral reflectance at predetermined measurement pad locations. Examples of this type of system are those manufactured by Nanometrics, Inc., and KLA-Tencor. Such systems are too slow to be used concurrently with semiconductor processing, so the rate of semiconductor processing must be slowed down to permit film monitoring. The result is a reduced throughput of semiconductor processing and hence higher cost. A newer method for measuring thickness of patterned films is described in
U.S. Patent No. 5,436,725. This method uses a CCD camera to image the spectral reflectance of a full patterned wafer by sequentially illuminating the wafer with different wavelengths of monochromatic light. Because the resolution and speed of available CCD imagers are limited, higher magnification sub-images of the wafer are required to resolve the measurement pads. These additional sub-images require more time to acquire and also require complex moving lens systems and mechanical translation equipment. The result is a questionable advantage in speed and performance over traditional microscope/pattern recognition-based spectral reflectance systems. Ellipsometry is another well-known technique for measuring thin film thickness. This technique involves measuring the reflectance of p-polarized and s- polarized light incident on a sample. Systems exploiting this technique include a light source, a first polarizer to establish the polarization of light, a sample to be tested, a second polarizer (often referred to as an analyzer) that analyzes the polarization of light reflected from the sample, and a detector to record the analyzed light. Companies such as J. A. Woolam, Inc. (Lincoln, NE) and Rudolph Technologies, Inc. (Flanders, NJ) manufacture ellipsometer systems. Accordingly, it is an object of the present invention to provide a method and apparatus for achieving rapid measurement of film thickness and other properties on patterned wafers during, between, or after semiconductor processing steps. An additional object is a method and apparatus for film measurement that is capable of providing an accurate measurement of film thickness and other properties of individual films in a multi-layered or patterned sample. An additional object is a method and apparatus for film measurement that is capable of providing an accurate measurement of film thickness and other properties of individual films in a multi-layered or patterned sample based on image analysis. A further object is an optical method and apparatus for thin-film measurement that overcomes the disadvantages of the prior art. Further objects ofthe subject invention include utilization or achievement of the foregoing objects, alone or in combination. Additional objects and advantages will be set forth in the description which follows, or will be apparent to those of ordinary skill in the art who practice the invention.
SUMMARY OF THE INVENTION
The invention provides a spectrometer configured to simultaneously capture a reflectance spectrum for each of a plurality of spatial locations on the surface of a sample. The spectrometer includes a wavelength-dispersive element, such as a prism or diffraction grating, for receiving light representative of the plurality of spatial locations, and separating the light for each such location into its constituent wavelength components. The spectrometer further includes an imager for receiving the constituent wavelength components for each ofthe locations, and determining therefrom the reflectance spectrum for each location. The invention also provides a system for measuring one or more properties of a layer of a sample. The system includes a light source for directing light to the surface of the layer at an angle that deviates from the layer normal by a small amount. Also included is a sensor for receiving light reflected from and representative of a plurality of spatial locations on the surface of the layer, and simultaneously determining therefrom reflectance spectra for each ofthe plurality of spatial locations on the surface. The system also includes a processor for receiving at least a portion of the data representative of the reflectance spectra for each of the plurality of spatial locations and determining therefrom one or more properties ofthe layer. In one embodiment, the invention further includes one or more polarizers to provide for measuring the reflectance spectrum of polarized light. In this embodiment, broad spectral light passes through a first polarizer, reflects and mixes with light reflecting from one or more layers at a plurality of locations on the surface of a sample, and passes through a second polarizing element that allows the spectrometer to disperse and image the reflected light according to its polarization for each location. The invention also provides a method for measuring one or more properties of a layer of a sample. The method includes the step of directing light to a surface of the layer. It also includes the step of receiving light at a small angle reflected from the surface of the layer, and determining therefrom reflectance spectra representative of each of a plurality of spatial locations on the surface of the layer. The sample may be relatively translated with respect to the directed and received light until reflectance spectra for all or a substantial portion of the layer have been determined. One or more properties of the layer may be determined from at least a portion ofthe reflectance spectra for all or a substantial portion ofthe layer. The invention further provides a system of and method for measuring at least one film on a sample from light reflected from the sample having a plurality of wavelength components, each having an intensity. A set of successive, spatially contiguous, one-spatial-dimension spectral reflectance images may be obtained by scanning the wafer with a one-spatial-dimension spectroscopic imager. The resulting series of one-spatial-dimension spectral images may be arranged to form a two-spatial-dimension spectral image of the wafer. The spectral data at one or more of the desired measurement locations may then be analyzed to determine a parameter such as film thickness. The invention further provides a system of and method for measuring at least one film on a sample from polarized light reflected from the sample having a plurality of wavelength components, each having an intensity. A set of successive, spatially contiguous, one-spatial-dimension spectral reflectance with s-polarized and/or p-polarized images may be obtained by scanning the wafer with a one-spatial-dimension spectroscopic imager. The resulting series of one-spatial- dimension spectral images may be arranged to form an s-polarized two-spatial- dimension spectral image (s-polarized image) of the wafer and a p-polarized two- spatial-dimension spectral image (p-polarized image) of the wafer, where the s- polarized image and the p-polarized image map in a one-to-one way each of the plurality of spatial locations on the sample. The s-polarized image and the p- polarized image data at one or more of the desired measurement locations may then be analyzed to determine a parameter such as film thickness. Other systems, methods, features and advantages of the invention will be or will become apparent to one with skill in the art upon examination of the following figures and detailed description. It is intended that all such additional systems, methods, features and advantages be included within this description, be within the scope ofthe invention, and be protected by the accompanying claims.
BRIEF DESCRIPTION OF THE DRAWINGS The components in the figures are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention. In the figures, like reference numerals designate corresponding parts throughout the different views. FIG. 1 illustrates a first embodiment of a system in accordance with the subject invention. FIG. 2 illustrates in detail the optical subsystem of the embodiment shown in FIG. 1. FIG. 3 illustrates a second embodiment of a system in accordance with the subject invention. FIG. 4 illustrates an embodiment of a method in accordance with the subject invention. FIG. 5A is a top view of an example semiconductor wafer showing desired measurement locations. FIG. 5B is a side view of an example semiconductor wafer showing stacked layers each configured with one or more precise features. FIG. 6A illustrates a commercial embodiment of a system according to the invention. FIG. 6B illustrates aspects ofthe optical path ofthe system of FIG. 6A. ' FIG. 7 illustrates an example of a reflectance spectrum for a location on the surface of a semiconductor wafer. FIG. 8 illustrates a cross section of the fiber bundle ofthe system of FIG.
6A. FIG. 9A depicts the one-spectral, two-spatial dimensional data that is captured for an individual layer in the system of FIG. 6A. FIG. 9B shows the ensemble of one-spectral, two-spatial dimensional data that together forms a hyperspectral image. FIG. 10A illustrates the area surrounding a desired measurement location in which matching is performed in the system of FIG. 6A. FIG. 10B illustrates the corresponding image of the desired measurement location in FIG. 10A. FIG. 11 is a flowchart of an embodiment of a method of operation in the system of FIG. 6A. FIG. 12 illustrates an embodiment of a spectral ellipsometric system in accordance with the subject invention. FIG. 13 illustrates an embodiment of a variable angle spectral ellipsometric system in accordance with the subject invention. FIG. 14A illustrates the illumination of patterned features with broad angle, large numerical aperture light according to the system in accordance with the prior art. FIG. 14B illustrates the illumination of patterned features with shallow angle, small numerical aperture light according to the system in accordance with the subject invention. FIG. 15 shows measurements of erosion using the system in accordance with the subject invention. FIG. 16 is a flowchart showing a method of compensating icy second order spectral overlap using the apparatus ofthe subject invention. FIG. 17 shows the spectral response with and without compensation for second order spectral overlap. FIG. 18 shows the correction factor for compensation for second order spectral overlap. FIG. 19 shows an image of a round wafer undergoing non-uniform motion during the measurement. FIG. 20 shows an example of the Goodness-of-Alignment values as a function of rotational angle θ using the auto-rotate algorithm of the present invention. FIG. 21 illustrates a second embodiment of a spectral ellipsometric system in accordance with the subject invention. FIG. 22 shows measurement spot size for 100% fill factor imaging for (A) optimal wafer orientation, and (B) worst-case wafer orientation. FIG. 23 shows how to mask individual pixels according to the present invention. FIG. 24 shows measurement spot size for <100% fill factor imaging resulting from the use of masked pixels for (A) optimal wafer orientation, and (B) worst-case wafer orientation. FIG. 25 illustrates the use of over-sampling to enhance vertical pixel image density using masked pixels according to the present invention. FIG. 26 shows the technique of row staggering based on the use of masked pixels to enhance the horizontal pixel image density according to the present invention. FIG. 27 illustrates another method of enhancing the horizontal pixel image density. FIG.28 shows a wafer paddle motion dampening system. FIG. 29 shows the integration of a process chamber viewport into the optical system ofthe line imaging spectrometer according to the present invention. FIG. 30 shows a dual-Offher imaging system for enhancing the quality of images recorded with the line imaging spectrometer ofthe present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
A 1st embodiment: System for measurements at an angle A first embodiment of an imaging system 100 in accordance with the subject invention, suitable for use in applications such as measuring the thickness of transparent or semi-transparent films, is illustrated in FIG. 1. Advantageously, the film to be measured ranges in thickness from .001 μm to 50 μm, but it should be appreciated that this range is provided by way of example only, and not by way of limitation. This embodiment is advantageously configured for use with a wafer transfer station 1 to facilitate rapid measurement of a cassette of wafers. The station houses a plurality of individual wafers la, lb, lc, and is configured to place a selected one of these wafers, identified with numeral Id in the figure, onto a platform 2. Each of wafers la, lb, lc, Id has a center point and an edge. This embodiment also comprises a light source 3 coupled to an optical fiber 9 or fiber bundle for delivering light from the light source 3 to the wafer Id situated on platform 2. Preferably, the light source 3 is a white light source. Advantageously, the light source 3 is a tungsten-halogen lamp or the like in which the output is regulated so that it is substantially invariant over time. For purposes of illustration, this embodiment is shown being used to measure the thickness of film on wafer Id, which together comprises a sample, but it should be appreciated that this embodiment can advantageously be employed to measure the thickness of individual films in samples comprising multi-layer stacks of films, whether patterned or not. Light source 3 may optionally include a diffuser disposed between light source 3 and optical fiber 9 to even out light source non- uniformities so that light entering optical fiber 9 is uniform in intensity. The first embodiment of imaging system 100 further includes a line imaging spectrometer 11 comprising a lens assembly 4, a slit 5 having a slit width, a lens assembly 6, a diffraction grating 7, and a two-dimensional imager 8. Line imaging spectrometer 11 has an optical axis 31, and is disposed in imaging system 100 so that optical axis 31 is aligned at a small angle to the wafer Id normal. Lens assembly 4 and lens assembly 6 each have a magnification. Two-dimensional imager 8 has an integration time during which it absorbs light incident upon it to create a detected signal. This integration time is selectable over a broad range of values with preferred values being 10 to 1000 us. Angle α defines near normal incidence, and can be as small as 0 degrees or as large as that given by the Brewster angle of the topmost layer, but preferably the angle α is approximately 2 degrees. A range of angles from 0 to Brewster angle allows one or more measurements at angle , which provides greater information. The angle α lies in a measurement plane that, if aligned with an array of conductive metal lines, results in improved measurements. Measurements obtained at such an angle are uniquely capable of determining the thickness of films in finely patterned areas with feature dimensions on the order of the wavelength of the light being used. This capability results from reduced interaction with the feature sidewalls than with angled (and thus high NA) reflectance measurements such as those provided by microscope optics or the apparatus described in U.S. Patent No. 5,436,725. The small NA (0.01 to 0.05 is typical) and near normal incidence measurements provided by the apparatus ofthe present invention are not as sensitive to (and therefore not thrown off by), for example, variations in metal line widths and sidewall angles when measuring oxide erosion caused by chemical-mechanical polishing. The small NA and near normal incidence measurements provided by the apparatus of the present invention also result in a much greater depth-of-field than conventional patterned- wafer measurement systems have, which allows for measurements to be made without precision z-motion (height) mechanisms or point-to-point or wafer-to- wafer focus adjustments, as are necessary with other methods. System 100 further includes a translation mechanism 53 that is mechanically connected to platform 2 and serves to move platform 2 holding wafer Id. In accordance with commands from computer 10, translation mechanism 53 causes platform 2 to move. Computer 10 is also electrically connected to a synchronization circuit 59 via an electrical connector 57. Synchronization circuit 59 in turn is electrically connected to light source 3. Upon command from computer 10 and propagated via electrical connection 57, synchronization circuit 59 sends one or more synchronization signals to light source 3 that cause light source 3 to emit one or more pulses of light. By coordinating motion of wafer Id and the synchronization signals sent to synchronization circuit 59, minimally sized illumination spots are formed on wafer Id. In the absence of relative motion of wafer Id, each of the one or more pulses of light forms a small spot on wafer Id, where the size of each spot is determined largely by the specific design configuration of line imaging spectrometer 11 and the pixel dimensions of two-dimensional imager 8. The nominal size of each measurement spot is approximately 50 um. However, when wafer Id is in motion and light from light source 3 is emitted continuously, each spot is elongated and the area from which light is detected increases. A scan time is defined as the time necessary for system 100 to acquire data from the regions of interest of wafer Id, i.e. by sequentially imaging areas across wafer Id. A scan speed is the scan time divided by the length of the area being measured. For example, if entire wafer Id is the scan area, and 5 seconds is the scan time, then the scan speed is 40 mm/s, assuming a 200 mm diameter wafer.
Note that scan speed refers to the speed with which an area on wafer Id is being imaged moves across wafer Id; whether wafer Id or light source 3 or line imaging spectrometer 11 moves does not matter. With two-dimensional imager 8 having a 1 ms integration time in the example above, the measurement spot for each measurement sweeps across an additional portion of wafer Id that extends for 40 um. This additional distance causes the detected reflectance spectrum to be a mixture of whatever film stacks the spot passed over during the integration time. However, by using short pulses of light, the additional distance is reduced. For example, a 10 us pulse width means that the additional distance less than 1 um, which is significantly less than the nominal spot size of 50 um. Imaging system 100 operates as follows. Light from source 3 passes through fiber bundle 9, and impinges on a film contained on or in wafer Id. The light reflects off the wafer and is received by lens assembly 4. Lens assembly 4 focuses the light on slit 5. Slit 5 receives the light and produces a line image of a corresponding line on the wafer Id. The line image is arranged along a spatial dimension. The line image is received by second lens assembly 6 and passed through diffraction grating 7. Diffraction grating 7 receives the line image and dissects each subportion thereof into its constituent wavelength components, which are arranged along a spectral dimension. In one implementation, the spectral dimension is perpendicular to the spatial dimension. The result is a two- dimensional spectral line image that is captured by two-dimensional imager 8 during the integration time. In one implementation, the imager is a CCD, the spatial dimension is the horizontal dimension, and the spectral dimension is the vertical dimension. In this implementation, the spectral components at each horizontal CCD pixel location along the slit image are projected along the vertical dimension ofthe CCD array. Additional detail regarding the spectrometer 11 is illustrated in FIG. 2 in which, compared to FIG. 1, like elements are referenced with like identifying numerals. As illustrated, reflected light (for purposes of illustration, two rays of reflected light, identified with numerals 13a and 13b are shown separately) from wafer Id is received by lens assembly 4 and focused onto slit 5. Slit 5 forms a line image of the light in which the subportions of the line image are arranged along a spatial dimension. The line image is directed to lens assembly 6. Lens assembly 6 in turn directs the line image to diffraction grating 7. Diffraction grating 7 dissects each subportion ofthe line image into its constituent wavelength components. The wavelength components for a subportion of the line image are each arranged along a spectral dimension. Two-dimensional imager 8 individually captures the wavelength components for the subportions of the line image during the integration time. Thus, the wavelength components for ray 13a are individually captured by pixels 14a, 14b, and 14c, respectively. Similarly, the wavelength components for ray 13b are individually captured by pixels 15a, 15b, and 15c, respectively. Imager 8 is preferably designed so that the vast majority of photons landing upon individual pixels wind up storing electrical charge only within the pixels that they land on. For example, common CCD design allows photons with large penetration depths (i.e., photons with long wavelength) to generate electrons far beneath the pixels that they land on, and then allows these electrons to wander up and to be collected by pixels neighboring the pixel that the photons originally entered the CCD through. This causes a reduction in image resolution and an increase in the apparent measurement spot size, but can be substantially reduced by proper CCD design (by reducing the migration length of electrons below the pixels, for example.) With reference to FIG. 1, the light source 3 and the platform 2 are moveable relative to one another. In addition, platform 2 and spectrometer 11 are moveable in relation to one another. In one implementation, the light source 3 and spectrometer 11 are stationary, and the platform is moveable in an X direction 12. Since the apparatus ofthe present invention is capable of obtaining a large number of measurements, prodigious quantities of data must be dealt with. One way to limit the extent of such large quantities of data is to move platform 2 in a non-linear fashion. For example, platform 2 can be instructed to execute a large translational step to one particular location, then move in small translational steps over a region of wafer Id where measurements are desired, then make another large translational step to another region of wafer Id where more measurements are desired, and so on. In operation, computer 10 sends commands to translation stage 53 that cause wafer Id on platform 2 on wafer station 1 to move. When wafer Id is positioned in a desired location, computer 10 sends synchronization commands to synchronization circuit 59, which cause light source 3 to emit pulses of light that propagate fiber bundle 9 to wafer Id. Computer 10 also sends configuration commands to two-dimensional imager 8 that include the integration time and a command to initiate data collection. The pulses of light emitted by light source 3 are short enough compared to the speed of wafer Id that the light collected by one-spatial-dimension imaging spectrometer 11 comes from a minimally sized spot on wafer Id. Furthermore, the pulses of light from light source 3 are synchronized with the integration time and the data acquisition command so that each pulse is emitted only during the integration time. One-spatial-dimension imaging spectrometer 11 in turn communicates the spectral and spatial information to the computer 10 over one or more signal lines or through a wireless interface. Spectral reflectance data is continually taken in this way while the wafer Id is moved under the one-spatial-dimension imaging spectrometer by the platform 2 under the action of translation stage 53 and upon command from computer 10. Once the entire area of interest has been scanned in this manner, the computer 10 uses the successively obtained one-dimensional spatial data to generate a two-spatial-dimension image. The plurality of spectral reflectance images comprises a "hyperspectral image". This two-dimensional map or hyperspectral image can be generated by assembling the measured signal intensity at a single wavelength at each location on the wafer into an image, while retaining the spatial relationship between image locations within each scan and from contiguous scan line to the next. This two-dimensional image can then be analyzed to find pixels that correspond to specific locations on the wafer, and then the spectral reflectance data that is associated with these pixels can be analyzed using suitable techniques to arrive at an accurate estimate of the thickness of the film. Typically, film thickness is determined by matching the measured spectrum to a theoretically or experimentally determined set of spectra for layers of different thicknesses. In the foregoing embodiment, although a CCD-based one-spatial- dimension imaging spectrometer is illustrated and described as the means for determining the intensity of the reflected light as a function of wavelength, it should be appreciated that other means are possible for performing this function, and other types of one-spatial-dimension imaging spectrometers are possible than the type illustrated in the figure. The foregoing embodiment is described with a preferred way of forming minimally sized spots on each wafer by synchronizing the emission of pulses of light with the integration time of two-dimensional imager 8 and with wafer motion. However, alternate approaches that compensate for the relative wafer-to- imager motion also achieve the same ends. One such alternative approach is to use an electrically actuated "wafer tracking" mirror disposed within system 100 between imaging system 11 and the wafer Id. In this alternative approach, the electrically actuated mirror includes a piezoelectric element mechanically connected to one edge of the mirror while the center of the mirror is secured to form a hinge that allows rotational motion about the center axis of the mirror so that the focal distance between the imaging system 11 and the wafer Id remains substantially the same. Upon applying an electrical signal to the piezoelectric element, the electrically actuated mirror then deflects the light between wafer Id and the imaging system 11 such that the imaging system tracks the wafer motion during each integration period. Between integration periods, the mirror position is reset to begin tracking the proper wafer location for the following integration time. Similar "wafer tracking" capabilities may be realized by displacing other optical elements, such as the slit 5. Although the foregoing embodiment is described in the context of semiconductor wafers, and is illustrated in combination with a wafer transfer station for performing this function, it should be appreciated that it is possible to employ this embodiment in other contexts and in combination with other processing apparatus. Other possible applications include providing thin film scratch resistant and/or antireflective optical coatings to automotive plastics, eyeglass lenses, and the like plastics packaging applications, and applications involving providing appropriate polyimide and resist thicknesses for flat panel display manufacturing. In fact, any application or industrial process in which film measurement is desired is possible for use with the subject embodiment. Among the primary advantages of the foregoing embodiment is that it is particularly well suited for real-time applications. The reason is that data collection steps employing time-consuming angular or mechanical sweeps of optical components as found in the prior art are eliminated. For example, in the subject embodiment, the one-spatial-dimension imaging spectrometer directly provides digitized values of intensity of the incoming light as a function of wavelength without requiring mechanical sweeping steps or the like. Also, digital CCD-based line-scan cameras are available with sufficient numbers of pixels so that resolution of measurement pads is possible. In addition, the number of analytical and pattern recognition steps performed by the computer are limited to only a very few. This is because an image of the entire wafer is made, which eliminates complicated pattern recognition routines that are needed when only small areas of the wafers are viewed at any one time, as is the case with microscope-based instruments. A 2nd embodiment A second embodiment of the subject invention, suitable for measuring transparent or semi-transparent films, such as dielectrics deposited upon patterned semiconductor wafers, is illustrated in FIG. 3 and designated as an imaging system 101 in which, compared to FIG. 1 and FIG. 2, like elements are referenced with like identifying numerals. This embodiment is similar to the previous embodiment, with the exception that the wafer Id is in a vacuum process or transfer chamber 16, and the wafer motion required for scanning is provided by a transfer robotics assembly 17 that are used to move the wafer inside a vacuum chamber 16. Vacuum chamber 16 may be used for processing wafers, or for transferring wafers. Transfer robotics assembly 17 allows the wafer Id to move in the X direction relative to light source 3 and spectrometer 11. Visual access to the wafer Id is provided by a viewport 18. More specifically, light from light source 3 is directed to impinge upon wafer Id via fiber bundle 9 through viewport 18. In addition, light reflected from wafer Id is received by spectrometer 11 after passage through viewport 18. As transfer robotics assembly 17 moves the wafer Id through the vacuum chamber 16 as part of the CVD process, spectral measurements are successively taken from successive portions of wafer Id and provided to computer 10. Transfer robotics assembly 17 further serves to orient wafer Id so that patterned features such as arrays of conductive lines are oriented to be co-planar with a plane defined by the wafer normal and the optical axis of spectrometer 11, which consequently enhances the precision with which film thickness measurements can be made. The plurality of spectral reflectance images of the patterned semiconductor wafer or portions of the wafer comprises a
"hyperspectral image". Computer 10 may successively perform calculations on the data as it is received or may do so after all or a substantial portion ofthe wafer Id has been scanned. As with the previous embodiment, computer 10 may use this data to estimate film thickness. In addition to the advantages listed for the first embodiment, this embodiment has the additional advantage of providing rapid in-line film thickness measurements taken during the normal transfer motion of the wafers between processes. This means that measurements can be made without slowing down the process and thus will not negatively affect throughput. Also, because the unit is compact and can be integrated into existing equipment, very little additional cleanroom space is required. Additionally, because there are no added moving parts, the system is very reliable. Moreover, because this embodiment is disposed entirely outside of vacuum chamber 16, it introduces no particles or contamination to the fabrication process. Although the foregoing embodiment is described in the context of CVD processing of semiconductor wafers, and is illustrated in combination with a CVD station for performing this function, it should be appreciated that it is possible to employ this embodiment in other contexts and in combination with other processing apparatus. In fact, any application or industrial process in which in-line film measurement is desired, i.e., film measurement during an ongoing industrial process, is possible for use with the subject embodiment. Method of forming a line image An embodiment of a method in accordance with the invention is illustrated in FIG. 4. As illustrated, in step 20, a line image of a corresponding line of a film is formed. The line image has subportions arranged along a spatial dimension. Step 20 is followed by step 21, in which subportions of the line image are individually dissected to their relevant constituent wavelength components. The wavelength components for a subportion are arranged along a spectral dimension. Step 21 is followed by step 22, in which data representative of the wavelength components of the subportions is individually formed. The process may then be repeated for successive lines of the film until all or a selected portion of the film has been scanned. Throughout or at the conclusion of this process, estimates of film thickness or other film properties may be formed from the assembled data. EXAMPLES In an example embodiment of the subject invention, suitable for use in a CVD environment, the light source 3 is a tungsten/halogen regulated light source, manufactured by Stocker & Yale, Inc. (Salem, NH). Fiber/fiber bundle 9 in this embodiment is a bundle configured into a line of fibers to provide uniform illumination along the measured surface. Several companies, Stocker & Yale being a prime example, manufacture such a fiber optic "line light". This example is configured for use with CVD processing system Model
P5000 manufactured by Applied Materials Inc., Santa Clara, California. An optically clear viewport 18 is provided in the standard P5000 configuration. The line imaging spectrometer 11 in this example is manufactured by Filmetrics, Inc., San Diego, California, the assignee ofthe subject application. In this spectrometer, the imager 8 is a CCD imager incorporating a time delay and integration line scan camera manufactured by Dalsa Inc., Part No. CT-E4-2048 that has a CCD imager with 2048 pixels in the system spatial direction and 96 pixels in the system spectral direction. Optometrics (Ayer, MA) manufactures transmission diffraction grating 7 as Part No. 34-1211. The lenses 4 and 6 are standard lenses designed for use with 35 mm-format cameras. The line scan camera is custom-configured to operate in area-scan mode, with only the first 32 rows of pixels read out. This results in a data read rate greater than 1000 frames per second. Thirty-two rows of spectral data are sufficient for measurement of thicknesses in the range required for CVD deposited layers. It has been found that this example embodiment yields a thickness accuracy of +1 nm at a 1000 nm film thickness, at a rate of five seconds per wafer scan.
Commercial embodiment A commercial embodiment of a system according to the invention will now be described. The manufacturers of the components of this system are as identified in the previous exception, with the exception of the lens assembly used in the spectrometer. In lieu of standard lenses designed for use with 35 mm cameras, high quality lenses and mirrors manufactured by Optics 1 (Thousand Oaks, CA) are used. These lenses and mirrors are such that the modulation transfer function (MTF) for a plurality of alternating black and white line pair having a density of about 40 line pairs/mm. is greater than 70% over the entire wavelength range of interest. This system is configured to measure the thicknesses of individual layers of a sample, e.g., patterned semiconductor wafer, at desired measurement locations. The coordinates of these desired measurement locations are provided to the system. Rather than rely on complicated and unreliable traditional pattern recognition techniques to find the exact measurement locations, the thickness of the wafer at each of these desired locations is determined by comparing the actual reflectance spectra for locations in a larger area containing the desired measurement location with a modeled reflectance spectra for the area assuming a particular layer thickness. If the comparison is within a desired tolerance, the assumed thickness is taken to be the actual thickness. If the comparison is not within the desired tolerance, the assumed thickness is varied, and the modeled reflectance spectra re-determined consistent with the newly assumed thickness. This process is continued until a comparison is performed which is within the desired tolerance. This process is repeated for a predetermined number, e.g. 5, of desired measurement locations on a layer ofthe wafer. The situation can be further explained with reference to Figures 5A and 5B, which illustrate different views of an example 500 of a patterned semiconductor wafer. FIG. 5A illustrates a top view ofthe wafer 500. As shown, the wafer 500 may be divided up into individual dies 502a, 502b, and 502c. A plurality of predetermined measurement locations 504a, 504b, and 504c may also be provided. These measurement locations are typically situated in areas on the surface of wafer 500 that are between adjacent dies. The reason is these areas tend to have areas designed for use as measurement locations. This can be seen from an examination of FIG. 5B, which illustrates an example of a cross-section of one of the dies of FIG. 5A. As illustrated, in this example, the cross-section has three layers, identified from top to bottom respectively with identifying numerals 506a, 506b, and 506c. A combination of features provided in layers 506b and 506c form field-effect transistors 514a, 514b, and 514c. Layer 506c in this example provides doped regions 506a, 506b, 506c within a silicon substrate, where the doped regions 506a, 506b, 506c serve as the source/drain regions, respectively, of transistors 514a, 514b, and 514c. Layer 506b in this example comprises regions 510a, 510b, 510c which serve at the gates, respectively, of transistors 514a, 514b, and 514c. The topmost layer 506a provides metal contact regions 512a, 512b, 512c, which may be selectively connected to individual ones of gate regions 510a, 510b, 510c during the processing ofthe die. This cross-section is built up layer by layer in the following order: 506c, 506b, and 506a. During or after the process of adding each of the layers, 506a, 506b, 506c, it may be desirable to measure the thickness of the layer at one or more points. However, it will be seen that each ofthe layers includes features that make it difficult to precisely model the reflectance spectra at those locations. For example, layer 506c has source/drain regions 508a, 508b, and 508c; layer 506b has gate regions 510a, 510b, 510c; and layer 506a has contact regions 512a, 512b, and 512c. These features compound the problem of modeling the reflectance spectra at these areas within the die. To simplify the modeling process, then, predetermined measurement locations are determined in areas where there are typically fewer features present, thereby simplifying the modeling process. In FIG. 5A, examples of these locations are the locations identified with numerals 504a, 504b, and 504c. Most often, open areas approximately 100 μm x 100 μm are included in the wafer pattern design to serve as locations for film property measurements. FIG. 6A illustrates an overall view of the commercial embodiment 600 of the system. A wafer 500 is supported on platform 632. A light source 604 directs light 630 to a plurality of locations 634 on the surface of the wafer 500, which, in the current commercial embodiment, is in the form of a line that spans the entire diameter of the wafer 500. It should be appreciated, however, that embodiments are possible where the plurality of locations 634 form an irregular or curved shape other than a line, or form a line which spans less than the full diameter of wafer 500. A sensor 602 receives the reflected light from the one or more locations 634, and determines therefrom the reflectance spectra representative of each ofthe one or more locations. The reflectance spectrum for a location is the spectrum of the intensity ofthe reflected light from the location as a function of wavelength, or some other wavelength-related parameter such as 1/λ, n/λ, nd/λ, or nd (cos ) /λ where n is the index of refraction for the material making up the layer, λ is wavelength, d is the thickness of the layer and α is the angle that the optical axis of spectrometer 11 makes with respect to the wafer normal. An example of the reflectance spectrum for a location on the surface of wafer 500 may be as illustrated in FIG. 7. Once determined, the reflectance spectra for the plurality of locations 634 is provided to processor 606 over one or more signal lines 626, which may be implemented as a cable or other wired connection, or as a wireless connection or interface. This data may be provided to the processor concunently with the capture of data from other locations on the surface of wafer 500. Alternatively, this transfer may be deferred until data for all or a substantial portion of the surface of wafer 500 has been captured. Referring once again to FIG. 6A, a translation mechanism 608 is configured to relatively translate wafer 500 so that the incident light 630 can be scanned across the entirety of the surface of wafer 500. The translation mechanism 608 may be under the control of processor 606 or some other control means. Translation mechanism 608 has the further capability of orienting, under command of processor 606, wafer 500 so that the measurement plane is parallel with features such as parallel conductive lines in wafer 500 that may be present. In the cureent commercial embodiment, processor 606, as indicated by the phantom line 628, provides control of translation mechanism 608. Also, in the current commercial embodiment, where the incident light 630 impinges on the surface of wafer 500 in the form of a line that spans the full diameter ofthe wafer, the wafer 500 need only be moved in the X direction, identified with numeral 636, but it should be appreciated that embodiments are possible in which other directions of scanning, or combinations of directions, are possible. For example, in the case where the incident light impinges on the surface of wafer 500 in the form of a line which spans half of the full diameter of the wafer, the wafer 500 may be scanned in its entirety by scanning one half of the wafer in the X direction, then translating the wafer in the Y direction (identified with numeral 638) so that the remaining un-scanned portion of the wafer 500 resides under the incident light, and then scanning the second half of the wafer 500 by translating the wafer 500 in the X direction. In the c rent commercial embodiment, where the plurality of locations 634 is in the form of a line which spans the full diameter of wafer 500, the light source 604 and sensor 602 are in a fixed relationship relative to one another, and the translation mechanism 608 is configured to achieve relative translation between the sensor 602 and the wafer 500 by successively moving the platform 632 supporting the wafer 500 relative to the light source 604 and sensor 602 in the X direction, identified with numeral 636. However, it should be appreciated that embodiments are possible in which light source 604 and sensor 602 are moveable relative to the wafer 500 by moving the light source 604 and sensor 602 relative to the platform 632. In the current commercial embodiment, the light source 604 comprises a white light source 610, or at least a light source having wavelength components over a desired wavelength range. In this commercial embodiment, light source 604 also includes a light shaper 612, which may be in the form of a fiber cable bundle where the individual fibers at the outer face 640 of the cable in aggregate form a rectangular shape as shown in FIG. 8. The rectangular shape of outer face 640 serves to project light from source 610 onto the surface of wafer 500 in the form of a line in the Y direction that spans the full diameter of the wafer, which in the case of this example is 100 mm. With reference to FIG. 8, the number of fibers cureently employed in the long dimension, identified in the figure as R, is currently about 10,000 fibers, and S, the number of fibers in the short dimension, is cunently about 10, but it should be appreciated that other dimensions and shapes are possible depending on the application. It should also be appreciated that embodiments are possible in which light shapers other than fiber cables are employed. The sensor 602 in the current commercial embodiment includes a lens assembly 614 situated along the optical path traced by the reflected light 642 from the surface of wafer 500. This lens assembly 614 functions to reduce the size of the reflected light from about a 100 mm line to about a 26 mm line. A slit 616, concave mirror 618, and convex mirror 620 are also included within sensor 602, and are also placed along the optical path traced by the reflected light 642. In the current commercial embodiment, these optical elements are placed after lens assembly 614 in the order shown in FIG. 6A. The slit 616 functions to aperture the light emerging from lens assembly 614 so that it is in the form of a line, and mirrors 618 and 620 function to direct the light so that it impinges upon transmission diffraction grating 622 which next appears along the optical path. As previously discussed, the entire lens/slit/minor assembly is of sufficient quality that the MTF for an alternating black and white line pattern having a density of 40 line pairs/mm is not less than 70%. It should be appreciated that lens assembly 614, slit 616, and mirrors 618 and 620 are not essential to the invention, and that embodiments are possible where these components are avoided entirely, or where other optical components are included to perform the same or similar functions. In the current commercial embodiment, the light that impinges on diffraction grating 622 is located close to the CCD imager and is thus close to being focused back into the form of a line. The situation is as depicted in FIG. 6B in which, relative to FIG. 6A, like elements are identified with like reference numerals. As illustrated, incident light 630 from the outer face 640 of light shaper
612 is in the form of a line, and impinges upon wafer 500 in the form of a line 634 that spans the full diameter ofthe wafer 500 in the Y direction 638. The reflected light 642 is also in the shape of a line, and after various resizing and shaping steps, impinges upon diffraction grating 622. The line 644 is divisible into portions, each of which is representative of conesponding portions of wafer 500 along line
634. For example, portion 644a of the light impinging on diffraction grating 622 is representative of portion 634a of wafer 500, and portion 644b of the impinging light on diffraction grating 500 is representative of portion 634b of wafer 500. Diffraction grating 622 breaks each of the individual portions of line 644 into their constituent wavelengths. Thus, with reference to FIG. 6B, grating 622 breaks portion 644a into n wavelength components, λo, ..., λn-ι, identified respectively with numerals 644a(0), . . ., 644a(n-l), and also breaks portions 644b into n wavelength components λo, ..., λn-ι, identified respectively with numerals 644b(0), . . ., 644b(n-l). The wavelength components from each ofthe portions of line 644 impinge on imager 624, which measures the intensity of each of these wavelength components. Imager 624 then provides data representative of each of these intensities to processor 606. In the current commercial embodiment, imager 624 has a resolution of 2048 pixels by 96 pixels, although in the current commercial embodiment, only 32 pixels in the vertical (spectral) dimension are used. In the spatial dimension, the sensor 602 is imaging about 100 mm of the wafer onto the 2048 pixels of the imager 624, which conesponds to approximately 50 μm of the wafer surface being imaged onto each pixel. The width of the slit 616 in the spectral dimension determines the measurement spot size in the direction perpendicular to the line image, and it was chosen so that the spot size is 50 μm in this dimension as well, so the resulting measurement spot size is approximately 50 μm x 50 μm square over the entire 100 mm line being measured on the wafer. Additional commercial embodiments, such as the Filmetrics STMapper, measure larger wafers with the same sensors by simply mounting multiple sensors side-by-side to measure contiguous 100-mm-wide swathes of the wafers simultaneously. For example, the very common 200 mm diameter wafers are measured by mounting two sensors side-by-side, and the larger 300 mm diameter wafers are measured by mounting three sensors side-by-side. Once the scanning of a layer has been completed, the processor 606 has access to the reflectance spectra for all or a substantial portion ofthe entire surface of wafer 500. This data can be depicted as shown in FIG. 9A. Numeral 900a identifies the reflectance data for points on wafer 500 for the first wavelength component, λ0; numeral 900b identifies the reflectance data for the second wavelength component, λls and numeral 900c identifies the reflectance data for the (n-l)th wavelength component, λn-ι. Referring to FIG. 9B, reflectance data 900a in combination with off-wafer data points for the first wavelength component λo comprises reflection data 910a. Reflectance data 900b in combination with off-wafer data points for the second wavelength component λ2 comprises reflection data 910b. Likewise, reflectance data 900c in combination with off-wafer data points for the first wavelength component λn-i comprises reflection data 910c. The ensemble of reflectance data 910 comprises a hyperspectral image 920, shown in FIG. 9B. In the current commercial embodiment, there are 32 wavelength components provided for each pixel location. The collection of these wavelength components constitutes the reflectance spectrum for the pixel location. Thus, with reference to FIG. 9A, the wavelength components identified with numerals 902a, 902b, and 902c collectively constitute the reflectance spectrum for a site on the surface of the wafer 500. Cunently, about 1 Gbyte of data is generated for each layer, so the processor must include a storage device that is capable of storing this quantity of data. Once the data for a layer has been captured, processor 606 is configured to analyze the data and determine therefrom the thickness of the layer at one or more desired measurement locations. In the current commercial embodiment, the coordinates of these measurement locations are known, and accessible to the processor 606. The processor 606 also has access to information that describes the structure of the wafer at the desired measurement locations sufficiently to allow the reflectance spectra at the desired locations, or the immediately sunounding areas, to be accurately modeled. Such information might include the composition of the layer in question and that of any layers below the layer in question, a description of any features, such as metal leads and the like, present in the layer in question and in any layers below the layer in question, and the thicknesses of any layers below the layer in question. For each of the desired measurement locations, the processor 606 is configured to use this information to model the reflectance spectrum of that location, or surrounding areas, assuming a thickness for the layer in question. The processor 606 is further configured to compare the modeled spectrum for a desired measurement location, or sunounding locations, with the actual reflectance spectra for these locations, and if the modeled spectra is within a defined tolerance of the actual spectra, determine that the assumed layer thickness is the actual layer thickness. If the comparison is not within the defined tolerance for the measurement location in question, the processor 606 is configured to vary the assumed layer thickness, remodel the reflectance spectra using the assumed layer thickness, and then re-perform the comparison until the modeled data is within the prescribed tolerance. The processor 606 is configured to repeat this process for each ofthe desired measurement locations on a layer. In the cunent commercial embodiment, the processor 606 performs the comparison over a 10 x 10 pixel area centered on the nominal position of the desired measurement location. Analysis of more than one pixel is generally required because there is some uncertainty in the exact location of the desired measurement spot relative to the acquired wafer image, due to image imperfections caused by wafer vibration or other non-idealities. The situation is illustrated in FIG. 10, which illustrates the 10 x 10 pixel area sunounding the nominal desired measurement location 1000. As an example, FIG. 10 (A) shows a portion 1005 of wafer 500 with the outline of pixels superimposed on portion 1005. In particular and as an example,
FIG. 10 (A) shows bond pad 1020 between die edge 1030 and die edge 1040. In the center of bond pad 1020 is a desired measurement site 1000. Each pixel conesponds to a portion of wafer 500 from which the reflectance data 900 depicted in FIG. 9 are shown. Some pixels, such as pixel 1010, align with a uniform film stack, whereas other pixels, such as pixel 1050, coveij more than one film stack (a portion of bond pad 1020 and the street between die edge 1030 and die edge 1040 in this case). FIG. 10 (B) shows an image of portion 1055 with the outline of pixels visible. The fill of each pixel represents the spectrum associated with each pixel; like fill indicates like spectra. Because of the small scale, there is some bluning in image of portion 1055. However, features are clearly delineated, and more importantly, there is at least one pixel conesponding exclusively to a bond pod 1020, namely pixel 1025. The processor 606 is configured to compare the modeled spectrum with the measurement spectrum for each of these pixels, and to compute a running sum ofthe absolute value ofthe difference for each wavelength component for each of the spectra. Mathematically, this process can be represented as follows: RSum = ∑ABS(A,) (1)
where the index i ranges over all possible wavelength components for a given pixel (cunently 32), Δ: is the difference between the modeled and actual intensities ofthe ith wavelength component for the pixel being analyzed, and ABS is the absolute value function. The comparison process for spectra of uniform film stacks such as pixel 1010 rapidly diverges, indicating a poor fit to the desired spectra. The comparison process for spectra of non-uniform film stacks such as pixel 1050 also rapidly diverges, indicating a poor fit to the desired spectra. For pixels over non-uniform film stacks such as pixel 1050, convergence to any spectra is difficult, but for pixels over uniform film stacks such as pixel 1010, convergence can be very rapid provided the comparison is done to the appropriate model spectra. In the case of pixel 1020, which is well aligned with bond pad 1020 and includes the nominal desired measurement location 1000, convergence to the model spectra is very rapid. However, it should be appreciated that other methods of performing the comparison are possible and within the scope ofthe invention, such as methods in which less or more than a 10 x 10 area is involved, in which the comparison is performed over an area that is not necessarily centered on a desired measurement location, and in which functions other than the ABS function are employed. For example, in one alternative, the following statistic may be employed: RSum = f∑Δ* (2)
It is very useful to be able to automatically identify the locations of specific features such as bond pad images. With continuing reference to FIG. 10
(B), pixels conesponding to like spectra can be used to identify high contrast regions such as those found at the edge of die. By looking for spectral signatures, one can identify key features such as bond pads. For example, an examination of a row 1060 leads to the signature of two high contrast regions with five pixels having the signature of streets in between. Likewise, an examination of a row
1062 leads to the signature of two high contrast regions with the signature of two pixels conesponding to streets sandwiched around three pixels conesponding to either bond pad material or a mixture of bond pad material and street material. In a similar fashion other structures can be identified. Method of operation - Commercial embodiment
FIG. 11 is a flowchart of the method of operation followed by the cunent commercial embodiment for each layer in the sample being evaluated. The sample may be a semiconductor wafer or some other sample. In step 1100, the reflectance spectra for a plurality of spatial locations on the surface of a sample are simultaneously captured. The spatial locations may be in the form of a line, or some other shape, such as a curved shape, although in the cunent commercial embodiment, the locations are in the form of a line. In step 1004, an evaluation is made whether all or a substantial portion of the entire surface has been scanned. If not, step 1102 is performed. In step 1102, a relative translation is performed between the surface of the sample and the light source and sensor used to perform the capture process. Again, this step can occur by moving the surface relative to one or the other ofthe light source and sensor, or vice-versa. Step 1100 is then re-performed, and this process repeated until all or a substantial portion ofthe entire surface ofthe layer has been scanned. When all or a substantial portion of the entire surface of the layer has been scanned, step 1106 is performed. In step 1106, the coordinates of a desired measurement location are used to locate the reflectance data for that location or a location within a sunounding area. Step 1108 is then performed. In step 1108, the reflectance data for the location or a location within the sunounding area is compared with modeled reflectance data for that location to determine if the modeled data and actual data are within a prescribed tolerance. This modeled data is determined assuming a thickness for that layer at or near the desired measurement location. The closeness of the fit is evaluated in step 1112. If the fit is outside a prescribed tolerance, step 1110 is performed. In step 1110, the reflectance data for the location is re-modeled assuming a different layer thickness and/or the location from which the actual data is taken is varied. Steps 1108 and 1112 are then re-performed. This process then continues until the modeled data is within the prescribed tolerance of the actual data. Step 1114 is then performed. In step 1114, the assumed layer thickness for the modeled data that satisfied the tolerance criteria in step 1112 is taken to be the actual layer thickness at the desired location. Step 1116 is then performed. In step 1116, it is determined whether there are additional desired measurement locations for the layer in question. If so, a jump is made back to step 1106, and the process then repeats from that point on for the next location. If not, the process ends. A variation on the method shown in the flowchart in FIG. 11 is insert a step prior to step 1100 that includes a rapid scan of all or part of the sample, and an analysis to assess whether the sensitivity of the detector has been set properly. This analysis involves comparing the intensity recorded by each pixel to the maximum possible, and if the maximum such intensity is within a pre-determined range that optimizes the measurements, then the logic of the method proceeds to step 1100; otherwise the sensitivity is adjusted to ensure that maximum intensity measurements obtained in step 1100 do fall within the pre-determined range at which point the logic ofthe method proceeds to step 1100.
Ellipsometric Measurements With relatively minor modifications, the apparatus of the present invention can be used to form wide-area high-speed, high-resolution ellipsometric images. FIG. 12 shows system 102, which is identical to system 100 except for the addition of a polarizer 1210 and a rotating analyzer 1220 and software in computer 10 to control rotating polarizer 1220 and to analyze the data obtained with system 102. Polarizer 1210 is a linear polarizer having a polarization axis that defines the polarization angle of maximum transmission. Polarizer 1210 is disposed between light source 3 and optical fiber 9 and serves to ensure that light emitted from light source 3 impinges upon wafer Id linearly polarized. Likewise, rotating analyzer 1220 has a polarization axis that defines the polarization angle of maximum transmission. Rotating analyzer 1220 further includes a rotation mechanism controllable by computer 10 such that the polarization angle of rotating analyzer 1220 is known. System 102 operates to collect light reflected from wafer Id identically to system 100 except for the effects of using polarized light and the algorithms used to infer film characteristics such as film thickness. Light impinging upon wafer Id is polarized due to polarizer 1210 and the light reflecting from wafer Id undergoes polarization shifts according the film properties on wafer Id. Rotating analyzer 1220 transmits light reflected from wafer Id in accordance with the polarization axis of rotating analyzer 1220. The light continues to propagate through line imaging spectrometer 11 to two-dimensional imager 8 where it forms a polarized line image. Since analyzer 1220 rotates, it alternately passes s- polarized and p-polarized light. By sequentially capturing s-polarized and p- polarized light, spatial maps of Ψ and Δ can be generated from which, using well known methods, film properties such as thickness can be determined for each point and thus for all or portions of wafer Id. It is also important that data acquisition from two-dimensional imager 8 be synchronized with the velocity of wafer Id so that alternating frames of data conesponding to s- and p-polarized light, can be aligned so that rows of s- and p- polarized data overlap. Previously discussed light strobing and/or wafer tracking methods can be used. Ellipsometric measurements can also be made using alternate configurations. If polarizer 1210 and analyzer 1220 are replaced with a rotating polarizer and a fixed analyzer respectively, then a rotating polarizer configuration is obtained. The operation of such a configuration is basically the same except that the polarization of the incident light is modulated before reflecting from the surface of wafer Id and being analyzed by the fixed analyzer and recorded by two-dimensional imager 8. The foregoing embodiment is described such that s- and p-polarized light is sensed in sequentially alternating frames. To avoid the need to carefully synchronize the timing of frame grabbing to ensure that sequential images of s- and p-polarized images overlap, a dual sensor anangement can be used, as shown in FIG. 21 as imaging system 104. In this embodiment, light reflected from wafer Id passes through a non-polarizing beamsplitter 2110 before being analyzed and detected. Beamsplitter 2110 is disposed within system 102 so that light reflected by the beamsplitter remains in the plane defined by angle β. Light passing through the beamsplitter is analyzed by a line imaging spectrometer 11s for s-polarized light, where line imaging spectrometer 11s is identical to line imaging spectrometer 11 except that rotating analyzer 1220 is replaced by a fixed analyzer 1220s that is oriented to pass s-polarized light. Light reflected by beamsplitter
2110 is analyzed by a second line imaging spectrometer lip for p-polarized light, where second line imaging spectrometer lip is identical to line imaging spectrometer 11s except that it includes a fixed analyzer 1220p that is oriented to pass p-polarized light. The other elements of second line imaging spectrometer lip (enumerated in FIG. 21 with a suffix 'p') are duplicates of like identified elements of line imaging spectrometer 11s. With careful alignment, pulse synchronization, wafer tracking, and using software image reversal on images captured with second line imaging spectrometer lip, images captured with the two line imaging spectrometers can be disposed within system 104 so that s-polarized and p- polarized measurements of the same locations on wafer Id are substantially aligned. Yet other ellipsometric measurement anangements can also be accomplished using the basic structure of system 100 with suitable modifications. Such ellipsometric measurement anangements are well known in the art and include a rotating compensator ellipsometer (which require a nanow spectrum light source for effective operation), a polarization modulation ellipsometer, and a null ellipsometer. FIG. 13 shows a variable angle spectroscopic ellipsometer 103, which is yet another type of wide-area high-speed, high-resolution imaging ellipsometric imager that can be made. Ellipsometer 103 is identical to ellipsometer 102 except for the addition of angle track 1330. Ellipsometer 103 functions in the same way as ellipsometer 102 except that it allows Ψ and Δ to be measured over a range of angles β. Preferably, ellipsometric images are obtained at a fixed angle β, then β is adjusted to a different angle and another set of ellipsometric images are collected. This process continues over a range of angles that depends on the materials being measured. Since ellipsometric measurements are most sensitive when the incident light is incident at the Brewster angle, the ability to vary the angle β adds additional capability, especially when measuring complicated film structures where each layer may have a different Brewster angle (that is a function of the index of refraction), and a given multi-layer film stack may have a pseudo- Brewster angle. Since this apparatus allows measurements to be made over a wide range of angles, and since such measurements are made across the entire wafer Id, wide-area high-speed, high-resolution images are obtained over a very wide area, with higher speed and with improved resolution than is possible with the prior art.
Erosion Measurements The apparatus of the present invention can also be used to rapidly perform measurements to determine erosion, which occurs during CMP. Erosion is the excess removal of material in an anay of metal lines or vias, and involves the removal of both metal and dielectric material though in unequal proportions. If too much metal is removed, then the integrated circuit so formed is subject to numerous performance issues ranging from degraded performance due to increased capacitance affecting RC-time constants to joule-heating failures arising from excessive reduction of the cross sectional area of metal lines (Bret W. Adams, et al., "Full-Wafer Endpoint Detection Improves Process Control in Copper CMP", Semiconductor Fabtech Vol..12, p.283, 2000). Other process defects such as shorting can also occur in subsequent process steps. Direct measurements of metal thickness values are not possible using spectral reflectance data (unless the metal layer is less than a few hundred nanometers, which is normally not the case if fabrication processes are in or near specifications). However, by exploiting the high-spatial resolution spectral data of the present invention, erosion measurements can be obtained. To obtain erosion measurements, the reflectance apparatus of the present invention is used to shine light onto an anay of metal lines following a CMP step, where the incident light is in a plane parallel to the lines and perpendicular to the anay of metal lines. Once such light is incident upon an anay of metal lines, film thickness measurements of the top-most layer can be made at multiple locations on the image of wafer Id adjacent to and including a desired measurement site. These thickness measurements are obtained from between metal lines or vias.
These measurements also include a measurement of a substantially un-eroded region. From these film thickness measurements an erosion value is calculated. One way of calculating the erosion value is to calculate the difference between the thickness top-most layer and the thickness of the thinnest top-most layer. The thickest top-most layer conesponds to the thickness of an un-eroded region, thus the difference conesponds to the amount of the top-most layer that has been eroded. FIG. 14 shows an example patterned film structure 1400 that includes an anay of copper lines 1410a - 1410d sunounded by silicon dioxide 1420 over a thin layer of silicon nitride 1430 and a second layer of silicon dioxide 1440 and a silicon substrate 1450. FIG. 14 (A) shows incident light rays 1460, 1462, and 1464 striking patterned structure 1400 at a range of relatively large incident angles. Incident light rays 1460, 1462, and 1464 strike copper lines 1410a - 1410c at sidewalls 1412a and 1412b and at underside 1412c respectively. For simplicity no refractive or diffractive effects are included though they would be present. In particular, light ray 1460 strikes copper line 1410a at sidewall 1412a, and reflects off substrate 1450 before passing between copper line 1410a and 1410b before leaving patterned structure 1400. Light ray 1462 demonstrates different behavior in that after reflecting off sidewall 1412b of copper line 1410b and substrate 1450 it reflects off underside 1412c of copper line 1410c, which leads to a second reflection off substrate 1450 before exiting patterned structure 1400. In general, a multiplicity of reflections between copper lines 1410 and substrate 1450 is possible, each reflection of which introduces increased dependence of the reflectance spectrum upon the copper lines. Light ray 1464, which has a relatively large incident angle, undergoes a single reflection off substrate 1450 before exiting patterned structure 1400. Light rays 1460 and 1462 have optical path lengths that depend significantly upon parameters of the copper lines such as width, thickness, and sidewall angle. Consequently, the overall reflectance signal depends significantly upon these physical parameters. In general, the greater the angle ofthe incident light, the more the light interacts with and is sensitive to the copper line dimensions and shape. In contrast, FIG. 14 (B) shows that light with a small NA incident at small angles leads to a high percentage of light passing by copper lines 1410 with reduced deflections off sidewalls 1412, reflecting off substrate 1450, and passing again between copper lines 1410 with substantially reduced reflections off of sidewalls 1412. Thus, by using small NA light rays incident at a small angle the extent of the variation of reflections due to variation of patterned features such as copper lines 1410 is minimized, which leads to significantly reduced sensitivity of the reflectance spectrum to variations in the copper line dimensions. This means that erosion can be measured with this simple system without undue sensitivity or interference from variations in metal line dimensions. The metal lines still have to be accounted for when modeling the wafer structure to determine the thickness of the top oxide layer using well-known methods such as Rigorous Coupled Wave
Analysis (RCWA). Normally encountered variations in the metal dimensions are typically not enough to cause inaccuracies in oxide thickness determination. In contrast, high-NA measurement systems, such as those previously mentioned that use microscope objectives to acquire spectral reflectance from a single point, are much more sensitive to variations in metal line dimensions because of the effect such variations have on the overall reflectance. The reflectance of light incident upon an anay of lines such as copper lines 1410 depends in part upon the polarization ofthe incident light and the orientation of copper lines 1410. Copper lines 1410 thus behave like a wire grid polarizer, as described in US 6,532,111. Thus the polarization ofthe light in apparatus 100 may be restricted to one polarization and this effect may be used advantageously in combination with the advantages of the low NA, low incident angle light in analyzing three-dimensional structures. If the incident light in system 102 is linearly polarized as a result of polarizer 1020 so that the light has an electric field nominally perpendicular to copper lines 1410, then the light passes easily into the patterned structure 1400 where it reflects and again passes easily out of patterned structure 1400. If the incident light has an electric field nominally parallel to copper lines 1410, then a greater portion of the light reflects from the patterned structure 1410 compared to the case of light with an electric field perpendicular to copper lines 1410. Anays of conductive lines on a patterned semiconductor wafer are almost always parallel or perpendicular to a notch line extending from the wafer center to the notch. In addition, each metallization layer generally has almost all lines oriented in the same direction. Thus, one can rotate wafer Id using platform 2 so that the lines are perpendicular to the electric field of the polarized light so that most of the light passes through the metal features and ensuing measurements are therefore particularly sensitive to layers between and beneath the metal features. Likewise, platform 2 can be used to rotate wafer Id so that the metal lines are parallel to the electric field ofthe polarized light so the ensuing measurements are more sensitive to light reflecting off of the top of the metal features. Such measurements are more sensitive to the layer above the metal features than to layers below the lines. In other cases where it is not possible to rotate the wafer or where horizontal and vertical lines are approximately equally abundant, it may be preferable to use randomly polarized light or circularly polarized light so that the reflectivity is substantially insensitive to the orientation ofthe wafer. FIG. 15 shows an example of how the apparatus ofthe present invention is used to determine erosion. In particular, FIG. 15 shows a patterned structure 1500 that has erosion. This structure includes an anay of copper lines 1510 between which is silicon dioxide 1520. The copper lines 1510 are on a layer of silicon nitride 1530 and a second layer of silicon dioxide 1540 on a substrate 1550. A hyperspectral image of patterned structure 1500 includes reflectance due to light ray 1570 and 1575, where light ray 1570 passes between copper lines 1510 where there has been minimal erosion. Light ray 1575 passes between copper lines 1510 where there has been substantial erosion. Thus, step 1955 involves determining a first thickness of silicon dioxide 1520 from light ray 1570 and a second thickness value of silicon dioxide 1520 from light ray 1575, and calculating a net difference value between the first thickness value and the second thickness value. The net difference value is the erosion.
Correcting second order diffraction effects
The apparatus of the present invention can be used to conect for spectral overlap enors that distort the signal detected and cause enors. Light incident upon a grating at a given angle of incidence α satisfies the grating equation, mλ = d (sin α + sin β), where m is an integer, β is the diffraction angle and d is the grating period. For a given grating, there exist values of m and λ that satisfy the grating equation and result in light diffracting into the same angle, e.g. m = 1 and λ, m = 2 and λ/2, m = 3 and λ/3, etc. Thus, a detector positioned to receive first order light conesponding to m = 1 and λ also receives second order light conesponding to m = 2 and λ/2, as well as third order light (m = 3 and λ/3), etc. The number of orders that must be accounted for depends on the diffraction efficiency of diffraction grating 7 for each order, the range of wavelengths of light emitted by light source 3, and the range of wavelengths over which two- dimensional imager 8 is sensitive. By way of example, if using a light source with a range of wavelengths extending from 400 nm to 1000 nm, diffraction grating 7 scatters second order light from light having a wavelength of 400 nm into the same angle as first order light having a wavelength of 800 nm. A pixel in two-dimensional imager 8 aligned to receive the 400 nm light also receives the 800 nm light. In a similar manner, light from wavelengths ranging from 400 nm to 500 nm is scattered onto pixels that receive light ranging from 800 nm to 1000 nm. For this particular configuration, no third order spectral overlap conection is needed, and the response of two-dimensional imager 8 is given by
Figure imgf000036_0001
where I(λ) is the measured response at a given wavelength, Iι(λ) is the contribution due to first order diffracted light, and I2(λ/2) is the contribution due to second order diffracted light from λ/2, and C(λ) is a conection factor. Method for compensating for 2nd order overlap
To account for spectral overlap of first and second order diffracted light in a system where orders higher than second are not present, Method 1600 shown in FIG. 16 can be used. This method involves calibrating the response of two- dimensional imager 8 to second order diffracted light at several calibration wavelengths between the smallest wavelength of light that can be second order light and the upper limit of sensitivity ofthe detector. For example, if light source 3 has a minimum wavelength of 400 nm, and two-dimensional imager 8 has an upper limit of sensitivity of 1000 nm, then wavelengths in the range of 400 nm to 500 nm are selected. Any of a variety of light sources can be used to provide nanow band calibration light including lasers and light emitting diodes. Furthermore, a relatively broadband source in combination with a nanow-band filter can also be used. However, light emitting diodes (LEDs) are prefened sources of light for this calibration procedure. Though lasers can also be used, they suffer the disadvantage of being of such nanow bandwidth that the exact location of light incident upon two-dimensional imager 8 is not known other than that it falls within the pixel the light strikes. In contrast, LEDs normally have a bandwidth of 10 to 20 nm, which means that when such light strikes two-dimensional imager 8 it covers more than one pixel. By using well-known curve-fitting algorithms, the exact location ofthe peak can be found. FIG. 17 shows the effect of an un-conected spectral response curve and a conected spectral response curve. Between 2λm;n and λcut spectral overlap occurs that must be conected for. A spectral response curve 1730 extends from λm;n to 2λmin. In this wavelength range there is no spectral overlap. Above 2λmjn is a spectral response curve 1770, which extends from 2λmjn to λcut and includes both first and second order diffracted light. From equation (3) and from the figure, a portion ofthe light in this wavelength range must be subtracted from the total light detected to arrive at a conected spectral curve. Equivalently, spectral response curve 1760 results from first order spectral light whereas spectral response curve
1770 results from first order spectral light augmented or distorted by second order light. Spectral response curve 1730, extending from λmin to 2λmjn and a spectral response curve 1760 extending from 2λm;n to λcut constitutes the conected spectral response curve. Step 1610 of method 1600 involves selecting a calibration wavelength to use. Since the contributions due to second order effects tend to vary relatively smoothly over the affected range, it suffices to use approximately four calibration wavelengths in the range between the smallest wavelength and half the maximum wavelength at which the detector is sensitive. These wavelengths, designated as λi, λ2, λ3, and λ4, are shown in FIG. 17. Using fewer than three wavelengths means that the conection is purely linear; using three wavelengths plus interpolation provides adequate conection. Using more than six wavelengths increases the accuracy of conections, but at the expense of increased time. Step 1620 of method 1600 involves directing the light into system 100 with light source 3 replaced by an LED emitting at a desired calibration wavelength. It should be noted that these calibration measurements can be performed with the angle α as small as zero degrees. Light at calibration wavelength λi leads to first order intensity 1705 and second order intensity 1735 at 2λ]. Likewise, light at calibration wavelength λ2 leads to first order intensity 1710 and second order intensity 1740 at 2λ2, light at calibration wavelength λ3 leads to first order intensity 1715 and second order intensity 1745 at 2λ3, and light at calibration wavelength λ4 leads to first order intensity 1715 and second order intensity 1740 at 2λ4. Step 1630 of method 1600 involves sensing the light, including both first and second order wavelengths, and recording these measurements. By hypothesis, diffraction grating 7 is generates first and second order diffracted light that strikes two-dimensional imager 8 at two locations on two-dimensional imager 8. This measurement results in a curve with two sharp peaks, a first peak conesponding first order diffracted light and a second peak conesponding to second order diffracted light. This curve is saved in memory. Step 1640 of method 1600 assesses whether sufficient different wavelengths of light have been used. If measurements at sufficient wavelengths have been made, then the logic of method 1600 moves to Step 1650; if not, then the logic of method 1600 moves to Step 1610 and another wavelength is chosen. Step 1650 of method 1600 calculates a system response based on measurements obtained in Step 1630. For each intensity curve, i.e., for each calibration wavelength, the intensity values adjacent to a nominal peak that exceed a threshold value are selected. A peak-finding algorithm is used to determine precisely each peak amplitude and wavelength, one for first order diffracted light and one for second order diffracted light. Such peak-finding algorithms are well known; examples of such algorithms include parabolic fitting and Gaussian fitting. This peak-finding process is repeated for each calibration wavelength. Having obtained precise peak amplitudes and wavelengths for each first and second order calibration wavelengths of light, a ratio of the peak amplitude conesponding to first order diffracted light to the peak amplitude conesponding to second order light is calculated, viz.,
Figure imgf000039_0001
where i ranges from 1 to the number of calibration wavelengths used, e.g. N (where N is typically 4). Step 1650 concludes by calculating the conection factor C(λ) by interpolating R;(λj) for wavelength values between λi and λN and extrapolating for wavelength values between 2λmjn and λcut that lie outside the range λi and λw. The result is a piece-wise continuous conection factor 1810 shown in FIG. 18. Step 1650 concludes by storing the conection factor C(λ) in memory.
Method of compensating for the non-constant wafer velocity
Depending on the motion of wafer 500 during measurements, inegularities in the ensuing image may occur that cause image distortion. These inegularities result from non-constant wafer velocity during the measurement process. Consider first the case of uniform linear motion in a direction perpendicular to the plurality of locations 634. Depending on the sampling rate, and assuming a full- wafer image, the resulting image is either a circle (which is good), or an ellipse. Whether the semi-major axis of the ellipse is disposed along the direction of motion or transverse to it depends on the linear velocity. In either case, the streets are straight lines, but they do not intersect at right angles. This distortion can be conected for by a linear remapping of the image using correction factors obtained by determining the length of the semi-major and semi-minor axes of the ellipse. However, there exists a faster method. Along any chord or diameter extending across the wafer image in the direction transverse to the direction of motion, the distinctive character of the streets allows them to be identified. Using similarly identified streets in adjacent chords, tangents at the intersection of the chord and the streets can be formed. Alternate tangents point in the same direction because of the linear velocity, and they conespond to either horizontal or to vertical rows. These tangents depend only on the linear velocity of the wafer during the measurements, and on the sampling rate. Thus, they can be used to infer the actual wafer motion at the moment ofthe measurement. This algorithm is based on extracting information from a single chord. For a wafer moving at a constant velocity, this single measurement applies to the entire wafer. Any chord spanning the wafer thus contains sufficient information to extract the wafer velocity, and therefore to infer how to conect for it. Since this algorithm applies to a single chord, which is obtained in a short measurement time, it can be applied to small areas of the wafer and to situations where the motion is non-uniform. Examples of such motion include the motion that a wafer undergoes if being manipulated by a robot arm on an R-θ stage, or on a CMP tool undergoing orbital, or rotational, or linear motion. Examples of such CMP motion are described in US Patent No. 4,313,284, US Patent No. 5,554,064, and in US Patent No. 5,692,947. To explain the application of this algorithm to non-uniform motion, consider FIG. 19. Neglecting the effect on intra-die structure (the viable die region), the image may appear as shown in FIG. 19, which shows reflectance data 1900 at an arbitrary wavelength that includes wafer image 1910 having a plurality of street images 1920, and a wafer edge image 1905. Street images 1920 appear as wavy lines due to non-uniform velocity. Since it is known a priori that the streets are actually straight and that there are horizontal and orthogonally oriented vertical streets (albeit rotated at a rotational angle θ), the waviness provides a way to infer the precise amount of velocity non-uniformity. More importantly, the waviness in combination with the fact that the streets are actually straight can be used to conect for the non-uniform velocity. To conect for the distortion in wafer images, find selected features in key locations and examine tangent lines to the features at these points. There are two cases to consider: one, where the streets are actually oriented horizontally and vertically (conesponding to rotational angle θ equal to 0°, 90°, 180°, or 270°); and two, where the streets are not so oriented. For the first case where the streets are oriented horizontally and vertically, note that when the plurality of locations 634 spanning the entire diameter of wafer 500 sweeps across wafer 500, data is recorded from points not on wafer 500 in addition to points on wafer 500. The first step is to find wafer edge image 1905 by sequentially examining points from the edge of reflectance data 1910, for example by examining the points along the dotted line 1924 in the direction ofthe , line designated by the numeral 1973. Reflectance values conesponding to points off the wafer are less than a threshold value, which facilitates finding an edge point 1950 on wafer edge image 1905. Suitable threshold values range from 0.002 to 0.30, but a prefened value is 0.01. (This technique can be applied in other directions, e.g. along directions indicated by lines 1970, 1971, and 1972 to \ find edges around all around wafer image 1910.) By examining data in columns in a similar manner to find adjacent edge points, a tangent line I960 at edge point 1950 is created. The additional points, in the presence of non-uniform motion, may include some curvature, which can be determined through the use of well- known curve-fitting algorithms. A similar process leads to determining a tangent line 1966 at an edge point 1956. The direction of tangent line 1960 is related to the angle of the edge of wafer 500 and the wafer velocity. This process works for all edge points except at the wafer top, the wafer bottom, and at the midpoints. However, it works at all other points, which makes this technique suitable for conecting for distortion due to non-uniform wafer velocity when the streets are oriented for values of θ equal to 0°, 90°, 180°, or 270°. For the second case, along dotted line 1923 in FIG. 19, consider a point 1930 in one of street images 1920 along with a tangent 1940 to street image 1920 at point 1930. Tangent 1940 depends on the rotation of wafer 500 during : measurements, and of the rotational angle θ of wafer 500 at the moment of the measurement. The same methodology also leads to a tangent 1952 at a point 1954. As with case one, tangent 1940 and tangent 1952 are functions of the velocity and the rotational angle θ. By obtaining tangents at two or more points on each chord across wafer image 1910, the image data in each chord can be conected one chord at a time to yield a round wafer with straight streets.
Notch finding
Once having conected for distortions in wafer image 1910 it is highly desirable to identify the orientation of wafer image 1910. Since wafers include a notch to identify crystallographic orientation, the very high resolution of images formed with the apparatus of the present invention render this notch visible in wafer image 1910. Since wafers are usually loaded with the notch in a given position, the image of the notch is likely to be in a conesponding position. (However, the notch position can differ from the alignment ofthe wafer patterning by as much a degree or two). Begin with the reflectance data 1900, and start from the top of the image and move down until wafer edge image 1905 is detected, as described above. Examine the reflectance at all wavelengths and using the highest reflectance compared to the threshold value. After finding wafer edge image 1905 along the top of wafer image 1910, the same edge finding technique is used again to find the bottom and the two sides of wafer image 1910. Wafer 500 has a center point whose location is known to within a couple of millimeters, so a wafer image center point 1980 is also known to within a few pixels. To find the wafer image center 1980 of wafer image 1910, use chords across wafer image 1910. To identify exactly where wafer image center 1980 is, calculate the length of a chord extending across wafer image 1910 from a distance several pixels above the estimated location of wafer image center 1980 to several pixels below. The chord with the maximum length is a first diameter line that extends through the exact location of wafer image center 1980. Repeat this process for vertical chords to obtain a second diameter line. If the first diameter line and the second diameter line are the same (to within a couple of pixels), then the exact location of wafer image center 1980 is at the intersection of first diameter line and the second diameter line. If the first diameter line and the second diameter line are not the same (due to having stumbled upon the notch), then repeat the process of obtaining diameter lines along + 45 degree lines. Having found the edges of wafer image 1910, the notch is found as follows. After determining the wafer center location, start at the top of wafer image 1910 and move around either clockwise or counter-clockwise. Each step involves moving either one pixel left or right or one pixel up or down, depending on where the center of the wafer is. For example, if starting at the top of wafer image 1910 then the wafer center is directly below. Pick a location in the column above the wafer center. If the point is not on the edge of wafer image 1910 (i.e., the point above is off the wafer and point below is on the wafer) then move either up or down until reaching the wafer edge image 1905. Once having found wafer edge image 1905, compute the squared value of the distance from the edge of the wafer to the center ofthe wafer and store it in memory. Then move one column to the left and again reacquire the edge by searching up and down until finding it. Then compute the center to edge distance squared of this new edge point and store it in memory. Continue moving around the edge and computing the center to edge distance squared. Once having gone completely around the edge of the wafer, examine the accumulated center to edge distance squared data to find the notch. One way to find the notch involves examining the first derivative of the data. The first derivative is highest at the edges ofthe notch, and yields a good approximate location for the notch. To more precisely locate the notch once having found the notch using the first derivative, apply well-known curve-fitting algorithms to' the tip ofthe notch.
Orienting streets - Autorotate algorithm
Once having detennined where the notch is, it is highly desirable to more precisely align the streets so that measurements on small features can be made. The present invention further includes such a process, which is called an "autorotate" algorithm. This algorithm involves accurately determining the rotational orientation of the hyperspectral image of wafer Id. This algorithm makes no assumption about spatial orientation, so this approach is particularly effective in processes such as CMP where wafers may slip during process. 5/083352 The method described here takes advantage of the fact that wafer pattern features align orthogonally due to the step and repeat nature of patterns on partially processed integrated circuits. This effect is especially apparent in the streets regions between the die. When the features in the hyperspectral image conesponding to streets are oriented so that they align substantially along the rows and columns of each slice of the hyperspectral image, then a row or column summation preserves a signature indicative of these features. In contrast, if the wafer pattern features are not aligned, then the elements of the resulting row or column summation are more of an average from a much greater variety of areas of the wafer, and thus maintain much less feature differentiation. To quantify this differentiation, and thus the degree to which the wafer features are aligned with the detector rows and columns, determine a single "Goodness-of- Alignment" value for a given orientation ofthe image of wafer Id by: summing all of the reflectance values along each row to form a sequence of row sums; forming a difference column by calculating the difference between adjacent elements ofthe sequence of row sums, and determining the Goodness-of- Alignment value for the given orientation of the image of wafer Id by summing each value in the difference row that exceeds a threshold value. Determining the orientation of the image of wafer Id involves applying the above algorithm to the image of wafer Id over a range of image rotations to generate a series of Goodness-of-Alignment values for different rotational orientations of the image of wafer Id. The rotations are performed by applying the appropriate mathematical transformations to the image of wafer Id. Such transformations are well known in the art, FIG. 20 shows an example of the resultant Goodness-of-Alignment values as a function of rotational angle θ. Notice that the Goodness-of-Alignment values have sharp maxima at ninety-degree intervals, which conespond to alignments between the pattern features and the rows and columns of the image of wafer Id. These peaks are seen in practice. In FIG. 20, peak 2010 and peak 2030 conespond to vertical streets being oriented vertically, with peak 2030 conesponding to the wafer image being rotated 180 degrees from the orientation that produced peak 2010. Likewise, peaks 2020 and peak 2040 conespond to horizontal streets being oriented vertically with peak 2040 conesponding to the 5/083352 wafer image being rotated 180 degrees from the orientation that produced peak 2020. From this example it is clear that this method cannot easily determine the wafer rotation orientation angle uniquely, but rather it can determine that it is one of four different possible orientations. In practice, however, the rotation angle is generally known to within 1-2 degrees (from notch-finding or a priori knowledge), so only a limited range of angles need to be analyzed, and the rotation angle can be determined uniquely to approximately 0.01 degrees of resolution. This resolution allows subsequent position finding steps to be done accurately and reliably. An alternate approach to orienting the streets in the auto-rotate algorithm involves using light in a single nanow band is used instead of using all of the light. One suitable wavelength is 660 mn. It is also possible to create a vertical or horizontal orientation line using more than one wavelength, or to use multiple wavelengths, i.e., spectra arising from light passing through multiple bandpass filters. Though summing the optical reflectance at each wavelength used is possible, summing the ratio of the optical reflectance at each of two wavelengths allows the creation of an orientation line with additional pattern dependent structure. One example is to use a relatively blue wavelength, for example 410 nm, and a relatively red wavelength, e.g. 660 nm. An optional step within the autorotate algorithm is to obtain a die signature. Once the image of wafer Id has been oriented, pattern recognition techniques are used to identify in wafer image Id the locations of portions, e.g. quadrants of individual die. Unless each die is exactly symmetric about its center point, the reflectance in different quadrants of each die vary from quadrant to quadrant is asymmetric. These variations from quadrant to quadrant constitute a signature indicative of the orientation of each die. An additional technique is to use the ratio of reflection intensities at different wavelengths as described above.
Rotational Auto-rotate method Yet another approach to obtaining an oriented wafer image is to analyze an image of a portion of a patterned wafer, where the portion of the wafer being examined includes a street at the radial distance from the wafer center, but at an unknown angle. There are two situations to consider. In both situations, the nominal location of the wafer center is known to within tens of microns, but the notch is at an unknown angle albeit at a known radius. In the first situation the wafer center lies within a center die, and in the second situation a street (either horizontal or vertical) traverses the center of the wafer. This rotational method of orienting wafers involves using system 100 to measure reference wafers and non- reference wafers with the same pattern as the reference wafer. The rotational method includes positioning line imaging spectrometer 11 so that it images a portion of the wafer along a line perpendicular to a radial line extending from the center of wafer Id to the edge of wafer Id. Line imaging spectrometer 11 substantially straddles the radial line. If dealing with the first situation where the center of the wafer falls within the center die, line imaging spectrometer 11 is disposed to image a portion of wafer Id at a half-die width equal to one half of the die height away from the wafer center. Thus, for some rotational angle θ the reflectance data pertains to light reflecting substantially from a street portion of wafer Id. If dealing with the second situation, line imaging spectrometer 11 is disposed to straddle and to image the center of wafer Id. The rotational method then involves rotating the wafer about its center point with line imaging spectrometer 11 held at the half-die width (situation one) or at the wafer center (situation two). While rotating the wafer, computer 10 records reflectance data sensed by line imaging spectrometer 11. For each rotational angle θ, computer 10 forms an orientation signal by summing all the pixels in each row over all wavelengths. A plot of the orientation signal as a function of rotational angle has peaks conesponding to the street being optimally aligned with the portion of wafer Id being imaged. For situation one, two peaks are present, thus providing orientation to within + 180 degrees. For situation two, four peaks are present if the wafer center aligns with the intersection of both vertical and horizontal streets; otherwise only two peaks are present. To account for situations where the known uncertainty in the portion of wafer Id being imaged results in this portion not being substantially aligned with the streets of wafer Id, a reference method is used. The reference method 5/083352 involves using the aforementioned rotational method to obtain a clear orientation signal refened that serves as a reference orientation signal, and is stored in memory. A subsequent measurement on another wafer having the same pattern on it is then measured to obtain a test orientation signal that is compared with the reference orientation signal. The test orientation signal is likely to exhibit a poorer quality indication that line imaging spectrometer 11 are aligned with the streets due to the uncertainty in the location ofthe wafer center. However, as long as the test orientation signal exhibits well-defined peaks, the reference method can be used to determine the proper orientation ofthe wafer. Numerous techniques can be used to compare the test orientation signal with the reference orientation signal. One such technique is to use a one- dimensional cross-conelation function.
where t(n) and r(n) are the test and reference orientation signals respectively, N is the number of pixels in a row, and θ is the conelation angle. The angle conesponding to maximum conelation conesponds to the desired rotational angle. Another comparison technique involves calculating a difference between t(n) and r(n-θ) and identifying the minimum such difference as conesponding to the desired rotational angle. Additional techniques using the method of least squares can also be used.
Using software to calibrate each individual column of the 1-D spectrometer independently with a monochromatic light source
The process of matching model spectra to measured spectra requires that the measured spectra are conect. It is also advantageous to perform the following calibration procedure to ensure that measured spectra are indeed mapped to the proper wavelengths. To perform such a calibration, the apparatus used for conecting for second order spectral overlap is used. In particular and referring to FIG. 1, light source 3 of system 100 is replaced with an LED or with broadband light passed through a bandpass filter to produce light with a 10 - 20 nm bandwidth. Consider the implementation where two-dimensional imager 8 is a
CCD; the spatial dimension is the horizontal dimension, and the spectral dimension is the vertical dimension. Light from the 10 - 20 nm light source should give a uniform response from two-dimensional imager 8. In other words, the row element exhibiting the maximum response along the columns conesponding to the spectral dimension should be the same in each column across the spatial dimension of the anay. Illumination with light having a 10 - 20 nm bandwidth is important so that several pixels sense the light, and well-known curve fitting algorithms can be used to find an exact peak location, thus improving the accuracy of the calibration procedure. If the response is non-uniform across two-dimensional imager 8, then the wavelength can be conected by fitting the measured response to a second order polynomial. Repeating this calibration procedure at several wavelengths in the range of sensitivity of two-dimensional imager 8 maximizes the accuracy of the calibration. This calibration process can be done at different wavelengths sequentially, or simultaneously.
Decreasing Minimum Pad Size Requirements:
The evolution of integrated circuit (IC) technology has led to ever- decreasing critical dimensions. Associated with this reduction has been a reduction in the size of test sites, which are bond-pad like features that are typically large compared to device features. Typically, many such sites are located on each wafer on which ICs are being fabricated. Since most existing tools for measuring test sites involve the time-consuming and hence expensive serial data acquisition, few test sites are measured due to the time-consuming nature of existing metrology techniques. The invention described above and as shown in FIG. 1 and FIG. 3, as well as in U.S. Patent Application Serial No. 09/899,383, and U.S. Patent Application Serial No. 09/611,219, describes how to obtain large numbers of measurements on bond pads as small as 100 um. In spite of these inventions, there remains a need for a capability of accurately and reliably measuring thin films at test sites on wafers, where the test sites are as small or smaller than 50 um. To appreciate the benefits of several techniques described below to measure smaller test sites, it is useful to recall that optical systems such as those described in the present invention involve an object (e.g. wafer) and a collection of optical elements disposed to create an image in an image plane that coincides with the sensing portion of a multiple-pixel, two-dimensional imager. Such systems also function in reverse, i.e., the collection of optical elements also images the multiple-pixel, two-dimensional imager (now viewed as an object) onto a second image plane that coincides with the plane of the wafer. Thus, one can view such a system from the perspective of a wafer image on the multiple- pixel, two-dimensional imager, or as a collection of pixel images on the wafer. To provide accurate and reliable measurement capability on such test sites requires that a measurement spot size be as small or smaller than the test site, and that one or more measurement spots lie substantially within the test site. The measurement apparatus one uses determines this capability. The minimum test site area that can be measured is determined by the measurement spot size, which is equal to the size ofthe "pixel image" that is imaged onto the wafer surface by the imaging system 100. The pixel image size is primarily determined in the present invention in the horizontal direction by the pixel width multiplied by the product of the magnification of lens assembly 4 and the magnification of lens assembly 6 and in the scan direction by the slit width multiplied by the magnification of lens assembly 4. The ability of a measurement system to measure a test site also depends on the measurement spot density, i.e., the number of measurements made per unit area on wafer Id. In particular, using the apparatus of the present invention, the measurement spot density is determined primarily by the density of pixel images in the horizontal direction and the scan speed in the scan direction. Clearly, the measurement spot size and the measurement spot density are affected by the magnifications of the lenses 4 and 6. Hereinafter, discussion addresses the effects of other factors on the measurement spot size and the measurement spot density. Therefore for simplicity we assume unity magnification for lens assemblies 4 and 6; this assumption allows us to ignore the distinction between the pixel and slit sizes and the pixel image size. However, it is not necessary to limit the scope of the present invention to unity magnification of lens assemblies 5 and 6 to appreciate the benefits ofthe present invention. Ensuring that one or more measurement spots lie substantially within a test site involves either performing extremely precise measurements at locations whose position is known a priori to a high degree of precision (which is expensive and time-consuming), or by increasing the measurement spot density and rapidly sifting through the measured data. The present invention involves performing sufficiently numerous measurements in a very short period of time that the very density of measurements combined with the small measurement spot size of individual measurements ensures that accurate measurements at desired test sites are made. Methods already described in U.S. Patent Application Serial No. 09/899,383, and U.S. Patent Application Serial No. 09/611,219, address the issue of efficiently sifting through measurement data to extract measurements at desired test sites. Standard solid-state imagers have rectangular pixels whose width is equal to the horizontal pixel pitch. This relationship implies a 100% fill factor, i.e., there is no portion ofthe sensing region ofthe imager that is not sensitive to light. However, for a given imager, improving the measurement spot size requires innovation. The measurement spot size depends in part on the orientation ofthe image of the measurement site compared to the orientation of the pixels in two- dimensional imager 8. FIG. 22 (A) shows a 4x4 portion of a pixel anay 2210 of two-dimensional imager 8 that has a 100% fill factor, and where each pixel has a horizontal dimension 2220 and a vertical dimension 2230. If the measurement sites are optimally oriented, as shown in FIG. 22 (A), then the minimum measurement site image size is twice the pixel size. (Smaller site areas could straddle two pixels so that neither pixel would sense light from a single film stack, thus forming difficult or impossible to decipher measurements.) Pixel anay 2210 moves in a scan direction indicated by an anow designated by the numeral 2270.
Superimposed on anay 2210 is a measurement site image 2240. If the measurement site image size is any less than two times horizontal dimension 2220 or two times vertical dimension 2230 then there is a risk that a measurement will not include at least one pixel that is completely covered by the measurement site image. However, it cannot be assumed that the measurement sites are optimally oriented since there is uncertainty in the orientation of wafer Id on platform 2, even if wafer Id is oriented prior to being placed on platform 2. The worst-case scenario is that the measurement sites are oriented at a 45-degree angle, as shown in FIG. 22 (B), which shows a measurement site image 2250 oriented at a 45- degree angle to the pixels of pixel anay 2210. Measurement site image 2250 has an edge dimension 2260 that has a minimum length of 2V2 times horizontal dimension 2220. To deal with the worst-case scenario, and to meet or exceed the minimum measurement spot size involves reducing the active area of the pixels that receive light. The present invention includes several techniques that provide for this capability. Pixel Masking Decreasing the active area of the pixels that receive light can reduce the measurement spot size. For optimal results, this approach involves reducing the active area in both the horizontal and vertical directions. Masking the pixel area can achieve this reduction in the horizontal dimension. FIG. 23 (A) shows a pixel 2310 to which an opaque material has been applied to form a mask 2320 and a mask 2330 that block light from reaching the active portion of pixel 2310, thus fonning active area 2340 having a width 2345. Placing mask 2320 and mask 2330 near the outer edges of pixel 2310 optimizes the sensitivity of pixel 2310 to light and reduces electrical crosstalk between adjacent pixels, and it reduces resolution degradation caused by non-ideal optics (such as those that may be found in lens assemblies 4 and 6). The opaque material that forms mask 2320 and mask 2330 is deposited during the fabrication of two-dimensional anay 8, using standard IC fabrication methods. Materials such as metals (alummum, gold, silver, etc.) are suitable opaque materials. Advantageously, such materials are anti-reflection (AR) coated to suppress reflections. In the vertical dimension masking can also be used to reduce the pixel area. However, it is advantageous to adjust the slit width of slit 5, which has a blade 2350 and a blade 2360 separated by a height 2322 as shown in FIG. 23 (B). The slit width of slit 5 is height 2322. This process results in an active area 2460 that is substantially smaller than the original active area of pixel 2310. Assuming that the resulting active area 2460 is square, then the minimum measurement site size is v2 times the sum of width 2345 plus height 2322. FIG. 24 (A) shows a 4x4 portion of a pixel anay 2410 of a two-dimensional imager that is identical to two-dimensional imager 8 except for the pixels being masked as shown in FIG. 23. Pixel masking results in a decrease in fill factor to the product of height
2322 and width 2345 divided by the product of horizontal dimension 2220 and vertical dimension 2230. If height 2322 and width 2345 are one half of horizontal dimension 2220 and vertical dimension 2230 respectively, then the ensuing fill factor is 25%. FIG. 24 (B) shows a measurement site image 2450 oriented at a 45-degree angle to the pixels of pixel anay 2410. Although measurement site image 2450 is nominally the same size as measurement site image 2250, measurement site image
2450 easily fits over four pixels in pixel anay 2410, with considerable tolerance for rectilinear and/or rotational misalignment still yielding at least one pixel fully covered by measurement site image 2450. FIG. 24 shows the reduction in measurement spot size due to reducing each edge of active pixel area by one half, which leads to a 25% fill factor.
Further reductions in active pixel area are possible, albeit with a conesponding decrease in the total amount of light that reaches the pixels. This reduction in light intensity can be compensated for by increasing the intensity of light source 3, or by using a more sensitive detector. One very significant benefit to pixel masking is that the resulting reduced measurement spot size is much more likely to be entirely on a single film stack regardless ofthe orientation of any given measurement site relative to the pixels in imager 8. In contrast, large measurement spot sizes are much more likely to bridge two different film stacks, which result in a reflectance measurement that is difficult to decipher. In operation, the scan speed is the same as its nominal speed. As wafer Id moves, light from light source 3 reflects off wafer Id and enters line imaging spectrometer 11 of system 100, where two-dimensional imager 8 has been replaced with two-dimensional imager 2410. Computer 10 receives spectral data from line imaging spectrometer 11, and generates spectral images of wafer Id from which the film thickness of a film at desired measurement sites is determined, as described in U.S. Patent Application Serial No. 09/899,383, and U.S. Patent Application Serial No. 09/611,219. Over-sampling There is in general no certainty that any given measurement spot will be on any one exact location on a wafer being measured. One reason for this uncertainty is a consequence of the already small spot size and the positional tolerances involved in wafer positioning and in mask alignment during normal processing conditions. A second reason has to do with tolerances in synchronizing data acquisition and wafer motion or positioning while collecting data. A way to increase the probability that a measurement of wafer Id using system 100 actually results in a measurement of a desired measurement site is to increase the measurement spot density by reducing the scan speed relative to the data acquisition rate. Although it is intuitive to set the scan speed to result in a measurement spot density that is equal in directions both parallel to and perpendicular to the scan direction, decreasing the scan speed by a factor of two while maintaining the data acquisition rate increases the measurement spot density by a factor of two. FIG. 25 shows measurement site image 2450 as well as pixel anay 2410 at two sequential integration times. The first integration time conesponds to the dotted lines, and the second integration time conesponds to the solid lines. During the first integration time, a pixel 2520 and a pixel 2525 are entirely within measurement site image 2450. However, during the second integration time, a pixel 2510, a pixel 2515, a pixel 2530, and a pixel 2535 are entirely within measurement site image 2450. An ensemble image comprised of images recorded at both the first and second integration times leads to an image that includes six pixels that are covered entirely by measurement site image 2450 measurement site image 2450, which is a significant increase in the probability that a single sweep of measurements across wafer Id results in high quality measurements at desired test sites. Further reducing the scan speed can lead to the case of "overlapping", i.e., where the measurement spots begin to overlay in the scan direction. Overlapping further reduces the minimum measurement site size. The example just described serves to show how a 50% reduction in scan speed doubles the number of measurements made during a single sweep across " wafer Id using system 100, thus increasing the spatial resolution of measurements. Further decreasing the available light sensitive area by scaling each pixel down is one way to obtain additional resolution. Another way to obtain further increases in spatial resolution is to further reduce the active area of pixels by masking more of each pixel. Reducing height 2322 by adjusting blade 2350 and/or a blade 2360 appropriately leads to nominally square light sensitive regions. Further reducing the scan speed results in more measurements on wafer Id. Depending on how much masking is done it may be necessary to increase the intensity of light generated by light source 3. In operation, the scan speed is reduced to one half of its nominal speed. As wafer Id moves, light from light source 3 reflects off wafer Id and enters line imaging spectrometer 11 of system 100, where two-dimensional imager 8 has been replaced with two-dimensional imager 2410. Computer 10 receives spectral data from line imaging spectrometer 11, and generates spectral images of wafer Id from which the film thickness of a film at desired measurement sites is determined, as described in U.S. Patent Application Serial No. 09/899,383, and
U.S. Patent Application Serial No. 09/611,219.
Row Staggering One limitation of simply over-sampling as described above is that there is no increase in the measurement spot density in the horizontal direction. To mitigate this problem, two-dimensional imager 8 of system 100 is replaced with a two-dimensional imager having a plurality of staggered rows of masked pixels that can be used like a single horizontal row with a higher pitch density.
Preferably, each pixel is masked on a single side, as described above and using known methods. Adjacent rows are offset by the width of the mask. An example of a two-dimensional imager with staggered rows is shown in FIG. 26, which shows a portion of two-dimensional imager 2610 having a three-fold increase in measurement spot density in the horizontal direction. In use, pixels disposed along the horizontal direction conespond to a spatial dimension and pixels disposed along the vertical direction conespond to the spectral dimension, as indicated in the figure. Pixels in every third row sense light from the same physical location on wafer Id, but at different wavelengths. The ensemble of spectral measurements at all the wavelengths available from every third vertically aligned pixels constitutes the spectrum of light reflected from the physical location on wafer Id. In particular, two-dimensional imager 2610 includes a pixel row 2620 that includes a pixel 2650 having a width 2637 with a mask 2651 having a width 2647. Two-dimensional imager 2610 further includes pixel rows 2622, 2624, 2626,
2628, 2630, 2632, 2634, and 2636. Pixel rows 2620, 2622, and 2624 form a row group 2670. Pixel rows 2626, 2628, and 2630 form a row group 2672. Pixel rows 2632, 2634, and 2636 form a row group 2674. Likewise, pixel row 2622 and pixel row 2624 of row group 2670 include a pixel 2652 and a pixel 2654 respectively. Pixel row 2626, pixel row 2628 and pixel row 2630 of row group
2672 include a pixel 2656, a pixel 2658, and a pixel 2660 respectively. Pixel row 2632, pixel row 2634, and pixel row 2636 of row group 2674 include a pixel 2662, a pixel 2664, and a pixel 2666 respectively. Each pixel dimension as well as the dimensions and position of the mask on each pixel of each row is identical to that of pixel 2651 and mask 2647. Width
2647 of pixel 2651 is preferably chosen to be one third ofthe width of pixel 2651 so that pixels in every third row align vertically. However, it is not necessary that width 2647 be one third of the width of pixel 2651; other fractional proportions such as one half and one fourth also work, which lead to pixels in every second or fourth row respectively being aligned. Preferably, two-dimensional imager 2610 includes 32 row groups. If each row group includes three pixel rows per row group, then 96 rows are needed to provide spectral measurements at 32 distinct wavelengths. Individual pixel rows receive light at slightly a different wavelength than adjacent pixel rows. This difference is small, and even though it does mean that physically adjacent points have 32-point spectra associated with them, there is a slight shift in wavelength from site to adjacent site. This difference is inconsequential. In practice, such differences can be accounted for by calibration procedures. In operation, the scan speed is reduced to one third of its nominal speed. As wafer Id moves, light from light source 3 reflects off wafer Id and enters line imaging spectrometer 11 of system 100, where two-dimensional imager 8 has been replaced with two-dimensional imager 2610. Computer 10 receives spectral data from line imaging spectrometer 11, and generates spectral images of wafer Id from which the film thickness of a film at desired measurement sites is detennined, as described in U.S. Patent Application Serial No. 09/899,383, and U.S. Patent Application Serial No. 09/611,219. Wafer Paddle Motion Damper: The process of acquiring high-speed, high-density reflectance data from a patterned wafer involves sensing light reflected from the surface of the patterned wafer. Since the wafer must move relatively to light source 3 and line imaging spectrometer 11, there is opportunity for such relative motion to degrade the sensed reflectance due to increased measurement area. Typically, such unwanted motion is in a direction transverse to the X direction 12. To suppress such undesirable motion the present invention provides for a mechanism that reduces this motion. As shown in FIG. 28 (A), platform 2 of system 100 further includes an arm 2810 to which a wand 2820 is mechanically attached. Wand 2820 serves to secure wafer Id. In addition, platform 2 further includes a fixture 2850 that serves to limit unwanted motion while simultaneously allowing wafer Id to be translated in the X direction 12 upon command from computer 10. FIG. 28 shows three exemplary ways limit unwanted motion. FIG. 28 (B) shows fixture 2850 in cross section, and in particular shows a groove 2860 that has been formed in fixture 2850. Groove 2860 is formed to conform to the shape of arm 2810 so that as computer 10 causes translation mechanism 53 to move wafer Id, arm 2810 moves along fixture in the X direction 12. Motion in directipns transverse to the X direction 12 is suppressed by groove 2860 and by slight downward pressure applied by translation mechanism 53 to keep arm 2810 in groove 2860. Though groove 2860 is shown as being rectangular, a wide variety of other shapes also work provided that they conform to the shape of arm 2810. Example cross-sectional shapes include round, triangular, etc. In practice, only nominal shape conformality is needed: so long as at least two portions of groove 2860 are present that present stable supporting points that limit the transverse motion of arm 2810 in groove 2860, the objective of stabilizing the motion of wafer Id is satisfied. The use of Teflon™ or wheels or bearings can also be used to reduce the sliding friction. FIG. 28 (C) shows a variation on the embodiment shown in FIG. 28 (B) wherein arm 2810 has been modified to include a beveled edge 2852 and a beveled edge 2854, thus forming arm 2810a. Fixture 2850 has been likewise modified to include a beveled edge 2856 and a beveled edge 2858 that match beveled edges 2852 and 2854 respectively. The addition of these beveled edges further restricts translational motion while facilitating the ability of translational mechanism 53 to position arm 2810 within groove 2860 of fixture 2850. FIG. 28 (D) shows yet another way to stabilize transverse motion. An arm 2810b is formed by modifying arm 2810 to include a magnet 2870 disposed substantially within arm 2810c, as shown in FIG. 28 (D). Magnet 2870 is oriented so that one pole, designated with a "+" in FIG. 28 (D), is oriented away from ann 2810b. A fixture 2850b is formed by disposing a magnet 2872 within fixture 2850b so that magnet 2872 is flush with the surface of a groove 2860b, as shown in the figure. Magnet 2872 is oriented so that one pole, designated with a "+" in FIG. 28 (D), is oriented toward arm 2810b. Essential to the operation of this embodiment is that like poles face each other so as to form a magnetic bearing. In operation, translation mechanism 53 presses arm 2810b into groove 2860 and the opposing force induced by the close proximity of like poles in magnets 2870 and 1872 along with the structure of groove 2860b suppresses transverse motion. Considerable variations on the embodiment shown in FIG. 28 (C) are possible. The placement of additional pairs of magnets in the sidewalls of groove 2860b with like poles facing each other further stabilizes transverse motion. In addition, placing pairs of magnets in groove 2860b with opposite poles facing each other can be used advantageously to provide an attractive force. Such a construct, in combination with pairs of magnets with like poles facing each other, can be used to draw arm 2810b into groove 2860b, yet keep arm 2810b from actually contacting groove 2860b due to the magnetic bearing effect. This combination adds further stability against transverse motion. The magnetic fields necessary to accomplish such stabilization are small. Likewise, so too are the relative speeds, viz., 40 mm/s. Thus, any induced cunents are small and unlikely to cause damage to devices being formed in wafer Id, especially since wand 2820 is typically made from non-conducting materials such as Teflon™ (or is otherwise electrically isolated from arm 2810.
Looking thorough a viewport: The present invention further provides enhanced visibility of wafer Id when using system 101 in FIG. 3. In the absence of specific design, implementing viewport 18 with a bi-planar glass plate, as is the practice in the art, leads to a degraded image due to wavelength dependent optical path length differences (dispersion) as light refracts through viewport 18. Coating viewport 18 with an AR coating is not sufficient to solve the problem. To overcome this problem, viewport 18 is treated as an integral component of the optical elements used in system 101, and the optical design parameters of lens assembly 4, and lens assembly 6 if necessary, are adjusted to compensate for the dispersion in viewport 18. Thus, designing lens assembly 4 so that is takes into account the optical effects of viewport 18 can result in non-degraded images. Such design parameters can be optimized using commercially available software such as Zemax (ZEMAX Development Corporation, San Diego, CA). Optionally, viewport 18 can be viewed as having a top surface 18t with a curvature Rt, and a bottom surface 18b having a curvature Rb, and the design process can be performed to optimize curvature Rt of top surface 18t, and/or optimizing curvature Rb of bottom surface 18b.
An alternative approach is to integrate lens assembly 4 of line imaging spectrometer 11 and viewport 18 into a single piece. This approach is shown in FIG. 29, which shows system 105, which is identical to system 101 except that lens assembly 4 and viewport 18 have been replaced with lens assembly 4' that combines the functionality of lens assembly 4 and viewport 18 into a single element. Fiber bundle 9 has also been modified so that it is optically and mechanically coupled to transfer chamber 16. Lens assembly 4' includes one or more lenses, each having front and back surfaces having curvature that is optimized to provide a clear image ofthe portion of wafer Id being illuminated by light source 3. The operation of system 105 is identical to that of system 101. Dual-Offner:
The need for obtaining measurements on very small measurement sites on wafers drives two conflicting factors. One factor is the need for sensing light from very small areas without optical contamination from nearby areas, and the second factor is the need for simple, low-cost optics. Conventional single-spot microscope-based measurement systems typically use refractive (i.e., transmissive) lens systems to provide a small, well-defined measurement spot. These lens systems are complex and expensive because the refractive index ofthe glass materials used to make the lenses varies with wavelength, and to be able to image a small spot over a wide range of wavelengths requires a lens system that consists of numerous (typically five or more) precision lenses that are positioned in a low-tolerance assembly. The optical system for an imaging spectrometer is even more complex and expensive because the size of the area that they must image precisely is several orders of magnitude larger than that of a single-spot system (because each line image consists of thousands ofthe single-spot sized images.) The optical systems of the resolution required for the imaging micron-sized structures such as those found on ICs include three or more concave and convex minors that are set at precise angles to one another, which adds to the parts cost and increases the complexity of assembly due to tight alignment tolerances, which further increases system cost. In addition, such systems typically include at least one minor element that is not spherical (i.e., that is aspherical), which adds significantly to the cost. The combination of angled positioning and aspherical minors lead to prohibitive cost and complexity that are inconsistent with a low-cost, high performance measurement system. It is possible, however, to circumvent the above problems by taking advantage of two essential factors. First, the detector pixel size is comparable to the size of the measurement pads, which means that imaging with a magnification of approximately 1 : 1 is needed. Second, optical systems that use reflection alone eliminate the dispersion associated with refractive optics. However, the use of reflective surfaces alone is insufficient to address the above problems. Such surfaces must also minimize optical defects such as spherical abenation and coma; otherwise the problem of wavelength dispersion is replaced by another problem, viz., image distortion. There exists a simple two-element, concentric, spherical, reflective optical system that provides 1 : 1 magnification and the wide-wavelength-range resolution required for the present invention. This two-element reflective system is called an
Offher system, and is described in US Patent 3,748,015. An Offher imaging system is a catoptic system with unit magnification with high resolution provided by convex and concave spherical minors ananged with their centers of curvature at a single point. Such systems use reflective optical elements configured to substantially eliminate spherical abenation, coma, and distortion. They are also free from third order astigmatism and field curvature. In practice, some flexibility in the magnification of an Offher system is possible: magnification of approximately 1.2:1 can be used without excessively degrading optical performance. However, if used without modification, the traditional Offher imaging system simply re-images abenant light from an object. The present invention solves this problem with a dual-Off er system. A first Offher system replaces lens 4 of system 100, i.e. it re-images light reflected from a wafer being tested onto a slit that performs a spatial filtering function. A second Offher system replaces lens 6, and serves to re-image the spatially filtered light to the entrance aperture of a one-dimensional imaging system, which then disperses the light into its constituent wavelengths for subsequent analysis. In combination, this dual- Offher system provides near defect free image light to the one-dimensional imaging system, thus essentially stripping the recorded image of abenations. FIG. 30 shows a dual Offher imaging system 3100 according to the present invention that includes a folding minor 3170, a first Offher group 3103, a folding minor 3140, a slit 3130, a second Offher group 3105, and a one- dimensional imaging system 3190 having an entrance aperture. Folding minor 3170 and folding minor 3130 are front surface minors that serve to fold the optical path of light emanating from wafer Id to reduce the size of dual Offher imaging system 3100. Slit 3130 is an adjustable mechanical assembly having a pair of straight edges opposing each other and adjustable to maintain a fixed distance between the straight edges. One-dimensional imaging system 3190 has an entrance aperture that receives light. Light entering the aperture along an axis perpendicular to the direction of propagation is dispersed within one-dimensional imaging system 3190 to form a spatial-spectral image. First Offher group 3103 includes a convex minor 3160 and a concave minor 3150, both of which have a radius of curvature and a focal point located at the center of curvature. Convex minor 3160 and concave minor 3150 are disposed within system 3100 so that their focal points are coincident. First Offher group 3103 has a focal point 3180 and a focal point 3182. Second Offher group 3105 includes a convex minor 3120 and a concave minor 3110, both of which have a radius of curvature and a focal point located at the center of curvature. Convex minor 3120 and concave minor 3110 are disposed within. system 3100 so that their focal points are coincident. Second Offher group 3105 has a focal point 3184 and a focal point 3186. Second Offher group 3105 is disposed within system 3100 so that focal point 3182 and focal point 3184 coincide within slit 3140. Focal point 3186 is disposed within system 3100 at the entrance aperture of one-dimensional imaging system 3180. In operation, wafer Id is positioned within system 3100 so that portions of wafer Id that include one or more measurement test sites pass through focal point 3180 of first Offher group 3103. Minor 3170 reflects light reflected from wafer Id at focal point 3180 and directs it toward concave minor 3150 whereupon it is reflected toward convex minor 3160. The light then undergoes a reflection back toward concave minor 3150, and in so doing it starts to converge. The light reflects off concave minor 3150 in a second reflection from this minor. Subsequent to this reflection, the light reflects off folding minor 3140, as it converges to focal point 3182. The blades of slit 3130, having been adjusted to approximately 10 um of separation, spatially filter the light passing through slit
3130. Once passing through focal point 3182 (and focal point 3184), the light diverges toward concave minor 3110 of second Offher group 3105, which reflects the light toward convex minor 3120. Upon reflection from convex minor 3120, the light undergoes a second reflection from concave minor 3110 before converging to focal point 3186. One-dimensional imaging system 3190 then receives the light and forms a spatial-spectral image of wafer Id. The lack of refractive optical elements in first Offher group 3103 and in Offher group 3105 means that system 3100 is particularly well suited for use with
UV light. The various embodiments of the present invention have been described in the context of rectilinear wafer motion. Though such motion is often accomplished using linear translation stages, other mechanisms such as R-θ stages can also be used. Advantageously, R-θ stages also allow the overall system footprint of a given embodiment to be reduced compared to the system footprint using linear translation stages. Implementing system 100, system 101, system 102, system 103, system 104, or system 105 with R-θ stages involves moving one or both of optical system 11 wafer Id with the R-θ stage. It should also be clear that the methods and embodiments of the present invention can be used to measure film properties on all or on only a portion of a wafer or other structure having a stack of thin films. Additional advantages and modifications will readily occur to those of skill in the art. The invention in the broader aspects is not, therefore, limited to the specific details, representative methods, and illustrative examples shown and described. Accordingly, departures may be made from such details without departing form the spirit or scope of applicant's general inventive concept, and the invention is not to be restricted except in light of the appended claims and their equivalents.

Claims

THE CLAIMS
1. A system for measuring one or more properties of a film comprising: a light source for directing light to the film; a one-dimensional imaging spectrometer for receiving light reflected from a one dimensional pattern of spatial locations on the film, and determining therefrom a reflectance spectrum for one or more of the spatial locations in the pattern, the spectrometer configured to provide resolution of 1 mm or better along both first and second spatial dimensions; a translation mechanism for relatively translating the film with respect to the spectrometer; and a processor for (a) obtaining from the spectrometer reflectance spectra for a plurality of one dimensional patterns of spatial locations along the film; (b) aggregating these reflectance spectra to obtain reflectance spectra for a two dimensional area on the film, the reflectance spectra for the area having spatial resolution of 1 mm or better; and (c) determining therefrom one or more properties ofthe film.
2. The system of claim 1 in which the translation mechanism is configured to move a platform supporting the film relative to the light source and spectrometer.
3. The system of claim 1 in which the translation mechanism is configured to move the light source and spectrometer relative to a platform supporting the film.
4. The system of claim 1 where the processor is configured to determine the one or more properties of the layer at one or more desired measurement locations.
5. The system of claim 4 wherein the processor is configured to locate the one or more desired measurement locations at least in part by analyzing at least a portion ofthe reflectance spectra for the two dimensional area.
6. The system of claim 5 wherein the processor is configured to determine the one or more properties at a location by comparing a modeled reflectance spectrum with an actual reflectance spectrum at or within an area sunounding the location.
7. The system of claim 6 wherein the processor is configured to vary one or more modeling assumptions or the location of the actual reflectance spectrum until the actual reflectance spectrum and modeled reflectance spectrum are within a predetermined tolerance.
8. The system of claim 4 wherein the processor is configured to determine film thickness at the one or more desired measurement locations.
9. The system of claim 4 wherem the processor is configured to determine an optical constant at the one or more desired measurement locations.
10. The system of claim 4 wherein the processor is configured to determine doping density at the one or more desired measurement locations.
11. The system of claim 4 wherein the processor is configured to determine a refractive index at the one or more desired measurement locations.
12. The system of claim 4 wherein the processor is configured to determine an extinction coefficient at the one or more desired measurement locations.
13. The system of claim 1 wherein the translation mechanism is integral with equipment for manufacturing semiconductor microelectronics.
14. The system of claim 1 wherein the spectrometer is configured to determine reflectance spectra for a one dimensional pattern of spatial locations in the shape of a line.
15. The system of claim 14 wherein the line is linear.
16. The system of claim 14 wherem the line is non-linear.
17. The system of claim 14 wherein the reflectance spectra for the two dimensional area is aggregated from the reflectance spectra of successive lines.
18. The system of claim 1 wherein the spectrometer is configured to communicate reflectance spectra to the processor through a wireless interface.
19. The system of claim 1 wherein the spectrometer is configured to communicate reflectance spectra to the processor through a wireline interface.
20. The system of claim 1 wherein the spectrometer is configured to communicate reflectance spectra to the processor through one or more optical communications links.
21. A method for measuring one or more properties of a film comprising: directing light to the film; receiving light reflected from a one dimensional pattern of spatial locations on the film, and determining therefrom a reflectance spectrum for one or more of the one dimensional spatial locations in the pattern; obtaining reflectance spectra for additional one dimensional patterns of spatial locations on the film; aggregating these reflectance spectra to obtain reflectance spectra for a two dimensional area on the film, the reflectance spectra for the area having spatial resolution of 1 mm or better, and determining therefrom one or more properties ofthe film.
22. The method of claim 21 further comprising determining the one or more properties ofthe film at one or more desired measurement locations.
23. The method of claim 22 further comprising locating the one or more desired measurement locations at least in part by analyzing at least a portion ofthe reflectance spectra for the two dimensional area.
24. The method of claim 22 further comprising determining the one or more properties at a location by comparing a modeled reflectance spectrum with an actual reflectance spectrum at or within an area sunounding the location.
25. The method of claim 24 further comprising varying one or more modeling assumptions or the location of the actual reflectance spectrum until the actual reflectance spectrum and modeled reflectance spectrum are within a predetermined tolerance.
26. The method of claim 21 further comprising determining film thickness at the one or more desired measurement locations.
27. The method of claim 21 further comprising determining an optical constant at the one or more desired measurement locations.
28. The method of claim 21 further comprising determining doping density at the one or more desired measurement locations.
29. The method of claim 21 further comprising determining a refractive index at the one or more desired measurement locations.
30. The method of claim 21 further comprising determining an extinction coefficient at the one or more desired measurement locations.
31. The method of claim 21 further comprising obtaining reflectance spectra for successive one dimensional patterns of contiguous spatial locations along the surface ofthe film in the shape of a line.
32. The method of claim 31 wherein the line is linear.
33. The method of claim 31 wherein the line is non-linear.
34. The method of claim 31 further comprising aggregating the reflectance spectra for successive lines to form the reflectance spectra for the two dimensional area.
35. A system for measuring one or more properties of a film, comprising: a light source for directing light to the film; a one-dimensional imaging spectrometer for receiving light reflected from a one dimensional pattern of spatial locations on the film, and determining therefrom a reflectance spectrum for one or more of the spatial locations in the pattern, the spectrometer configured to receive light from an angle near normal to the film and to provide resolution of 1 mm or better along both first and second spatial dimensions; a translation mechanism for relatively translating the film with respect to the spectrometer; and a processor for (a) obtaining from the spectrometer reflectance spectra for a plurality of one dimensional patterns of spatial locations along the film; (b) aggregating these reflectance spectra to obtain reflectance spectra for a two dimensional area on the film, the reflectance spectra for the area having spatial resolution of 1 mm or better; and (c) determining therefrom one or more properties ofthe film.
36. A system for measuring one or more properties of a film, comprising: a light source for directing light to the film; a first polarizer disposed between said light source and the film; a second polarizer disposed to transmit light reflected from the film; a one-dimensional imaging spectrometer for receiving light reflected from a one dimensional pattern of spatial locations on the film and transmitted through said second polarizer, and determining therefrom a reflectance spectrum for one or more of the spatial locations in the pattern, the spectrometer configured to receive light from a non-normal angle to the film and to provide resolution of 1 mm or better along both first and second spatial dimensions; a translation mechanism for relatively translating the film with respect to the spectrometer; and a processor for (a) obtaining from the spectrometer reflectance spectra for a plurality of one dimensional patterns of spatial locations along the film; (b) aggregating these reflectance spectra to obtain reflectance spectra for a two dimensional area on the film, the reflectance spectra for the area having spatial resolution of 1 mm or better; and (c) determining therefrom one or more properties of the film.
37. A method for measuring erosion in an anay of conductive lines in a transparent film comprising: a) illuminating the anay with polarized light; b) detecting light reflected from a plurality of locations within and around the anay by means of a line imaging spectrometer, said line imaging spectrometer configured to record polarized light; c) recording reflectance data from the plurality of locations within the anay; d) determining from the reflectance data a first location from a substantially un-eroded region near the anay; e) calculating a first thickness value of the transparent film at the first location; f) calculating from the reflectance data a set of thickness values of the transparent film; g) determining from the set of thickness values a minimum thickness value; and h) calculating an erosion value from the difference between the first thickness value and the minimum thickness value.
38. A method for conecting for second order diffraction enors, comprising: (a) providing a diffraction grating structured to diffract first and second order light; (b) providing a detector disposed to receive the first and second order light, said detector having a minimum wavelength sensitivity and a cutoff wavelength; (c) illuminating said diffraction grating with a nanow spectral source, the spectral source having a spectral source wavelength between the minimum wavelength and one-half of the cutoff wavelength; (d) recording a first order reflectance intensity at the spectral source wavelength and a second order reflectance intensity at twice the spectral source wavelength; (e) calculating a ratio of said first order reflectance intensity to said second order reflectance intensity; (f) repeating steps (c) through (e) at a plurality of spectral source wavelengths, each spectral source wavelength having a value between the minimum wavelength and one-half of the cutoff wavelength, thereby obtaining a plurality of ratios; and (g) calculating a wavelength dependent conection factor from said ratios for wavelengths ranging from twice the minimum wavelength to the cutoff wavelength.
39. A method for compensating for non-constant wafer velocity while acquiring an image of a patterned wafer, comprising: (a) providing an image of the patterned wafer, said wafer having straight vertical streets and straight horizontal streets; (b) identifying a first edge of said wafer image; (c) identifying a first tangent at said first edge; (d) identifying a second edge of said wafer image, said second edge lying along a column of reflectance points from said first edge; (e) identifying a second tangent at said second edge; (f) calculating a conection factor for all locations in said column; and (g) repeating steps (b) through (f) for all columns in said wafer image.
40. A method for finding a center point of a patterned semiconductor wafer, comprising: providing an image of the patterned wafer, the image comprising a plurality of pixels of reflectance measurements, and having top, bottom, first side, and second side edge image locations; estimating a center point ofthe patterned wafer image from the edge image locations; calculating a succession of horizontal chord lengths, each length extending across the wafer image, the series beginning at a distance several pixels above the estimated center point and ending at a distance several pixels below the estimated center point; identifying a maximum horizontal chord length from the succession of horizontal chord lengths; calculating a succession of vertical chord lengths, each length extending across the wafer image, the series beginning at a distance several pixels from a first side ofthe estimated center point and ending at a distance several pixels from a second side ofthe estimated center point opposite the first side; identifying a maximum vertical chord length from the succession of vertical chord lengths; and locating the center point at an intersection of the maximum horizontal chord length and the maximum vertical chord length. 41. A method for finding a notch in an image of a wafer, comprising: (a) providing an image of the patterned wafer, the image having a center point, the image further comprising a plurality of columns, each column having a first end and a second end and comprising a plurality of reflectance measurements; and, for each column: (b) comparing the plurality of reflectance measurements in a column to a reflectance measurement at the first end of the column to determine a first edge; (c) comparing the plurality of reflectance measurements in the column to a reflectance measurement at the second end of the column to determine a second edge; (d) calculating a first radial distance from the center point to the first edge; (e) storing the first radial distance;
(f) calculating a second radial distance from the center point to the second edge;
(g) storing the second radial distance; and (h) repeating steps (b) through (g) for each column of reflectance measurements.
41. A method for aligning an image of a patterned wafer, comprising: a) providing an image of the patterned wafer, said image comprising a plurality of rows of reflectance measurements, each row having a first end and a second end; b) identifying an initial orientation angle; c) determining a single "Goodness-of-Alignment" value for a given orientation of said image by: i) summing all of the reflectance measurements along each row to form a sequence of row sums; ii) forming a difference row by calculating a difference between adjacent elements ofthe sequence of row sums; iii) determining said Goodness-of-Alignment value for the given orientation of said image by summing each value in the difference row that exceeds a threshold value; iv) iv) determining a rotation angle; d) rotating said image by an incremental angle; e) repeating steps (c) and (d) until said rotation angle exceeds a maximum rotation angle; f) identifying a maximum "Goodness-of-Alignment" value and an optimal rotation angle corresponding to the maximum "Goodness of Alignment" value; and g) rotating said wafer image from the initial orientation angle to the optimal rotation angle.
42. An apparatus for reducing measurement size comprising:
(a) a linear anay of pixels disposed to receive light from approximately normal incidence, each pixel in the anay having a central region, a left region, a right region, a top region, and a 5/083352 bottom region; (b) a mask that blocks light from reaching portions of said pixels in said right region and in said left region; and (c) a slit having a first edge and a second edge, said slit disposed so that said first edge and said second edge are parallel and aligned with said linear anay of pixels, where said first edge blocks light from reaching the top region of each pixel and where said second edge blocks light from reaching the bottom region of each pixel.
43. A sensor anay for increased horizontal measurement density, comprising an anay of pixels ananged in a plurality of groups of N rows, wherein each pixel in each row has a pixel width and a mask having a mask width, said mask width being less than said pixel width and said mask obscuring a portion of each pixel in the anay, and wherein each row of each group is offset by said pixel width divided by N.
44. An apparatus for restricting wafer motion to one dimension, compnsing: (a) a wand for securing the wafer; ( ) an arm mechanically attached to said wand for moving the wafer, said arm having a cross sectional profile and a friction reducing means; (c) a translation mechanism mechanically linked to said arm; and (d) a fixture having a groove, the groove extending in the dimension of desired motion and dimensionally configured to mate with the cross sectional profile of the arm, the fixture further comprising a friction reducing means.
45. A line imaging spectrometer for imaging a wafer in a chamber comprising: (a) a viewport embedded in the chamber that provides optical access to the wafer, said viewport having optical properties; (b) an optical axis disposed at an angle away from perpendicular to the wafer and passing through said viewport; (c) a first lens assembly disposed along said optical axis to receive light from said viewport, said first lens assembly having optical parameters that depend on said viewport; (d) a slit having a slit width and disposed along said optical axis to receive light from said first lens assembly, said slit width restricting light passing through it to a nanow portion ofthe wafer; (e) a second lens assembly disposed along said optical axis to receive light from said slit, said second lens assembly having optical parameters that depend on said viewport; (f) a diffraction grating disposed along said optical axis, said grating disposed to receive light from said second lens and to diffract the light in a direction perpendicular to said slit and said optical axis; and (g) a two-dimensional imager disposed along said optical axis to receive light from said diffraction grating.
46. An apparatus for producing a line image of a portion of a patterned substrate comprising: a first Offher group having a first focal point and a second focal point, where said first focal point coincides with said portion of said patterned substrate; a folding minor disposed between said second focal point and said first Offher group; a second Offher group having a third focal point and a fourth focal point, wherein said third focal point coincides with said second focal point; a slit having two straight edges ananged with a distance between, wherein said slit is disposed in a plane perpendicular to the direction of propagation of light at said second and said third focal points; and a one-dimensional imaging system having an entrance aperture disposed at said fourth focal point.
PCT/US2004/032692 2004-02-11 2004-09-30 Method and apparatus for high-speed thickness mapping of patterned thin films WO2005083352A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54350604P 2004-02-11 2004-02-11
US60/543,506 2004-02-11

Publications (1)

Publication Number Publication Date
WO2005083352A1 true WO2005083352A1 (en) 2005-09-09

Family

ID=34910707

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/032692 WO2005083352A1 (en) 2004-02-11 2004-09-30 Method and apparatus for high-speed thickness mapping of patterned thin films

Country Status (1)

Country Link
WO (1) WO2005083352A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008015311A1 (en) * 2006-08-03 2008-02-07 Chun Ye Method and equipment for measurement of intact pulp fibers
EP1947445A1 (en) * 2007-01-19 2008-07-23 Horiba Jobin Yvon S.A.S. System and process for analysing a sample
WO2014118469A1 (en) * 2013-01-31 2014-08-07 Vit System for determining a three-dimensional image of an electric circuit
WO2017055895A1 (en) * 2015-09-30 2017-04-06 Arcelormittal Method of online characterization of a layer of oxides on a steel substrate
RU2745856C1 (en) * 2018-01-18 2021-04-02 ДжФЕ СТИЛ КОРПОРЕЙШН Device for spectral analysis, method for spectral analysis, method for producing steel strip and method for providing the quality of steel strip
CN114526680A (en) * 2022-01-27 2022-05-24 太原理工大学 Thin ice thickness measuring device and method based on reflected light spot image recognition
US11441893B2 (en) 2018-04-27 2022-09-13 Kla Corporation Multi-spot analysis system with multiple optical probes
US11555996B2 (en) * 2020-05-05 2023-01-17 National Chung Cheng University Method and system for analyzing 2D material thin film

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5436725A (en) * 1993-10-12 1995-07-25 Hughes Aircraft Company Cofocal optical system for thickness measurements of patterned wafers
US5561554A (en) * 1990-07-28 1996-10-01 Medical Research Council Confocal imaging system for microscopy
US5856871A (en) * 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US6088134A (en) * 1996-06-17 2000-07-11 Hewlett-Packard Company Swath scanning system using an optical imager
US6100974A (en) * 1998-09-15 2000-08-08 California Institute Of Technology Imaging spectrometer/camera having convex grating
US6266140B1 (en) * 1998-04-29 2001-07-24 American Holographic, Inc. Corrected concentric spectrometer
US6813018B2 (en) * 2002-11-07 2004-11-02 The Boeing Company Hyperspectral imager

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5561554A (en) * 1990-07-28 1996-10-01 Medical Research Council Confocal imaging system for microscopy
US5856871A (en) * 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5436725A (en) * 1993-10-12 1995-07-25 Hughes Aircraft Company Cofocal optical system for thickness measurements of patterned wafers
US6088134A (en) * 1996-06-17 2000-07-11 Hewlett-Packard Company Swath scanning system using an optical imager
US6266140B1 (en) * 1998-04-29 2001-07-24 American Holographic, Inc. Corrected concentric spectrometer
US6100974A (en) * 1998-09-15 2000-08-08 California Institute Of Technology Imaging spectrometer/camera having convex grating
US6813018B2 (en) * 2002-11-07 2004-11-02 The Boeing Company Hyperspectral imager

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"ImSpector imaging spectrograph brochure.", SPECTRAL IMAGING LTD., XP008072108 *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008015311A1 (en) * 2006-08-03 2008-02-07 Chun Ye Method and equipment for measurement of intact pulp fibers
EP1947445A1 (en) * 2007-01-19 2008-07-23 Horiba Jobin Yvon S.A.S. System and process for analysing a sample
WO2008087217A1 (en) * 2007-01-19 2008-07-24 Horiba Jobin Yvon Sas System and process for analysing a sample
US8310675B2 (en) 2007-01-19 2012-11-13 Horiba Jobin Yvon Sas System and process for analyzing a sample
WO2014118469A1 (en) * 2013-01-31 2014-08-07 Vit System for determining a three-dimensional image of an electric circuit
US20150365651A1 (en) * 2013-01-31 2015-12-17 Vit System for determining a three-dimensional image of an electronic circuit
CN105283732A (en) * 2013-01-31 2016-01-27 维特公司 System for determining a three-dimensional image of an electric circuit
WO2017056061A1 (en) * 2015-09-30 2017-04-06 Arcelormittal Method for the fabrication of a steel product comprising a step of characterization of a layer of oxides on a running steel substrate
WO2017055895A1 (en) * 2015-09-30 2017-04-06 Arcelormittal Method of online characterization of a layer of oxides on a steel substrate
KR20180048794A (en) * 2015-09-30 2018-05-10 아르셀러미탈 A method of manufacturing a steel product comprising the step of characterizing a layer of oxide on a running steel substrate
AU2016333018B2 (en) * 2015-09-30 2019-08-22 Arcelormittal Method for the fabrication of a steel product comprising a step of characterization of a layer of oxides on a running steel substrate
KR102116622B1 (en) * 2015-09-30 2020-05-29 아르셀러미탈 Method for manufacturing a steel product comprising the step of characterizing a layer of oxide on a running steel substrate
US10859370B2 (en) 2015-09-30 2020-12-08 Arcelormittal Method for the fabrication of a steel product comprising a step of characterization of a layer of oxides on a running steel substrate
RU2745856C1 (en) * 2018-01-18 2021-04-02 ДжФЕ СТИЛ КОРПОРЕЙШН Device for spectral analysis, method for spectral analysis, method for producing steel strip and method for providing the quality of steel strip
US11255778B2 (en) 2018-01-18 2022-02-22 Jfe Steel Corporation Spectroscopic analysis apparatus, spectroscopic analysis method, steel strip production method, and steel strip quality assurance method
US11441893B2 (en) 2018-04-27 2022-09-13 Kla Corporation Multi-spot analysis system with multiple optical probes
US11555996B2 (en) * 2020-05-05 2023-01-17 National Chung Cheng University Method and system for analyzing 2D material thin film
CN114526680A (en) * 2022-01-27 2022-05-24 太原理工大学 Thin ice thickness measuring device and method based on reflected light spot image recognition
CN114526680B (en) * 2022-01-27 2023-07-14 太原理工大学 Thin ice thickness measuring device and measuring method based on reflection light spot image recognition

Similar Documents

Publication Publication Date Title
US7151609B2 (en) Determining wafer orientation in spectral imaging
US20050174583A1 (en) Method and apparatus for high-speed thickness mapping of patterned thin films
US20050174584A1 (en) Method and apparatus for high-speed thickness mapping of patterned thin films
US7483133B2 (en) Multiple angle of incidence spectroscopic scatterometer system
JP6553145B2 (en) How to determine overlay error
US7433034B1 (en) Darkfield defect inspection with spectral contents
US7095511B2 (en) Method and apparatus for high-speed thickness mapping of patterned thin films
US7362425B2 (en) Wide spatial frequency topography and roughness measurement
US6940592B2 (en) Calibration as well as measurement on the same workpiece during fabrication
TWI409451B (en) Interferometry system, interferometry apparatus, and interferometry systemmethod for determining characteristics of an object surface
US7271921B2 (en) Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
US6842259B2 (en) Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US20050057760A1 (en) Critical dimension analysis with simultaneous multiple angle of incidence measurements
US20070146685A1 (en) Dynamic wafer stress management system
WO2005026658A1 (en) Interference scatterometer
JP2006509219A (en) Apparatus and method for detecting overlay error using scatterometry
TWI811832B (en) Optical metrology devices capable of determining a characteristic of a sample and methods of characterizing a sample using an optical metrology device
WO2006014263A2 (en) Method and apparatus for high-speed thickness mapping of patterned thin films
WO2005083352A1 (en) Method and apparatus for high-speed thickness mapping of patterned thin films
WO2006078471A2 (en) Determining wafer orientation in spectral imaging
US11668645B2 (en) Spectroscopic ellipsometry system for thin film imaging
KR20210011278A (en) Inspection method based on IE(Imaging Ellipsometry), and method for fabricating semiconductor device using the inspection method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase