WO2005065433A2 - Procedes de fabrication electrochimiques utilisant des matieres et/ou des substrats dielectriques - Google Patents

Procedes de fabrication electrochimiques utilisant des matieres et/ou des substrats dielectriques Download PDF

Info

Publication number
WO2005065433A2
WO2005065433A2 PCT/US2005/000061 US2005000061W WO2005065433A2 WO 2005065433 A2 WO2005065433 A2 WO 2005065433A2 US 2005000061 W US2005000061 W US 2005000061W WO 2005065433 A2 WO2005065433 A2 WO 2005065433A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
seed layer
structural
sacrificial
Prior art date
Application number
PCT/US2005/000061
Other languages
English (en)
Other versions
WO2005065433A3 (fr
Inventor
Adam L. Cohen
Michael S. Lockard
Kieun Kim
Qui T. Le
Gang Zhang
Uri Frodis
Dale S. Mcpherson
Dennis R. Smalley
Original Assignee
Microfabrica Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/841,300 external-priority patent/US20050032375A1/en
Application filed by Microfabrica Inc. filed Critical Microfabrica Inc.
Publication of WO2005065433A2 publication Critical patent/WO2005065433A2/fr
Publication of WO2005065433A3 publication Critical patent/WO2005065433A3/fr

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00492Processes for surface micromachining not provided for in groups B81C1/0046 - B81C1/00484
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1605Process or apparatus coating on selected surface areas by masking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/161Process or apparatus coating on selected surface areas by direct patterning from plating step, e.g. inkjet
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/0033D structures, e.g. superposed patterned layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • C23C18/405Formaldehyde
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • the present invention relates generally to the field of Electrochemical Fabrication and the associated formation of three-dimensional structures (e.g. microscale or mesoscale structures). More particularly, it relates to the electrochemical fabrication methods that form structures on dielectric substrates and/or forms structures from layers that incorporate dielectrics.
  • the conformable portion of the mask When desiring to perform an electrodeposition using the mask, the conformable portion of the mask is brought into contact with a substrate while in the presence of a plating solution such that the contact of the conformable portion of the mask to the substrate inhibits deposition at selected locations.
  • these masks might be generically called conformable contact masks; the masking technique may be generically called a conformable contact mask plating process. More specifically, in the terminology of Microfabrica Inc. (formerly MEMGen® Corporation) of Burbank, California such masks have come to be known as INSTANT MASKSTM and the process known as INSTANT MASKINGTM or INSTANT MASKTM plating.
  • the electrochemical deposition process may be carried out in a number of different ways as set forth in the above patent and publications.
  • this process involves the execution of three separate operations during the formation of each layer of the structure that is to be formed: 1. Selectively depositing at least one material by electrodeposition upon one or more desired regions of a substrate. 2. Then, blanket depositing at least one additional material by electrodeposition so that the additional deposit covers both the regions that were previously selectively deposited onto, and the regions of the substrate that did not receive any previously applied selective depositions. 3. Finally, planarizing the materials deposited during the first and second operations to produce a smoothed surface of a first layer of desired thickness having at least one region containing the at least one material and at least one region containing at least the one additional material.
  • one or more additional layers may be formed adjacent to the immediately preceding layer and adhered to the smoothed surface of that preceding layer. These additional layers are formed by repeating the first through third operations one or more times wherein the formation of each subsequent layer treats the previously formed layers and the initial substrate as a new and thickening substrate. [12] Once the formation of all layers has been completed, at least a portion of at least one of the materials deposited is generally removed by an etching process to expose or release the three-dimensional structure that was intended to be formed. [13] The preferred method of performing the selective electrodeposition involved in the first operation is by conformable contact mask plating. In this type of plating, one or more conformable contact (CC) masks are first formed.
  • CC conformable contact
  • the CC masks include a support structure onto which a patterned conformable dielectric material is adhered or formed.
  • the conformable material for each mask is shaped in accordance with a particular cross-section of material to be plated. At least one CC mask is needed for each unique cross-sectional pattern that is to be plated.
  • the support for a CC mask is typically a plate-like structure formed of a metal that is to be selectively electroplated and from which material to be plated will be dissolved. In this typical approach, the support will act as an anode in an electroplating process.
  • the support may instead be a porous or otherwise perforated material through which deposition material will pass during an electroplating operation on its way from a distal anode to a deposition surface.
  • CC masks it is possible for CC masks to share a common support, i.e. the patterns of conformable dielectric material for plating multiple layers of material may be located in different areas of a single support structure.
  • the entire structure is referred to as the CC mask while the individual plating masks may be referred to as "submasks". In the present application such a distinction will be made only when relevant to a specific point being made.
  • the conformable portion of the CC mask is placed in registration with and pressed against a selected portion of the substrate (or onto a previously formed layer or onto a previously deposited portion of a layer) on which deposition is to occur.
  • the pressing together of the CC mask and substrate occur in such a way that all openings, in the conformable portions of the CC mask contain plating solution.
  • the conformable material of the CC mask that contacts the substrate acts as a barrier to electrodeposition while the openings in the CC mask that are filled with electroplating solution act as pathways for transferring material from an anode (e.g.
  • FIGS. 1 A - 1 C An example of a CC mask and CC mask plating are shown in FIGS. 1 A - 1 C.
  • FIG. 1 A shows a side view of a CC mask 8 consisting of a conformable or deformable (e.g. elastomeric) insulator 10 patterned on an anode 12. The anode has two functions.
  • FIG. 1 A also depicts a substrate 6 separated from mask 8.
  • CC mask plating selectively deposits material 22 onto a substrate 6 by simply pressing the insulator against the substrate then electrodepositing material through apertures 26a and 26b in the insulator as shown in FIG. 1B. After deposition, the CC mask is separated, preferably non-destructively, from the substrate 6 as shown in FIG. 1C.
  • the CC mask plating process is distinct from a "through-mask" plating process in that in a through-mask plating process the separation of the masking material from the substrate would occur destructively.
  • CC mask plating deposits material selectively and simultaneously over the entire layer.
  • the plated region may consist of one or more isolated plating regions where these isolated plating regions may belong to a single structure that is being formed or may belong to multiple structures that are being formed simultaneously.
  • CC mask plating as individual masks are not intentionally destroyed in the removal process, they may be usable in multiple plating operations.
  • FIG. 1 D shows an anode 12' separated from a mask 8' that includes a patterned conformable material 10' and a support structure 20.
  • FIG. 1 D also depicts substrate 6 separated from the mask 8'.
  • FIG. 1 E illustrates the mask 8' being brought into contact with the substrate 6.
  • FIG. 1 F illustrates the deposit 22' that results from conducting a current from the anode 12' to the substrate 6.
  • FIG. 1 G illustrates the deposit 22' on substrate 6 after separation from mask 8'.
  • an appropriate electrolyte is located between the substrate 6 and the anode 12' and a current of ions coming from one or both of the solution and the anode are conducted through the opening in the mask to the substrate where material is deposited.
  • CC mask plating allows CC masks to be formed completely separate from the fabrication of the substrate on which plating is to occur (e.g. separate from a three-dimensional (3D) structure that is being formed).
  • CC masks may be formed in a variety of ways, for example, a photolithographic process may be used. All masks can be generated simultaneously, prior to structure fabrication rather than during it.
  • FIGS. 2A - 2F An example of the electrochemical fabrication process discussed above is illustrated in FIGS. 2A - 2F. These figures show that the process involves deposition of a first material 2 which is a sacrificial material and a second material 4 which is a structural material.
  • the CC mask 8 in this example, includes a patterned conformable material (e.g. an elastomeric dielectric material) 10 and a support 12 which is made from deposition material 2.
  • FIG. 2A illustrates that the passing of current causes material 2 within the plating solution and material 2 from the anode 12 to be selectively transferred to and plated on the cathode 6.
  • FIG. 2C depicts the second deposition material 4 as having been blanket-deposited (i.e.
  • the blanket deposition occurs by electroplating from an anode (not shown), composed of the second material, through an appropriate plating solution (not shown), and to the cathode/substrate 6.
  • the entire two-material layer is then planarized to achieve precise thickness and flatness as shown in FIG. 2D.
  • the multi-layer structure 20 formed of the second material 4 i.e. structural material
  • first material 2 i.e. sacrificial material
  • FIGS. 3A - 3C Various components of an exemplary manual electrochemical fabrication system 32 are shown in FIGS. 3A - 3C.
  • the system 32 consists of several subsystems 34, 36, 38, and 40.
  • the substrate holding subsystem 34 is depicted in the upper portions of each of FIGS. 3A - 3C and includes several components: (1 ) a carrier 48, (2) a metal substrate 6 onto which the layers are deposited, and (3) a linear slide 42 capable of moving the substrate 6 up and down relative to the carrier 48 in response to drive force from actuator 44.
  • Subsystem 34 also includes an indicator 46 for measuring differences in vertical position of the substrate which may be used in setting or determining layer thicknesses and/or deposition thicknesses.
  • the subsystem 34 further includes feet 68 for carrier 48 which can be precisely mounted on subsystem 36.
  • the CC mask subsystem 36 shown in the lower portion of FIG. 3A includes several components: (1 ) a CC mask 8 that is actually made up of a number of CC masks (i.e. submasks) that share a common support/anode 12, (2) precision X-stage 54, (3) precision Y-stage 56, (4) frame 72 on which the feet 68 of subsystem 34 can mount, and (5) a tank 58 for containing the electrolyte 16. Subsystems 34 and 36 also include appropriate electrical connections (not shown) for connecting to an appropriate power source for driving the CC masking process. [22] The blanket deposition subsystem 38 is shown in the lower portion of FIG.
  • the planarization subsystem 40 is shown in the lower portion of FIG. 3C and includes a lapping plate 52 and associated motion and control systems (not shown) for planarizing the depositions.
  • the '630 patent further indicates that the electroplating methods and articles disclosed therein allow fabrication of devices from thin layers of materials such as, e.g., metals, polymers, ceramics, and semiconductor materials.
  • electroplating embodiments described therein have been described with respect to the use of two metals, a variety of materials, e.g., polymers, ceramics and semiconductor materials, and any number of metals can be deposited either by the electroplating methods therein, or in separate processes that occur throughout the electroplating method. It indicates that a thin plating base can be deposited, e.g., by sputtering, over a deposit that is insufficiently conductive (e.g., an insulating layer) so as to enable subsequent electroplating. It also indicates that multiple support materials (i.e. sacrificial materials) can be included in the electroplated element allowing selective removal of the support materials.
  • Formation of a second layer may then begin by applying a photoresist layer over the first layer and then repeating the process used to produce the first layer. The process is then repeated until the entire structure is formed and the secondary metal is removed by etching.
  • the photoresist is formed over the plating base or previous layer by casting and the voids in the photoresist are formed by exposure of the photoresist through a patterned mask via X-rays or UV radiation.
  • the plating base is indicated as typically involving the use of a sputtered film of an adhesive metal, such as chromium or titanium, and then a sputtered film of the metal that is to be plated. It is also taught that the plating base may be applied over an initial sacrificial layer of material on the substrate so that the structure and substrate may be detached if desired . In such cases after formation of the structure the plating base may be patterned and removed from around the structure and then the sacrificial layer under the plating base may be dissolved to free the structure.
  • Substrate materials mentioned in the '637 patent include silicon, glass, metals, and silicon with protected processed semiconductor devices.
  • a specific example of a plating base includes about 150 angstroms of titanium and about 300 angstroms of nickel, both of which are sputtered at a temperature of 160°C.
  • the plating base may consist of 150 angstroms of titanium and 150 angstroms of nickel where both are applied by sputtering.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a dielectric substrate or to a substrate containing at least one region of dielectric material; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of the first layer of material comprises: (i) depositing an adhesion layer material and/or a seed layer material onto at least a portion of a surface of the substrate; (ii) depositing at least one of a structural material and/or sacrificial material onto at least a portion of an adhesion layer and/or seed layer material; wherein prior to completion of formation of a last layer of the structure, removing portions of any adhesion layer material and/or seed layer material from the substrate that is not covered by structural material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises: (i) depositing an adhesion layer material and/or a seed layer material onto a surface of the (n-1 )th layer; (ii) depositing at least one of a first material and/or a second material onto at least a portion of the adhesion layer material and/or seed layer material; wherein at least one of the first or second materials comprises a structural material, and wherein prior to completion of formation of a last layer of the structure, removing portions of any adhesion layer material and/or seed layer material located on the surface of the (n- 1)th layer that is not covered by structural material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a dielectric substrate or to a substrate containing at least one region of dielectric material; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of the first layer of material comprises: (i) depositing an adhesion layer material and/or a seed layer material onto at least a portion of a surface of the substrate; (ii) depositing at least one of a structural material and/or sacrificial material onto at least a portion of an adhesion layer and/or seed layer material; (III) wherein prior to completion of formation of the first layer of the structure, removing portions of any adhesion layer material and/or seed layer material from the substrate that is not covered by structural material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises: (i) depositing an adhesion layer material and/or a seed layer material onto a surface of the (n-1)th layer; (ii) depositing at least one of a first material and/or a second material onto at least a portion of the adhesion layer material and/or seed layer material; wherein at least one of the first or second materials comprises a structural material, and wherein prior to completion of formation of the nth layer of the structure, removing portions of any adhesion layer material and/or seed layer material located on the surface of the (n- 1 )th layer that is not covered by structural material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a dielectric substrate or to a substrate containing at least one region of dielectric material; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of the first layer of material comprises: (i) depositing an adhesion layer material and/or a seed layer material to form a non-planar coating of which a portion defines a region of the substrate that is to receive an electrodeposition of a selected one of a structural material or of a sacrificial material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises: (i) depositing an adhesion layer material and/or a seed layer material to form a non-planar coating of which a portion defines a region of an (n-1)th layer that is to receive a deposition of a selected one of a first or second material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a dielectric substrate or to a substrate containing at least one region of dielectric material; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of the first layer of material comprises: (i) depositing a first adhesion layer material and/or a first seed layer material to only a portion of a surface of the substrate that is to receive either structural material or sacrificial material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises: (i) depositing a first adhesion layer material and/or a first seed layer material to only a portion of a surface of the (n-1)th layer, wherein the portion is that portion which is to receive either the first or second material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises: (i) locating a first adhesion layer material and/or a first seed layer material to only a portion of a surface of the (n-1)th layer that is to receive either the first or second material.
  • a process for forming a multilayer three-dimensional structure on a dielectric substrate comprising: (a) forming and adhering a first layer of material to a dielectric substrate or to a substrate containing at least one region of dielectric material; (b) forming and adhering at least one layer to a previously formed layer to build up a three- dimensional structure from a plurality of adhered layers; wherein the formation of the first layer of material comprises: (i) depositing a first seed layer material onto those portions of a substrate that are to receive a selected one of structural material or a sacrificial material; (ii) depositing the selected one of structural material or a sacrificial material onto the first seed layer material over those portions of the substrate that are to receive the selected one of structural material or a sacrificial material; (iii) removing portions of the first seed layer material that are not located between the selected one and the substrate or located adjacent to the selected one; (iv) depositing
  • a process for forming and adhering a layer of material to a dielectric substrate or to a substrate having at least one region of dielectric material comprising: (a) depositing a first seed layer material onto those portions of a substrate that are to receive a selected one of structural material or a sacrificial material; (b) depositing the selected one of structural material or a sacrificial material onto the first seed layer material over those portions of the substrate that are to receive the selected one of structural material or a sacrificial material; (c) removing portions of the first seed layer material that are not located between the selected one and the substrate or located adjacent to the selected one;
  • a fabrication process for forming a multi-layer three-dimensional structure that comprises at least one conductive structural material and at least one dielectric material, comprising: (a) forming and adhering a layer of material to a previously formed layer and/or to a substrate, wherein the layer comprises a desired pattern of at least one material; and (b) repeating the forming and adhering operation of (a) a plurality of times to build up the three-dimensional structure from a plurality of adhered layers, wherein formation of at least one layer comprises: (i) preparing a surface of the substrate or a previously deposited material to for accepting an electrodeposited conductive material; (ii) depositing a first conductive material; (ii) depositing a curable dielectric material on to the surface of the substrate or previously deposited material; (iii) curing the dielectric material; and (iv) planarizing at least one of the deposited materials.
  • a fabrication process for forming a multi-layer three-dimensional structure that comprises at least one conductive structural material and at least one dielectric material, comprising: (a) forming and adhering a layer of material to a previously formed layer and/or to a substrate, wherein the layer comprises a desired pattern of at least one material; and (b) repeating the forming and adhering operation of (a) a plurality of times to build up the three-dimensional structure from a plurality of adhered layers, wherein formation of at least one layer comprises: (i) preparing a surface of the substrate or a previously deposited material to for accepting an deposited conductive material; (ii) depositing a first conductive material; (ii) depositing a dielectric material on to the surface of the substrate or previously deposited material; and (iv) planarizing at least one of the deposited materials.
  • a process for forming a multilayer three-dimensional structure comprising: (a) forming and adhering a first layer of material to a substrate; (b) forming an adhering at least one layer to a previously formed layer to build up a three-dimensional structure from a plurality of adhered layers; wherein the formation of an nth layer comprises the following time ordered operations: (i) applying a photoresist to a previously deposited material; (ii) exposing the photoresist in a first pattern corresponding to a pattern of a first material to be deposited; (iii) developing the photoresist to yield opening in the photoresist for receiving the first material; (iv) exposing the remaining photoresist in a second pattern corresponding to a second material to be deposited; (v) depositing the first material; (vi) developing the photoresist to create openings corresponding to the second pattern; and then (vii) depositing the second material.
  • a process for forming a multilayer three-dimensional structure comprising: (a) supplying a source of a depositable first structural material; (b) supplying a source of a depositable second structural material; (c) supplying a source of a depositable third material that may function as a sacrificial material or as a structural material; (d) forming and adhering a plurality of layers to previously formed layers to build up a three-dimensional structure comprising all three materials wherein on any given layer only two of the three materials are deposited; wherein the structure is formed such that at least a portion of third depositable material is encapsulated by one or all of the first depositable structural material, the second depositable structural material and any substrate on which layer formation initiates, and wherein after formation of the layers, at least a portion of the third material is removed to at least partially release the structure.
  • FIGS. 1A - 1C schematically depict side views of various stages of a CC mask plating process
  • FIGS. 1 D - 1G schematically depict a side views of various stages of a CC mask plating process using a different type of CC mask.
  • FIGS. 2A - 2F schematically depict side views of various stages of an electrochemical fabrication process as applied to the formation of a particular structure where a sacrificial material is selectively deposited while a structural material is blanket deposited.
  • FIGS. 3A - 3C schematically depict side views of various example subassemblies that may be used in manually implementing the electrochemical fabrication method depicted in FIGS. 2A - 2F.
  • FIGS. 4A - 41 schematically depict the formation of a first layer of a structure using adhered mask plating where the blanket deposition of a second material overlays both the openings between deposition locations of a first material and the first material itself.
  • FIG. 5A provides a block diagram indicating the two main approaches for building on a dielectric or partially dielectric substrate according to various embodiments of the invention.
  • FIG. 5B provides a block diagram indicating three example implementations associated with the first of the main approaches set forth in FIG. 5A
  • FIG. 5C provides a block diagram indicating four example implementations associated with the second of the main approaches set forth in FIG. 5A.
  • FIG. 5A provides a block diagram indicating the two main approaches for building on a dielectric or partially dielectric substrate according to various embodiments of the invention.
  • FIG. 5B provides a block diagram indicating three example implementations associated with the first of the main approaches set forth in FIG. 5A
  • FIG. 5C provides a block diagram indicating four example implementations associated with the second of
  • FIG. 5D provides a block diagram indicating four more detailed implementation examples associated with the first example implementation of FIG. 5B.
  • FIG. 5E provides a block diagram indicating three more detailed implementation examples (one of which is indicated as having two alternatives) associated with the second example implementation of FIG. 5B.
  • FIG. 5F provides a block diagram indicating a more detailed implementation example (along with two alternatives therefore) associated with the fourth example implementation of FIG. 5B.
  • FIG. 5G provides a block diagram indicating a more detailed implementation example (along with two alternatives therefore) associated with the fifth example implementation of FIG. 5B.
  • FIGS. 6A - 6J provide schematic illustrations of side views at various stages of the process of a first embodiment of the invention which provides a first implementation of the example of block 122 of FIG. 5B.
  • FIGS. 7A - 7J provide schematic illustrations of side views at various stages of the process of a second embodiment of the invention which provides a first implementation of the example of block 124 of FIG. 5B.
  • FIGS. 8A - 8J provide schematic illustrations of side views at various stages of the process of a third embodiment of the invention which provides a first implementation of the example of block 126 of FIG. 5B.
  • FIGS. 9A - 9D provide schematic illustrations of side views at various stages of the process of a fourth embodiment of the invention which provides a first implementation of the example of block 144 of FIG. 5D.
  • FIGS. 10A- 10L provide schematic illustrations of side views at various stages of the process of a fifth embodiment of the invention which provides a first implementation of the example of block 146 of FIG. 5D.
  • FIGS. 11 A - 11 K provide schematic illustrations of side views at various stages of the process of a sixth embodiment of the invention which provides a first implementation of the example of block 148 of FIG. 5D.
  • FIGS. 10A- 10L provide schematic illustrations of side views at various stages of the process of a fifth embodiment of the invention which provides a first implementation of the example of block 146 of FIG. 5D.
  • FIGS. 11 A - 11 K provide schematic illustrations of side views at various stages of the process of a sixth embodiment of the invention which provides a first implementation of the example of block 148 of FIG. 5D.
  • FIGS. 12A - 121 provide schematic illustrations of side views at various stages of the process of a seventh embodiment of the invention which provides a second implementation of the example of block 148 of FIG. 5D.
  • FIGS. 13A - 13M provide schematic illustrations of side views at various stages of the process of an eighth embodiment of the invention which provides a first implementation of the example of block 150 of FIG. 5D.
  • FIGS. 14A - 14N provide schematic illustrations of side views at various stages of the process of a ninth embodiment of the invention which provides a first implementation of the example of block 154 of FIG. 5E.
  • FIGS. 15A - 15N provide schematic illustrations of side views at various stages of the process of a tenth embodiment of the invention which provides a first implementation of the example of block 156 of FIG. 5E.
  • FIGS. 16A - 16M provide schematic illustrations of side views at various stages of the process of an eleventh embodiment of the invention which provides a first implementation of the example of block 164 of FIG. 5F.
  • FIGS. 17A - 17N provide schematic illustrations of side views at various stages of the process of a twelfth embodiment of the invention which provides a first implementation of the example of block 166 of FIG. 5F.
  • FIGS. 18A - 18L provide schematic illustrations of side views at various stages of the process of a thirteenth embodiment of the invention which provides a first implementation of the example of block 176 of FIG. 5G.
  • FIGS. 19A - 19D provide schematic illustrations of side views at various stages of the process of a fourteenth embodiment of the invention which provides a first implementation of the example of block 178 of FIG. 5G.
  • FIGS. 20A - 20L provide schematic illustrations of side views at various stages of the process of a fifteenth embodiment of the invention which provides a first implementation of the example of block 184 of FIG. 5H.
  • FIG. 21 provides a block diagram that sets forth primary operations associated with a process for forming a multi-layer structure according to another embodiment of the invention.
  • FIGS. 22A - 22H provide schematic illustrations of side views at various stages of the process of an implementation of an embodiment of the invention which provides for incorporating a dielectric material along with conductive materials on arbitrary layers of a structure being formed.
  • FIG. 23 provides a block diagram that sets forth primary operations associated with a process for forming a multi-layer structure according to an embodiment of the invention where seed layer materials are tailored for receiving specific building materials and where after deposition of the associated building material, exposed portions of the corresponding seed layer material is removed.
  • FIGS. 22A - 22H provide schematic illustrations of side views at various stages of the process of an implementation of an embodiment of the invention which provides for incorporating a dielectric material along with conductive materials on arbitrary layers of a structure being formed.
  • FIG. 23 provides a block diagram that sets forth primary operations associated with a process for forming a multi-layer structure according to an embodiment of the invention where seed layer materials are tailored for receiving specific building materials and where after deposition of the associated building material, exposed portions of the corresponding seed layer material is removed.
  • FIGS. 25A - 25H and FIGS. 26A - 26H depict process flow associated with two alternative embodiments of the invention for working with three mateials on a single layer
  • FIGS. 1 A - 1 G, 2A - 2F, and 3A - 3C illustrate various features of one form of electrochemical fabrication that are known.
  • Other electrochemical fabrication techniques are set forth in the '630 patent referenced above, in the various previously incorporated publications, in various other patents and patent applications incorporated herein by reference, still others may be derived from combinations of various approaches described in these publications, patents, and applications, or are otherwise known or ascertainable by those of skill in the art from the teachings set forth herein. All of these techniques may be combined with those of the various embodiments of various aspects of the invention to yield enhanced embodiments. Still other embodiments may be derived from combinations of the various embodiments explicitly set forth herein.
  • FIGS. 4A - 41 illustrate various stages in the formation of a single layer of a multi-layer fabrication process where a second metal is deposited on a first metal as well as in openings in the first metal where its deposition forms part of the layer.
  • FIG. 4A a side view of a substrate 82 is shown, onto which patternable photoresist 84 is cast as shown in FIG. 4B.
  • FIG. 4C a pattern of resist is shown that results from the curing, exposing, and developing of the resist.
  • the patterning of the photoresist 84 results in openings or apertures 92(a) - 92(c) extending from a surface 86 of the photoresist through the thickness of the photoresist to surface 88 of the substrate 82.
  • a metal 94 e.g. nickel
  • FIG. 4E the photoresist has been removed (i.e. chemically stripped) from the substrate to expose regions of the substrate 82 which are not covered with the first metal 94.
  • FIG. 4F depicts the completed first layer of the structure which has resulted from the planarization of the first and second metals down to a height that exposes the first metal and sets a thickness for the first layer.
  • FIG. 4H the result of repeating the process steps shown in FIGS. 4B - 4G several times to form a multi-layer structure are shown where each layer consists of two materials. For most applications, one of these materials is removed as shown in FIG. 41 to yield a desired 3-D structure 98 (e.g. component or device).
  • a desired 3-D structure 98 e.g. component or device
  • the various embodiments, alternatives, and techniques disclosed herein may form multi-layer structures using a single patterning technique on all layers or using different patterning techniques on different layers.
  • different types of patterning masks and masking techniques may be used or even techniques that perform direct selective depositions without the need for masking may be used.
  • the methods disclosed herein for incorporating dielectrics may be used in combination with conformable contact masks and/or non- conformable contact masks and masking operations on all, some, or even no layers. Proximity masks and masking operations (i.e.
  • FIG. 5A provides a block diagram indicating the two main approaches for building on a dielectric or partially dielectric substrate according to various embodiments of the invention.
  • FIG. 5A provides a first block 100 that sets forth the goal of various embodiments of the present invention and that is to form a multi-layer structure on a dielectric substrate or substrate where at least part of the surface is dielectric.
  • a first such process uses a seed layer (SL) that is made up of a single material or single seed layer material (SLM).
  • SLM single seed layer material
  • a relationship between the material to be deposited and the substrate exists such that a single seed layer material may function as a surface onto which electrochemical operations may be performed (e.g. electroplating) as well as providing adequate adhesion to the substrate material.
  • SLS seed layer stack
  • One of the materials is a seed layer material itself (i.e. a layer, a coating, a deposit upon which electrochemical operations may be performed, e.g. upon which electroplating operations may be performed).
  • the other material is an adhesion layer material which is to be located between the substrate and the seed layer material.
  • the adhesion layer material is typically very thin (e.g. between about 100 - 1 ,000 angstroms in thickness) but in some cases thinner or thicker adhesion layers may be used.
  • Adhesion layer materials may consist of a variety of pure or mixed metals such as, for example, titanium, chromium, or titanium-tungsten (Ti-W). [88] In these alternatives seed layer thicknesses typically range from about 0.1 microns to 1 micron but in certain cases thinner or thicker seed layers may be useable and appropriate.
  • seed layer material may take on many different forms and may actually be different in different portions of a layer and particularly depending on what materials the seed layers are intended to bound.
  • seed layers may consist of material that is supplied, for example, by sputtering, electroless deposition, or direct metallization.
  • the seed layer material may be applicable by use of an electroplating strike (e.g. a nickel strike such as a Woods strike).
  • the seed layer material may, for example, include one of the metals that will eventually be electrodeposited such as the conductive structural material or the conductive sacrificial material.
  • the seed layer material may be different from the metals that will form the bulk of the structural material or the bulk of the sacrificial material.
  • the structural material may be nickel while the sacrificial material is copper and the seed layer material is gold.
  • the seed layer material may be a mixture of both the conductive structural and conductive sacrificial materials such as, for example, a copper nickel alloy.
  • FIG. 5B provides a block diagram indicating three example implementations associated with the first of the main approaches set forth in FIG. 5A.
  • Blocks 122, 124, and 126 of FIG. 5B provide example implementations that fall within the scope of the first main approach of block 120 of FIG. 5A.
  • Each of the example implementations 122 - 126 call for the use of an etch stop or sacrificial material etching barrier layer in addition to the use of a seed layer material.
  • the seed layer in these example implementations is a copper nickel alloy, the sacrificial material is copper and the structural material is nickel.
  • the seed layer material exists between the substrate and the structural material and as the seed layer is relatively thick and is attackable by the etchant used to remove the sacrificial material a barrier layer will be made to exist between the sacrificial material and the seed layer material in those regions of the layer not occupied by structural material.
  • the alternative of block 122 is based on the blanket deposition of a sacrificial material etching barrier followed by selective etching of the etching barrier in those portions of the layer to be occupied by structural material.
  • the alternative of block 124 achieves the same result of that of block 122 but is based on the pattern deposition of the etching barrier material.
  • the alternative of block 126 also achieves the same result but is based on the patterned deposition of a structural material followed by the deposition of the etching barrier material into the voids of the sacrificial material. More specifically the process of block 122 includes: (1 ) Supply a dielectric substrate on which a multi-layer structure is to be formed.
  • a seed layer of a thin film of a copper alloy such as a copper nickel alloy may be deposited by sputtering or by some other appropriate means.
  • the film thickness is preferably less then about 1 ,000 angstroms and more preferably between about 300 - 500 angstroms. In some embodiments the thickness may be as low as 100 angstroms or potentially even lower while other embodiments the thickness may exceed 1 ,000 angstroms.
  • the seed layer be thin enough so as to affectively limit its etching in areas where it is sandwiched between structural material and the substrate.
  • the seed layer material is also thin enough such that excessive stress in the deposit is not allowed to build up.
  • an etch stop material is plated over the seed layer material.
  • the etch stop material may have a thickness in the range of 3 to 5 microns in some embodiments and less in other embodiments or even greater in still other embodiments.
  • a potential etching barrier material is tin - as copper may be etched from tin without damaging it.
  • a sacrificial material (SacMat) is selectively plated onto a portion of the etch stop material. The selective plating of the sacrificial material may occur via an adhered mask or a contact mask or in some other manner.
  • etch stop material ESM
  • regions of the etch stop material i.e. the exposed regions of the ESM
  • the removal of the ESM may occur, for example, by chemical etching or by electrochemical etching.
  • the etching must be performed in a controlled manner so that excessive undercutting of the sacrificial material is avoided.
  • structural material is plated onto the seed layer material in the void regions of the sacrificial and barrier materials.
  • the formation of the first layer over the substrate is completed by planarizing the deposited materials to a height corresponding to the layer thickness (LT) of the structure.
  • Planarization may occur in a variety of ways, for example, by lapping, by chemical mechanical polishing, grinding, other machining operations and the like.
  • additional layers are added as appropriate.
  • those additional layers are considered to consist of a conductive structural material and a conductive sacrificial material.
  • the added layers may consist of multiple conductive structural materials, multiple conductive sacrificial materials, and/or one or more dielectric structural or sacrificial materials.
  • sacrificial material is removed, for example, by chemical or electrochemical etching such that the etch stop material is exposed.
  • the etch stop material is removed, for example, by chemical or electrochemical etching so as to expose portions of the seed layer material located between regions where structural material overlays the seed layer material.
  • the exposed portions of the seed layer material are removed, for example, via chemical or electrochemical etching.
  • the etching may occur via the same chemical etchant that may be used to remove the copper sacrificial material.
  • copper etchant C-38 from Enthone of West Haven, Connecticut with or without an added corrosion inhibitor that minimizes damage to the nickel structural material.
  • FIGS. 6A - 6J provide schematic illustrations of side views of a sample structure at various stages of fabrication according to the process of the first embodiment of the invention.
  • FIG. 6A shows the state of the process after a dielectric substrate 202 has been supplied.
  • the dielectric substrate 202 is shown as resting upon and being surrounded by a conductive material 204 to which electrical contact may be made for the purposes of performing plating operations and the like.
  • FIG. 5A shows the state of the process after a dielectric substrate 202 has been supplied.
  • the dielectric substrate 202 is shown as resting upon and being surrounded by a conductive material 204 to which electrical contact may be made for the purposes of performing plating operations and the like.
  • FIG. 6B shows the state of the process after a seed layer material 206 has been blanket deposited over the surface of the dielectric substrate 202.
  • FIG. 6C shows the state of the process after a barrier layer or etch stop layer 208 has been blanket deposited over seed layer material 206.
  • FIG. 6D shows the state of the process after a sacrificial material 212 has been selectively deposited onto the barrier layer and any mask used during the selective deposition process has been removed.
  • FIG. 6E shows the state of the process after exposed portions of the etch stop material 208 have been removed from voids 214.
  • FIG. 6F shows the state of the process after a conductive material 216 has been deposited onto exposed regions of seed layer material that existed within voids 214.
  • FIG. 6G shows the state of the process after at least one additional layer of structural and sacrificial conductive materials have been deposited.
  • FIG. 6H shows the state of the process after sacrificial material 212 has been removed leaving behind a substantially released structure consisting of structural material 208 which has isolated regions still in conductive contact with one another via seed layer 206 and etch stop material 208.
  • FIG. 61 shows the state of the process after the etch stop material 208 has been removed, for example, via chemical etching.
  • FIG. 6J shows the state of the process after the structure 222 has been fully released from the sacrificial material and from the intervening areas of the etch stop material and the seed layer material. [104] Turning back to FIG.
  • 5B block 124 indicates that a second approach to the process of block 120 involves the patterned deposition of the etching barrier material to regions that will be overlaid by sacrificial material.
  • a process for implementing this approach may include the following operations: (1 ) Supply a dielectric substrate. (2) Apply a seed layer of a copper nickel alloy. (3) Locate a patterned mask on the surface of the substrate such that openings in the mask material exist over regions to be occupied by sacrificial material. (4) Plate an etch stop material onto the seed layer material in the regions of the voids in the masking material. (5) Plate sacrificial material into the regions of the voids in the mask. The depth of deposition is preferably somewhat greater then one layer thickness (LT).
  • LT layer thickness
  • FIGS. 7A - 7J provide schematic illustrations of side views of a sample structure at various stages of fabrication according to the process of the second embodiment of the invention.
  • FIG. 7A depicts the state of the process after a substrate 232 supported by conductive carrier 234 is supplied.
  • FIG. 7B shows the state of the process after a seed layer 236 is blanket deposited onto the surface of substrate 232.
  • FIG. 7A depicts the state of the process after a substrate 232 supported by conductive carrier 234 is supplied.
  • FIG. 7B shows the state of the process after a seed layer 236 is blanket deposited onto the surface of substrate 232.
  • FIG. 7C shows the state of the process after a patterned mask 210 is applied to the surface of the seed layer material located on substrate 232 and after a barrier layer material 238 has been deposited to the seed layer material in the regions of voids 244.
  • FIG. 7D depicts the state of the process after a sacrificial material 242 has been deposited over etch stop material 238.
  • FIG. 7E shows the state of the process after the masking material 210 has been removed resulting in formation of voids 250 over portions of the seed layer material where structural material is to be plated.
  • FIG. 7F depicts the state of the process after a structural material 246 has been deposited onto seed layer 236 and the deposits planarized to a level corresponding to the layer thickness.
  • FIG. 7G - 7J are analogous to FIG. 6G - 6J and as such no further description of these figures will be provided at this time.
  • block 126 indicates that the third approach to the process of block 120 involves the patterned deposition of a structural material followed by the deposition of an etching barrier or etch stop material into the voids located adjacent to the selectively deposited structural material.
  • a process implementing the approach of block 126 may include the following operations: (1) Supply a dielectric substrate. (2) Blanket deposit a seed layer of a copper nickel alloy. (3) Apply and pattern a mask on the copper nickel alloy seed layer so its internal regions to be occupied by structural material are exposed via openings or voids in the mask material.
  • the plating depth is preferably equal to or greater than the layer thickness plus an incremental amount ⁇ .
  • the height of deposition of the etch stop material is preferably as thin as possible but sufficient to yield the desired property that its presence can act as a barrier to an etchant used to remove the sacrificial material from reaching the seed layer material.
  • the thickness of the etch stop material may be on the order of a tenth of a micron to about a micron and a half but is more preferably in the range of about 0.3 microns to 0.5 microns. (7) After the plating of the etch stop material the sacrificial conductive material is plated above the etch stop material and potentially over the structural material.
  • the height of deposition in the void regions of the structural material results in the depositions reaching a height of preferably at least one layer thickness plus an incremental amount ⁇ .
  • FIGS. 8A - 8J provide schematic illustrations of side views of a sample structure at various stages of fabrication according to the process of the third embodiment of the invention.
  • FIG. 8A depicts the state of the process after a dielectric substrate 262 and a conductive carrier 264 is supplied.
  • FIG. 8B depicts the state of the process after a seed layer material (e.g.
  • FIG. 8C shows the state of the process after a masking material 270 is applied and patterned on the surface of seed layer material 266 and structural material 276 is deposited onto the seed layer via the openings in mask material 270.
  • FIG. 8D depicts the state of the process after the masking material has been removed and an etch stop material 268 has been plated over exposed regions of the seed layer as well as over the deposited structural material.
  • FIG. 8E shows the state of the process after a sacrificial material 272 has been blanket deposited over the etch stop material. [120] FIG.
  • FIGS. 8G - 8J are analogous to FIGS. 7G - 7J and 6G - 6J. These figures depict the states of the process after formation of an additional layer (FIG. 8G), after etching of the sacrificial material (FIG. 8H), after etching of the etch stop material (FIG. 81), and finally after removal of exposed portions of the seed layer material to yield a released object 282 with separated portions of the structure being conductively isolated from one another.
  • FIG. 8G additional layer
  • FIG. 8H after etching of the sacrificial material
  • FIG. 81 after etching of the etch stop material
  • Block 142 represents a first implementation example associated with the use of a seed layer stack of materials as opposed to a single seed layer material.
  • Block 142 calls for the use of a seed layer material (SLM) and adhesion layer material (ALM) which are blanket deposited across the entire substrate.
  • SLM seed layer material
  • ALM adhesion layer material
  • both the adhesion layer and seed layer materials are initially located under both sacrificial material regions and structural material regions of the first layer.
  • a second implementation example is set forth in block 152 which calls for an adhesion layer material to cover the entire substrate while a first seed layer material only covers regions to be occupied by one of the structural material or the sacrificial material and where a separately applied second seed layer material will cover the other of the regions.
  • a third implementation example is called for by block 172. In this third example a first seed layer stack of materials is applied to a first portion of the substrate to allow deposition of a first selected material to that portion of the substrate after which a second seed layer stack of materials is applied to a second portion of the substrate to allow deposition of a second selected material to regions of the second portion.
  • a fourth implementation example is called for by block 182.
  • FIG. 5D provides a block diagram indicating four more detailed implementation examples associated with the first example implementation (block 142) of FIG. 5B.
  • the first more detailed implementation is set forth in block 144.
  • This first detailed implementation represents the fourth embodiment of the invention. In this embodiment exposed portions of the seed layer material and then the adhesion layer material are removed after formation of the last layer of the structure and after removal of the sacrificial material.
  • FIGS. 1-10 provide a block diagram indicating four more detailed implementation examples associated with the first example implementation (block 142) of FIG. 5B.
  • the first more detailed implementation is set forth in block 144.
  • This first detailed implementation represents the fourth embodiment of the invention. In this embodiment exposed portions of the seed layer material and then the adhesion layer material are removed after formation of the last layer of the structure and after removal of the sacrificial material.
  • FIG. 9A - 9D provide schematic illustrations of side views at various stages of the process of an example of this fourth embodiment of the invention.
  • FIG. 9A depicts the state of the process after substrate 302 is provided and a seed layer stack 304 is located thereon.
  • FIG. 9B depicts the state of the process after the layer build up of a structure 306 is completed where the layers include structural material 308 and sacrificial material 310.
  • FIG. 9C depicts the state of the process after the sacrificial material has been separated from the structural material 308 leaving behind the structure 306 sitting on seed layer stack 304 and on substrate 302. [133] FIG.
  • Block 146 of FIG. 5D sets forth a second more detailed implementation approach to that set forth in block 142.
  • block 146 may be considered to set forth a fifth embodiment of the invention which calls for the removal of those portions of the seed layer material not overlaid by structural material where the removal is to occur prior to formation of the last layer of the structure. After removal of the exposed seed layer material, a different seed layer material is applied over at least the exposed portions of the adhesion layer material.
  • the removal of the seed layer material may occur after deposition of a structural material on the first layer and prior to beginning formation of a second layer of the structure. More particularly in some variations of this embodiment the structural material of the first layer may be deposited prior to the deposition of sacrificial material on the first layer and thus the seed layer material may be removed prior to deposition of the sacrificial material. [136] In other variations of this embodiment the structural material on the first layer may be deposited after an initial deposition of sacrificial material on the first layer after which the initial deposition of sacrificial material may be removed along with the underlying seed layer material which may then be followed by deposition of the second seed layer material and re-deposition of sacrificial material.
  • An implementation of embodiment 5 may include the following operation: (1 ) Supply a dielectric substrate. (2) Apply an adhesion layer to the surface of the substrate and then apply a seed layer to the adhesion layer.
  • the adhesion layer material may be titanium or chromium while the seed layer material may, for example, be gold. (3) Apply a pattern of masking material to the surface of the substrate (i.e. the surface of the seed layer material). The pattern of the masking material leaves the seed layer material exposed in those regions where structural material is to be deposited. (4) Plate the structural material onto the exposed portions of the seed layer via the voids or openings in the masking material. (5) Remove the masking material to expose portions of the seed layer where a sacrificial material is to be located.
  • FIGS. 10A - 10L provide schematic illustrations of side views at various stages of the process of an example of this fifth embodiment of the invention which provides a first implementation of the example of block 146 of FIG. 5D.
  • FIG. 10A shows the state of the process after the supplying of a substrate 322.
  • FIG. 10A shows the state of the process after the supplying of a substrate 322.
  • FIG. 10B shows the state of the process after an adhesion layer 324 is formed above substrate 322 and a seed layer 326 is formed above adhesion layer 324.
  • FIG. 10C shows the state of the process after a patterned mask 328 is applied to the surface of seed layer 326 wherein openings 330 exist in the mask which leave the portions of seed layer 326 exposed where a structural material is to be deposited.
  • FIG. 10D shows the state of the process after a structural material 332 is deposited to a depth at least as great as a layer thickness and more preferably at last as great as a layer thickness plus an incremental amount ⁇ .
  • FIG. 10C shows the state of the process after a patterned mask 328 is applied to the surface of seed layer 326 wherein openings 330 exist in the mask which leave the portions of seed layer 326 exposed where a structural material is to be deposited.
  • FIG. 10D shows the state of the process after a structural material 332 is deposited to a depth at least as great as a layer thickness and
  • FIG. 10E shows the state of the process after mask 328 is removed which exposes those portions of seed layer 326 where a sacrificial material is to exist on layer 1.
  • FIG. 10F depicts the state of the process after exposed portions of seed layer material 326 are removed. As indicated in FIG. 10F slight undercutting 334 may exist in a seed layer material located between the structural material and substrate as a result of the removal operation but using controlled etching operations it is believed that this undercutting can be held to a minimum and will not have a significantly negative impact on the formation of most structures.
  • FIG. 10G depicts the state of the process after a second seed layer 338 is deposited over adhesion layer 334 as well as over the side walls and outward facing surface of structural material 332.
  • FIG. 10H depicts the state of the process after a sacrificial material 342 is blanket deposited over the second seed layer material such that voids in the structural material 332 are filled to a height equal to or greater than the layer thickness and more preferably equal to or greater than layer thickness plus an incremental amount.
  • FIG. 101 shows the state of the process after a planarization operation trims the deposit heights to match the level associated with a layer thickness LT.
  • FIG. 10J depicts the state of the process after an additional layer is added to the structure.
  • FIG. 10K depicts the state of the process after sacrificial material has been removed and FIG. 10O depicts a state of the process after the second seed layer material 338 has been removed.
  • block 148 sets forth attributes associated with a third group of implementations of approach 142.
  • the attributes include the removal of a first seed layer material and an associated adhesion layer material prior to the formation of the last layer of the structure and then the re-application of the adhesion layer material or the application of a different adhesion layer material in a blanket manner followed by the re-application of the seed layer material or the application of a different seed layer material either of which may occur in a blanket or selective manner.
  • the regions from which the first seed layer and adhesion layer materials are to be removed may be those regions to be overlaid by the conductive sacrificial material or the conductive structural material.
  • the process of block 148 gives rise to multiple embodiments of the present invention.
  • a sixth embodiment of the invention involves the deposition of a sacrificial material onto a first layer prior to the deposition of a structural material.
  • Operations associated with the sixth embodiment include the following: (1 ) Supply a dielectric substrate. (2) Apply a desired seed layer stack to the substrate.
  • the seed layer stack may include a titanium adhesion layer material and a gold seed layer material.
  • (10) Remove exposed portions of the adhesion layer material, for example, by etching such that the portion of the substrate where sacrificial material is to exist is exposed as well as edges of the adhesion layer material and seed layer material and side walls of the structural material and outward facing surfaces of the structural material.
  • Apply a second adhesion layer material to the exposed surfaces may be by sputtering or by other appropriate means.
  • the second adhesion layer material may be the same as the initially applied adhesion layer material. For example they both may be titanium, they both may be chromium, the first may be titanium and the second may be titanium tungsten or vise versa.
  • a second seed layer material which may be the same or different from the first applied seed layer material.
  • a second seed layer material which may be the same or different from the first applied seed layer material.
  • the sacrificial material may be deposited by electroplating and is preferably deposited to achieve a net thickness at least as great as the layer thickness and more preferably is at least as great as the layer thickness plus ⁇ .
  • Trim the deposited materials to a height corresponding to that of the layer thickness so as to complete formation of the first layer.
  • Add one or more additional layers as appropriate to complete formation of the structure.
  • the structural material may be nickel
  • the sacrificial material may be copper
  • the first adhesion layer material may be titanium and the first seed layer material may be gold while the second adhesion layer material is titanium tungsten and the second seed layer material may be copper (e.g. applied by sputtering).
  • the titanium and titanium tungsten adhesion layers are preferably very thin, for example, between 100 angstroms and 1000 angstroms in thickness and the seed layer materials may have somewhat greater thicknesses, for example, on the order of 0.1 microns to 1.5 microns.
  • the adhesion layer thicknesses are on the order of 300 to 500 angstroms and the seed layer thicknesses are on the order of 0.3 to 0.7 microns.
  • FIGS. 11 A - 11 K provide schematic illustrations of side views at various stages of an example of the process of the sixth embodiment of the invention.
  • FIG. 11 A - 11 K provide schematic illustrations of side views at various stages of an example of the process of the sixth embodiment of the invention.
  • FIG. 11 A depicts a state of the process after a supplied substrate 352 has received an adhesion layer 354 (e.g. titanium) and a seed layer material 356 (e.g. gold).
  • FIG. 11 B depicts a state of the process after a masking material 358 (e.g. patterned photoresist) has been patterned to have openings 360 exposing selected portions of seed layer 356 where the openings in masking material 358 correspond to locations on the seed layer where sacrificial material is to be deposited.
  • FIG. 11 C depicts a state of the process after deposition of a sacrificial material 362 on the exposed portions of seed layer material 356.
  • FIG. 11 A depicts a state of the process after a supplied substrate 352 has received an adhesion layer 354 (e.g. titanium) and a seed layer material 356 (e.g. gold).
  • FIG. 11 B depicts a state of the process after a masking material 358 (e.g. patterned photore
  • FIG. 11 D depicts a state of the process after mask material 358 has been removed revealing openings or voids 364 in the sacrificial material 362.
  • FIG. 11 E depicts a state of the process after the blanket deposition of a structural material 366.
  • FIG. 11 F depicts a state of the process after the deposits of material have been planarized to a height slightly above the level associated with layer thickness LT.
  • FIG. 11 G depicts a state of the process after sacrificial material has been removed according to operation 8 as discussed above. [163] FIG.
  • FIG. 11 H depicts a state of the process after the exposed portion of the seed layer material and exposed portions of the adhesion layer material have been removed to expose portions of substrate 352 where sacrificial material is to exist.
  • FIG. 111 shows a state of a process after an adhesion layer of a second adhesion layer material 368 has been deposited and a seed layer of second seed layer material 372 has been deposited.
  • FIG. 11 J depicts a state of the process after the blanket re-deposition of a sacrificial material 362.
  • FIG. 11 K depicts a state of the process after planarization of the deposited materials to a height equal to that of the layer thickness.
  • a seventh embodiment of the invention provides a process similar to that of the sixth embodiment of the invention with the exception that the structural material for the first layer is deposited prior to any deposition of sacrificial material.
  • the process flow for the seventh embodiment includes the following operations: (1) Supply a dielectric substrate. (2) Apply an adhesion layer of a first material to the dielectric substrate and then apply a seed layer of a first seed layer material to the adhesion layer.
  • (13) Remove the sacrificial material, for example, by etching, and potentially remove the second seed layer material and the second adhesion layer material using the same etching operation.
  • Remove the second adhesive layer material i.e.
  • FIGS. 12A - 121 provide schematic illustrations of side views at various stages of an example of the process of the seventh embodiment of the invention.
  • FIG. 12A depicts a state of the process where an initial substrate 382 is provided which includes a coating of an adhesion layer 384 and a seed layer 386.
  • FIG. 12B shows a state of the process after a patterned mask has been applied to the surface where openings in the mask leave selected areas of the seed layer 386 exposed and where the selective areas correspond to regions where structural material 390 is deposited within the openings of mask material 388.
  • FIG. 12D depicts a state of the process after the masking material 388 has been removed. The removal of the masking material reveals voids 392 where a sacrificial material is to be deposited
  • FIG. 12E depicts a state of the process after exposed portions of seed layer material 386 have been removed and voids 392 have been extended in depth.
  • FIG. 12F depicts a state of the process after exposed regions of the first adhesion layer material have been removed thereby extending the height of voids 392 all the way down to substrate 382.
  • FIG. 12G depicts a state of the process after a second adhesion layer of a second adhesion layer material 394, and deposition of a second seed layer of a second seed layer material 396 are deposited over the substrate and all pervious depositions located thereon.
  • FIG. 12H depicts the state of the process after a sacrificial material 398 has been blanket deposited.
  • FIG. 121 depicts a state of the process after the deposits have been planarized to a height of one layer thickness LT.
  • additional layers may be deposited on the first layer as desired and then after completion of a layer formation sacrificial material 398 may be removed simultaneously thereafter the second seed layer may be removed and simultaneously or thereafter the second adhesion layer material me be removed. It is worth noting that in the sixth and seventh embodiments the second seed layer material does not directly contact the first seed layer material or the first adhesion layer material and in fact is completely shielded from them by a barrier of the second adhesion layer material.
  • the first adhesion layer material and the first seed layer material will remain protected and thus these first adhesion and seed layer materials may actually be materials that would be attacked by the etchants or processes that remove the sacrificial material or the second seed layer material.
  • the blanket deposition of the second adhesion layer material may act as an etching barrier protecting what is below it.
  • the blanket deposition of the second seed layer material may act as a barrier layer protecting what is below it from any attack by etchants or processes used to remove the sacrificial material.
  • block 150 sets forth the fourth implementation example which calls for the removal of both seed layer and adhesion materials after deposition of a structural material and then the depositing of a seed layer material in the regions where a sacrificial material is to be deposited.
  • An example of this implementation is set forth in an eighth embodiment of the invention which includes the following operations: (1 ) Supply a dielectric substrate. (2) Apply an adhesion layer and a seed layer to the dielectric substrate. (3) Locate a patterned mask on the surface of the substrate wherein the patterned mask provides openings that leave those regions of the substrate that are intended to receive structural material exposed. (4) Plate the structural material. (5) Remove the mask from the substrate. (6) Remove exposed regions of the seed layer material (i.e.
  • step 12 remove the second seed layer material, for example, by etching.
  • FIG. 13A - 13M provide schematic illustrations of side views at various stages of the process of an example of an eighth embodiment of the invention which provides a second implementation of the example of block 150 of FIG. 5D.
  • FIG. 13A depicts a state of the process after a dielectric substrate 402 has been supplied while FIG. 13B depicts a state of the process after an adhesion layer 404 and a seed layer 406 have been adhered to substrate 402.
  • FIG. 13C depicts a state of the process after a patterned mask 408 has been located on the surface of seed layer 406 where openings 410 and the mask pattern leave those portions of the seed layer 406 exposed where a structural material is to be deposited.
  • FIG. 13A depicts a state of the process after a dielectric substrate 402 has been supplied while FIG. 13B depicts a state of the process after an adhesion layer 404 and a seed layer 406 have been adhered to substrate 402.
  • FIG. 13C depicts a state of the process after a patterned mask 4
  • FIG. 13D depicts a state of the process after a structural material 412 has been deposited in openings 410.
  • FIG. 13E depicts a state of the process after mask material 408 has been removed and voids 414 in the structural material 412 revealed.
  • FIG. 13F depicts a state of the process after exposed portions of seed layer 406 are removed thereby causing voids 414 to grow deeper in depth.
  • FIG. 13G depicts a state of the process after exposed portions of adhesion layer 404 are removed thereby exposing the surface of substrate 402. [190] FIG.
  • FIG. 13H represents a state of the process after a second seed layer material 416 has been deposited over the exposed regions of the substrate, the exposed sidewalls of the structural material, as well as the outward facing surface of the structural material.
  • FIG. 131 depicts a state of the process after a blanket deposition of a sacrificial material 418 has occurred.
  • FIG. 13J depicts a state of the process after a trimming operation which diminishes the height of the deposited materials to a level corresponding to the layer thickness of the first layer of the structure.
  • FIG. 13K depicts a state of the process after formation of a second layer over and adhered to the first layer.
  • FIG. 13L depicts the state of the process after removal of sacrificial material 418 leaving all portions of structural material 412 conductively connected via seed layer 416.
  • FIG. 13M depicts the state of the process after removal of seed layer 416 which yields the released structure comprised primarily of structural material 412 which is attached to substrate 402 via seed layer 406 and adhesion layer 404 wherein separate portions of structure 412 are conductively isolated from one another.
  • FIG. 5E provides a block diagram indicating three implementations associated with the second example implementation of FIG. 5B where two alternatives for the third implementation are given.
  • Block 154 of FIG. 5E provides a first implementation example for the process of block 152. In this example adhesion layer material is made to cover the substrate.
  • a ninth embodiment of the invention provides more explicit process operations associated with the example of block 154.
  • Operations associated with the ninth embodiment of the invention include: (1 ) Supply a dielectric substrate. (2) Apply an adhesion layer material across the surface of the substrate. (3) Apply a patterned mask or pattern a mask applied to the surface of the adhesion layer material where openings in the mask expose regions of the adhesion layer material where a structural material is to be deposited.
  • a seed layer material to the exposed regions of the adhesion layer material, to the side walls of the mask material, and to the outward facing surface of the mask material.
  • the selected seed layer material should be appropriate for use with the structural material.
  • the locations where the second seed layer material is deposited may be more restricted.
  • (9) Deposit a sacrificial material so that it occupies the voids in the structural material such that the minimum height of deposition as equal to or preferably greater than the layer thickness and even more preferably equal to or greater than an incremental amount ⁇ above the layer thickness level.
  • (10) Planarize the deposited materials to complete formation of a first layer of the structure. (11) As appropriate add additional layers of material to the structure. (12) Remove the sacrificial material, for example, by etching and potentially simultaneously remove the second seed layer material and potentially even exposed regions of the adhesion layer material. (13) If not already removed by operation 12, remove the second seed layer material, for example, by etching.
  • FIGS. 14A - 14N provide schematic illustrations of side views at various stages of the process of a ninth embodiment of the invention as applied in a specific example.
  • FIG. 14A depicts a state of the process after a substrate 422 is provided while FIG. 14B depicts a state of the process after substrate 422 has received an adhesion layer 424.
  • FIG. 14C depicts a state of the process after a mask 428 has been patterned on adhesion layer 424 leaving voids 430 that expose portions of the adhesion layer material 424.
  • FIG. 14A depicts a state of the process after a substrate 422 is provided while FIG. 14B depicts a state of the process after substrate 422 has received an adhesion layer 424.
  • FIG. 14C depicts a state of the process after a mask 428 has been patterned on adhesion layer 424 leaving voids 430 that expose portions of the adhesion layer material 424.
  • FIG. 14A depicts a state of the process after a substrate 4
  • FIG. 14D depicts a state of the process after deposition of a seed layer material 426 locates seed layer material over the exposed portions of the adhesion layer material 424, along the side walls of mask 428, and along the top surface or outward facing surface of mask 428.
  • FIG. 14E shows the state of the process after blanket deposition of a structural material 432 deposits material into the voids 430 as well as above the outward facing surface of mask 428.
  • FIG. 14F shows the state of the process after the deposited materials are planarized to a height which is greater than the layer thickness by at least an incremental amount ⁇ . This planarization operation exposes mask material 428 by trimming of the top portions of structural material 432 and seed layer material 426.
  • FIG. 14E shows the state of the process after blanket deposition of a structural material 432 deposits material into the voids 430 as well as above the outward facing surface of mask 428.
  • FIG. 14F shows the state of the process after the deposited materials are planarized to a height which
  • FIG. 14G depicts a state of the process after masking material 428 is removed, thus exposing voids 434 in the structural material.
  • FIG. 14H depicts a state of the process after a second seed layer material 436 is deposited over the exposed regions of adhesion layer 424, side walls of the structural material (which are already coated with the first seed layer material), and the upper surface of structural material 432.
  • FIG. 141 depicts a state of the process after blanket deposition of a sacrificial material 438 fills the voids 434 and coats over the upper surface of seed layer material 436 located on structural material 432.
  • FIG. 141 depicts a state of the process after blanket deposition of a sacrificial material 438 fills the voids 434 and coats over the upper surface of seed layer material 436 located on structural material 432.
  • FIG. 14J depicts a state of the process after the first layer of structure is completed by the planarization of the deposited materials to a height corresponding to the layer thickness LT.
  • FIG. 14K depicts a state of the process after formation of a second layer of conductive structural and sacrificial materials is formed.
  • FIG. 14L depicts a state of the process after sacrificial material 438 is removed which yields a partially released structure of desired configuration consisting primarily of structural material 432 but where the second seed layer material and the adhesion layer material conductively connect otherwise separate elements of the structure together.
  • FIG. 14M depicts a state of the process after the second seed layer material 436 is removed while FIG.
  • Block 156 of FIG. 5E sets forth an implementation of the process of block 152 that defines an implementation similar to but opposite to that set forth in block 154.
  • an adhesion layer material covers the substrate as it did in block 154, but an initially applied seed layer coats the adhesion layer in regions where a sacrificial material is to be located as opposed to a structural material as called for in block 154.
  • the process of block 156 continues with the deposition of sacrificial material followed by the deposition of a second seed layer material in regions where a structural material is to be deposited and then by the deposition of the structural material itself.
  • the process of block 156 is implemented in a tenth embodiment of the invention which includes the followed basic operations: (1 ) Supply a dielectric substrate. (2) Apply an adhesion layer material across the surface of the substrate. (3) Apply a patterned mask to the substrate or alternatively, apply a masking material to the substrate and pattern it such that openings in the mask material leave portions of the adhesion layer material exposed where a sacrificial material is to be deposited. (4) Apply a first seed layer material to the regions to be occupied by sacrificial material. The application of the seed layer material may result in its deposition not only to the exposed surfaces of the adhesion layer material but also to the surfaces of the mask material.
  • the deposition of the sacrificial material may also cause sacrificial material to be deposited on the seed layer material covering the mask material.
  • Remove the mask material For example, if the mask material is a photoresist material, remove it using a standard stripping process.
  • the deposition of the seed layer material may or may not result in seed layer material covering the walls and outward facing surface of the deposited sacrificial material.
  • (9) Deposit the structural material into the void regions where a minimum height of deposition is preferably greater than or equal to the layer thickness plus an incremental amount.
  • the incremental amount may be an amount that is greater than or equal to a tolerance associated with the planarization operations that are used in setting layer levels and the like.
  • (11) Form one or more additional layers as appropriate to complete formation of the structure.
  • FIGS. 15A - 15N provide schematic illustrations of side views at various stages of the process of a tenth embodiment of the invention as applied in a specific example.
  • FIG. 15A depicts the state of the process after a substrate 442 has been supplied.
  • FIG. 15A depicts the state of the process after a substrate 442 has been supplied.
  • FIG. 15B depicts a state of the process after an adhesion layer material 444 is deposited on substrate 442.
  • FIG. 15C depicts a state of the process after a patterned mask material 448 is located on the adhesion layer 444. Openings 450 exist in mask material 448 and represent locations where sacrificial material is to exist on the first layer of the structure.
  • FIG. 15D depicts a state of the process after a seed layer material 446 is applied to the exposed regions of adhesion layer material 444 and to exposed surfaces of mask material 448.
  • FIG. 15B depicts a state of the process after an adhesion layer material 444 is deposited on substrate 442.
  • FIG. 15C depicts a state of the process after a patterned mask material 448 is located on the adhesion layer 444. Openings 450 exist in mask material 448 and represent locations where sacrificial material is to exist on the first layer of the structure.
  • FIG. 15D depicts a state of the process after a seed layer material
  • FIG. 15E depicts a state of the process after blanket deposition of a sacrificial material 452 causes the sacrificial material to be located in voids 450 as well as over the seed layer material that is located on the mask material 448.
  • FIG. 15F depicts a state of the process after a planarization operation trims the deposit heights to a level just above that associated with a desired layer thickness for the first layer.
  • FIG. 15G depicts a state of the process after mask material 448 has been removed and portions of adhesion layer 444 are exposed and voids 454 in the sacrificial material are revealed. [222] FIG.
  • FIG. 15H depicts a state of the process after a second seed layer material 456 is deposited onto the exposed regions of adhesion layer 444 as well as on side walls and outward facing surfaces of sacrificial material 452.
  • FIG. 151 depicts a state of the process after a blanket deposition of structural material 458 causes structural material to fill the voids 454 to a minimum height equal to the layer thickness plus an incremental amount.
  • FIG. 15J depicts a state of the process after the formation of the first layer of the structure is completed as a result of a planarization operation which trims the height of the depositions to that of the layer thickness.
  • FIG. 15K depicts a state of the process after a second layer has been deposited and adhered to the first layer.
  • FIG. 15L depicts a state of the process after sacrificial material 452 has been removed.
  • FIG. 15M depicts a state of the process after removal of the first seed layer material 446.
  • FIG. 15N depicts a state of the process after removal of exposed regions of adhesion layer 444 which result in completion of the structure where separate regions of the structure are conductively isolated from one another.
  • Blocks 164 and 166 provide two alternative examples of how the process of block 162 might be implemented. [231] Block 164 calls for the deposition of the structural material prior to deposition of sacrificial material while block 166 calls for the deposition of sacrificial material prior to that of structural material. [232] The approach of block 164 is implemented in an eleventh embodiment of the invention which involves the following primary operations: (1 ) Supply a dielectric substrate on which to form a structure.
  • the nickel strike may be considered the first seed layer material which was selectively deposited.
  • the second seed layer material is located on the adhesion layer material in those regions where the mask material was removed, while the seed layer may also be deposited onto the side walls of the structural material as well as on the outward facing surface of the structural material.
  • Next sacrificial material is deposited to fill the voids in the structural material where the minimum height of deposition is preferably greater than the layer thickness plus an incremental amount.
  • (11 ) Form one or more additional layers as appropriate to complete formation of the structure.
  • FIGS. 16A - 16M provide schematic illustrations of side views at various stages of the process of an eleventh embodiment of the invention as applied to the formation of a particular structure.
  • FIG. 16A depicts a state of the process after a substrate 462 has been supplied.
  • FIG. 16B depicts a state of the process after substrate 462 has received an adhesion layer 464.
  • FIG. 16C depicts a state of the process after a masking material 468 has been applied to the surface of adhesion layer material 646.
  • FIG. 16D depicts a state of the process after a nickel deposit 466 coats the exposed portions of adhesion layer material 464.
  • FIG. 16E depicts a state of the process after electrodeposition of structural material 472 is deposited onto nickel strike material 466.
  • FIG. 16F depicts a state of the process after mask material 468 is removed which reveals voids 474 in structural material 472.
  • FIG. 16G depicts a state of the process after a second seed layer material 476 is deposited onto adhesion layer 464 and onto the side walls and outward facing surface of structural material 472. [242] FIG.
  • FIG. 16H depicts a state of the process after a blanket deposition of sacrificial material 478 results in sacrificial material filling the voids 474 in the structural material.
  • FIG. 161 depicts a state of the process after the first structural layer is completed by a planarization operation which trims the height of the deposits to correspond to that of the desired layer thickness.
  • FIG. 16J depicts a state of the process after a second layer of material has been formed above the first layer of material.
  • FIG. 16K depicts a state of the process after sacrificial material 478 has been removed.
  • FIG. 16L depicts a state of the process after seed layer material 476 has been removed. [247] FIG.
  • FIGS. 17A - 17N provide schematic illustrations of side views at various stages of the process of a twelfth embodiment of the invention as applied to the formation of a particular structure.
  • FIG. 17A depicts a state of the process after the substrate 482 is received whereas FIG. 17B depicts the state of the process after an adhesion layer material is deposited on the substrate.
  • FIG. 17C depicts a state of the process after a patterned masking material 488 is formed on the surface of adhesion layer 484. Openings 490 through masking material 488 expose regions of adhesion layer 484 where a sacrificial material is to be deposited.
  • FIG. 17D depicts a state of the process after a first seed layer material 486 is deposited onto the exposed portions of the adhesion layer and also on the outward facing surface of the masking material.
  • FIG. 17E depicts a state of the process after a sacrificial material 492 is electroplated onto the seed layer material 486 so that a minimum height of deposition preferably greater than or equal to the layer thickness plus an incremental amount is formed in regions of voids 490.
  • FIG. 17F depicts the state of the process after the deposited materials and masking material are planarized to a height that is preferably greater than or equal to the layer thickness plus an incremental amount.
  • FIG. 17G depicts a state of the process after masking material 488 is removed.
  • FIG. 17H depicts a state of the process after a nickel strike deposits nickel seed layer material 494 in void regions 496 exposed by the removal of masking material 488. The nickel seed layer material is deposited to regions where a structural conductive material is to be deposited.
  • FIG. 171 depicts a state of the process after structural material 498 is deposited to fill voids 496 such that the minimum height of deposition locates the surface of the structural material at a height equal to or greater then the layer thickness plus an incremental amount ⁇ .
  • FIG. 17J depicts a state of the process after the deposited materials have been planarized to a thickness equal to the layer thickness.
  • FIG. 17K depicts a state of the process after a second layer of material has been added.
  • FIG. 17L depicts a state of the process after the sacrificial material has been removed while FIG. 17M depicts the state of the process after the first seed layer material 486 is removed.
  • FIG. 17N depicts a state of the process after exposed adhesion layer material has been removed.
  • FIG. 5F provides a block diagram indicating a more detailed implementation example (along with two alternatives therefore) associated with the fourth example implementation of FIG. 5B.
  • Block 174 provides a more detailed description of a particular implementation of the process of block 172.
  • block 174 calls for the use of a patterned material to define initial regions on the substrate where the first seed layer stack and first selected material of block 172 will be deposited and then to use the first seed layer stack and first selected material as the mask for defining regions that will receive a second seed layer stack and the second material.
  • Blocks 176 and 178 provide examples of two variations of the process of block 174 which may be used in its implementation (in particular block 176) that in situations where the seed layer material of the first and second seed layer stacks are the same then removal of the seed layer material associated with the sacrificial material may occur without damaging the seed layer material for the structural material by insuring that structural material deposited on the second layer completely covers the boundary regions separating the structural and sacrificial materials on the first layer.
  • Block 178 is directed to situations where the seed layer material for the first and second seed layer stacks are different and where the sacrificial material and the associated seed layer material and adhesion layer material can be separated from the seed layer material and adhesion layer material associated with the structural material without damaging them.
  • Embodiment thirteen of the present invention provides a detailed process for an example implementation of the approach of block 176 whereas embodiment fourteen provides a detailed process that may be used in implementing the approach of block 178 of FIG. 5F.
  • Primary operations associated with the thirteenth embodiment of the invention include: (1 ) Supply a dielectric substrate. (2) Pattern a material, for example a photoresist, on the surface of the substrate leaving voids where a first of the sacrificial material or structural material is to be located.
  • the minimum height of deposition may be set to an amount equal to or greater than the layer thickness plus an incremental amount.
  • the structural material on the second layer will overlay not only the structural material on the first layer but will also overlay the associated seed layer material and adhesion layer material.
  • the structural material on the second layer may simply overhang the structural material on the first layer and the seed layer material on the first layer or at least a large portion of the seed layer associated with structural material on the first layer such that any access paths by an etching solution to the seed layer material associated with the structural material are sufficiently small that removal of the seed layer material associated with the structural material cannot be removed or damaged to a significant amount in regions where structural material adheres to the substrate via the seed layer material.
  • the adhesion layer for the sacrificial material may be titanium-tungsten while the seed layer material for the sacrificial material is copper and the sacrificial material itself may be copper.
  • the structural material may be nickel and the adhesion layer material associated with the structural material may be titanium while the seed layer material associated with the structural material may be copper or gold or any other material.
  • titanium-tungsten As a seed layer of titanium-tungsten may be readily attacked by the etchant used to remove a copper sacrificial material the use of an adhesion layer of titanium for the structural material may have a benefit in that the etchant for the copper will not readily attack pure titanium.
  • the seed layer material or the structural material is gold it may be possible to use a titanium-tungsten seed layer for the structural material even though it may be attacked by the etchant used to remove the sacrificial material as the barrier provided by the gold and the thinness of the adhesion layer material sandwiched between the gold and the substrate may insure that no significant damage occurs to the structural integrity of the structure where it is mounted to the substrate.
  • a fourteenth embodiment of the invention offers more freedom of design as the second layer of structural material can take on any appropriate configuration without worrying about it having to function as a capping layer to protect the seed layer material associated with the structural material.
  • the seed layer associated with the structural material it is necessary that the seed layer associated with the structural material not be attacked by any etchants that it may come into contact with. For example, it should not be attacked, at least aggressively, by the etchant used to remove the sacrificial material. It should also not be attacked by an etchant used o remove the seed layer material associated with the sacrificial material. For example, if the sacrificial material is copper and its seed layer is copper then a seed layer of gold or tin or silver would be effective in this embodiment.
  • the adhesion layer material used for the structural material not be attacked by etchants used to remove the sacrificial material, the associated seed layer material or the associated adhesion layer material.
  • the sacrificial material is copper and the associated seed layer material is copper and the associated adhesion layer material is titanium- tungsten, all may be etched by the same etchant whereas use of a titanium seed layer for the structural material would be appropriate in this embodiment as it would probably not be significantly attacked by the etchant of choice. It will be understood by those of skill in the art that other material combinations will be possible and that if necessary minimum experimentation could be performed to distinguish working material and etchant combinations from non-working combinations. [272] FIGS.
  • FIG. 18A - 18L provide schematic illustrations of side views at various stages of the process of a thirteenth embodiment of the invention as applied to the formation of a specific exemplary structure.
  • FIG. 18A depicts a state of the process after a substrate 502 is applied.
  • FIG. 18B depicts a state of the process after a patterned masking material 500 is formed on substrate 502 where voids 510 exist in the masking material, which define regions to be occupied by structural material or sacrificial material.
  • the first material to be deposited will be structural material and as such the various operations of this embodiment will reflect that choice. It will be understood by those of skill in the art that alternative embodiments may utilize the sacrificial material as the first deposited material.
  • FIG. 18C depicts a state of the process after an adhesion layer 504 associated with the structural material is deposited, a seed layer 506 associated with the structural material is deposited and the structural material itself 508 is deposited.
  • the minimum height of deposition associated with the structural materials is equal to or greater than the layer thickness pus an incremental amount which will allow any necessary amount to accommodate tolerances in planarization.
  • FIG. 18D depicts a state of the process after the masking material and structural materials have been planarized to a level that is somewhat higher than the ultimate desired layer thickness.
  • FIG. 18E depicts a state of the process after masking material 500 has been removed leaving adhesion layer material 504, seed layer material 506 and conductive structural material 508.
  • FIG. 18E also depicts voids 514 in the deposited structural materials. The voids represent regions to be occupied by sacrificial material.
  • FIG. 18F depicts a state of the process after an adhesion layer 524 and a seed layer 526 associated with a sacrificial material have been deposited into the exposed regions of substrate 502 in preparation for receiving a deposit of sacrificial material.
  • FIG. 18G depicts a state of the process after sacrificial material 512 has been deposited to fill voids 514.
  • FIG. 18H depicts a state of the process after the formation of the first layer is completed as the result of a planarization operation that sets the thickness of the deposits equal to the layer thickness.
  • FIG. 181 depicts a state of the process where a second layer is formed above and adhered to the first layer and wherein structural material regions 508 on the second layer overlay the boundary regions separating the structural materials and the sacrificial materials of the first layer.
  • FIG. 18J depicts a state of the process after sacrificial material 512 has been removed.
  • FIG. 18K depicts a state of the process after seed layer 526 associated with the sacrificial material 512 has been removed.
  • FIG. 18L depicts two alternative versions of potential states of the process after adhesion layer 524 is removed. The left most figure of FIG.
  • FIGS. 19A - 19D provide schematic illustrations of side views at various stages of the process of a fourteenth embodiment of the invention as applied to the formation of a specific exemplary embodiment.
  • FIG. 19A - 19D provide schematic illustrations of side views at various stages of the process of a fourteenth embodiment of the invention as applied to the formation of a specific exemplary embodiment.
  • FIG. 19A depicts a state of the process after formation of a first and second layer have been completed where the first and second layers include structural material 508 and sacrificial material 512.
  • the first layer also includes adhesion layer material 504 and seed layer material 506 as well as adhesion layer material 524 associated with the sacrificial material and seed layer material 526 also associated with the sacrificial material.
  • FIG. 19B depicts a state of the process after removal of sacrificial material 512 where it can be seen that the structural material associated with the first and second layers do not result in the material of the second layer overlaying the boundary interface regions between the structural and sacrificial materials of the first layer.
  • FIG. 19C depicts the state of the process after seed layer material 526 has been removed.
  • FIG. 19D depicts a state of the process after adhesion layer 524 has been removed.
  • FIG. 5G provides a block diagram indicating a more detailed implementation example (along with two alternatives therefore) associated with the fifth example implementation (block 182) of FIG. 5B.
  • Block 184 provides an example of a first alternative to the process of block 182.
  • a fifteenth embodiment of the invention provides a specific implementation of the process of block 184 of FIG. 5G.
  • the primary operations associated with the fifteenth embodiment include the following: (1 ) Supply a dielectric substrate.
  • FIGS. 1-10 Deposit a second seed layer material to the exposed regions of the substrate and to the side walls and outward facing surface of the structural materials.
  • (11) Remove the sacrificial material, for example, by etching and potentially remove the second seed layer material at the same time.
  • remove the second seed layer material for example by etching so as to complete formation of the released structure where separate elements of the structure are conductively isolated from one another.
  • FIG. 20A - 20L provide schematic illustrations of side views at various stages of the process of a fifteenth embodiment of the invention which provides a first implementation of the example of block 184 of FIG. 5H.
  • FIG. 20A depicts a state of the process after supplying a substrate 542 while FIG. 20B depicts a state of the process after forming a desired pattern of masking material 548 on the substrate.
  • FIG. 20C depicts a state of the process after application of an adhesion layer 544 and a seed layer 546 that are associated with a structural material to be deposited.
  • FIG. 20D depicts a state of the process after a structural material 552 is deposited.
  • FIG. 20A depicts a state of the process after supplying a substrate 542 while FIG. 20B depicts a state of the process after forming a desired pattern of masking material 548 on the substrate.
  • FIG. 20C depicts a state of the process after application of an adhesion layer 544 and a seed layer 546 that are
  • FIG. 20E depicts a state of the process after the materials are planarized to a height slightly greater then one layer thickness.
  • FIG. 20F depicts a state of the process after masking material 548 has been removed.
  • FIG. 20G depicts a state of the process after a second seed layer material 554 has been deposited.
  • FIG. 20H depicts a state of the process after a sacrificial material 556 has been deposited while FIG.20I depicts a state of the process after the deposited materials have been planarized to a height of one layer thickness which completes formation of the first layer.
  • FIG. 20J depicts a state of the process after a second layer has been added. [303] FIG.
  • FIG. 20K depicts a state of the process after sacrificial material 556 has been removed while FIG. 20L depicts a state of the process after the second seed layer material 554 has been removed which completes the process and yields a released structure whose separate regions are conductively isolated form one another.
  • the methods and operations employed in the embodiments of the invention as discussed above may be applied not only to the forming of the initial layer of structure when building on a dielectric substrate but they may also be applied during the formation of additional layers when their immediately preceding layers include a dielectric material.
  • the embodiments set forth above may be modified to leave or add one or more dielectric materials to the layers being formed.
  • data processing and masking techniques may be used to limit seed layer and/or adhesion layer formation to occur only over dielectric material (e.g. on the substrate or previously deposited layer) or such that it overlays conductive material only slightly such that dielectric material is not located between successive layers of conductive structural material and/or between successive layers of conductive sacrificial material.
  • seed layer material and/or adhesion layer material may be placed only over dielectric material and to leave a zero gap or slight gap between any conductive material on the substrate or previously formed layer and the seed layer material where such a gap can be readily bridged during plating operations to cause deposited conductive material to overlay the conductive material regions on the previous layer as well as to overlay seed layer regions on the present layer.
  • the dielectric material may be initially pattern to form voids that represent the union of the locations where the first and second conductive materials will be deposited.
  • the first conductive material may be applied to fill all voids, and then the deposit(s) may, optionally, be trimmed (e.g. planarized) to a desired level.
  • a mask may be overlaid on the surface of the first conductive material. Voids may exist in the mask at the time of mating the masking material to the previously deposited materials.
  • the voids may be formed in the masking material after mating has occurred.
  • the mask may, for example, be of the contact or adhered type.
  • the voids in the mask preferably correspond to locations where a second conductive material is to be located.
  • Etching of the first conductive material may occur to a desired depth and even exposed seed layer material may be removed (and potentially other associated materials as well).
  • the seed layer may be removed by the same process (e.g. etchant) as is used for removing the conductive material or alternatively it may be removed by a different process (e.g. using a different etchant).
  • the second conductive material may be deposited and if necessary prior to that deposition, a seed layer material or seed layer stack of materials, appropriate for the second conductive material, may be deposited.
  • the mask may be removed (if not already removed) and planarization of the surface may occur to remove any seed layer material or seed layer stack materials located above the first conductive material and to bring the net layer height to a thickness equal to that of the layer thickness.
  • it may be desirable to not use mechanical- type or machining-type operations (e.g. lapping, machining, milling or the like) to trim seed layer material from the surface of the dielectric or other conductive material which it overlays.
  • etching operations may be used to remove the seed layer material.
  • the etching operations may be done in a selectively manner or largely selective manner such that seed layer material is attacked and removed while causing no more than insignificant damage to any deposited conductive material located above the seed layer.
  • the seed layer etching process may also attack the material that was deposited above the seed layer and/or attack other exposed conductive and/or dielectric materials.
  • the coating thickness of the materials attacked by the etchant may be such that the etching is insufficient to cause the regions to fall below a desired minimum thickness (e.g. below a level corresponding to the layer thickness).
  • the deposited materials may be ready for receiving subsequent deposits and processing or alternatively a planarization operation may be used to bring the surface of the deposited material to a desired level.
  • scratching or otherwise forming openings in the seed layer may be sufficient to allow an etchant (e.g. developer or stripper) to attack the underlying dielectric material (e.g. photoresist) which may result in removal of the dielectric as well as removal of any overlying seed layer material by a lift off process.
  • an etchant e.g. developer or stripper
  • the underlying dielectric material e.g. photoresist
  • Such removal via lift off may be accompanied by ultrasonic agitation or the like.
  • the etching operations set forth above may be used to incorporate additional structural or dielectric materials of either the conductive or dielectric type.
  • the etching operations may be used in such a manner that at any given time only one material is being etched into. In other alternatives, etching operations may cut into more than one material simultaneously.
  • the orders of applying materials in the above described embodiments may be modified along with making appropriate changes to the processes.
  • the effectiveness of various embodiments and alternatives discussed above and below may be enhanced by use of one or more of the following techniques. Formation of seed layers and/or adhesion layers on dielectric materials may be accomplished using a variety of different operations or processes.
  • the formation may occur using a sputtering or other PVD or CVD process, an electroless deposition process, or via a direct metallization process.
  • Operations and parameters involved in sputtering processes or other PVD or CVD process are known to those of skill in the art or may be readily ascertained by them without undue experimentation.
  • Electroless deposition processes and parameters are also known to those of skill in the art or may be readily ascertained by them without undue experimentation. Electroless deposition processes are capable of forming coatings of many different materials including, for example Au, Ag, Sn, Cu, Ni, and the like.
  • direct metallization processes are also known to those of skill in the art or may be readily ascertained by them without undue experimentation.
  • an electroless deposition process for copper may involve the following operations: 1. Activate the substrate using a solution of hydrochloric acid, tin chloride, and palladium chloride where the concentrations and ratios of each as well as the general process parameters that may be used are ascertainable or purchasable from standard sources by those of skill in the art. 2. Optionally, rinse with de-ionized water; and 3. Expose the substrate to an electroless deposition solution containing sodium hydroxide, formaldehyde, a chelater, and a copper salt where the relevant concentrations and ratios and other process parameters are ascertainable or purchasable from standard sources by those skilled in the art.
  • the purpose of the director metallization or direct plating process is to allow electroplating of a conductive layer directly on a non-conductive substrate.
  • Three different commercial processes exist for direct metallization (1) Pd colloidal processes, (2) conductive polymer processes, and (3) carbon/graphite based systems.
  • a direct metallization or direct plating process may be implemented via a series of steps or operations: (1) First, the substrate is dipped in an etching solution to roughen its surface; (2) Then, the substrate is dipped in an activator solution to get surface conductive; and then; and (3) A very thin ( ⁇ 1 urn) metal layer (e.g., Cu, Ni or Au) is plated onto the substrate.
  • ⁇ 1 urn metal layer e.g., Cu, Ni or Au
  • Pd colloidal process there are two subgroups: (a) the Pd-Sn colloidal process and (2) the Sn free Pd process.
  • Some examples of commercial processes include: (1) Crimson (Shipley), (2) Envision DPS (Enthone), and (3) Compact-1 (Atotech).
  • a typical process flow includes cleaning, microetch, activation and plating.
  • examples of commercial processes include: (1 ) DMS-2 (Blasberg Co.) and (2) Compact CP (Atotech).
  • the conductive polymers include polypyrrole or 3,4 ethlendioxythiophene.
  • an important portion of the invention relates to the ability to separate sacrificial materials, any associated seed layers, and/or any associated adhesion layer materials from the desired structures and from the substrate while doing minimal damage to the structural material, any associated seed layer materials, and any associated adhesion layer materials. The separation of these materials may occur after partial or complete formation of a first layer, after partial or complete formation of a subsequent layer or after completion of the last layer to be formed.
  • a gold (Au) seed layer material and a titanium adhesion layer material must be separated from the structural materials.
  • the gold layer generally has a thickness between 0.1 and 1.0 microns, more typically between 0.3 and 0.7 microns and most typically between about 0.4 and 0.6 microns (-0.5 ⁇ m) and the Titanium layer generally has a thickness between about 50 and 500 angstroms and more typically about 100 to 300 A.
  • the gold etchant may be GE-8148 from Transene Co. Inc. of Danvers, Massachusetts and the titanium etchant may be TFTN which is also from Transene Co. Inc.
  • the etch rate for the gold etchant is about 50 angstroms/second at 25 C. As such, it takes about 100 seconds to remove the 0.5 ⁇ m (micron) Au seed layer. But since in actual application the gold has a variable layer thickness, the etch rate is variable and it is difficult to control the etch from over-attacking regions where etching may not be desired while waiting for the etching to be completed in other areas which may have inadvertently or intentional received thicker initial coatings. It is difficult to control the etching process during such short time intervals. If the etching is not well controlled, severe undercutting may result which may cause yield reductions or complete failures of processes that would have otherwise been successful.
  • etching time it is desirable to extend the etching time. Such extensions may involve factors of 2, 3, 5, 10 or even higher values. For example, it may be desirable to extend the etching time so that it takes 10 minutes instead of 1 minute. Tests have shown that such an extension of time may be obtained by diluting the concentration of the purchased etchant using distilled water. Tests have shows that it takes about 10 minutes to remove Au using a concentration of 1 part full strength etchant to 15 parts Dl water and about 5 - 7 minutes using a concentration of 1 part full strength etchant to 10 parts Dl water. As such, dilution of baths beyond ranges recommended by material suppliers may have significantly beneficial results.
  • Such additional steps may be particularly useful when the structural configuration includes complex geometries such as blind and long narrow channels.
  • Such additional steps may include dipping the structure, device, component, part, etc. into an inhibitor/water solution for a short time (e.g. a number of minutes) prior to dipping the structure into the Au etchant (which may not but preferably does include a nickel corrosion inhibitor). Normal Au etching can then be allowed to proceed. If necessary, the etching operation may be halted part way through the process and re-immersion into the inhibitor solution may be made to occur so as to provide additional protection for any newly exposed structural material surfaces. Such operations may be repeated more than once if found to be necessary.
  • inhibitor immersion times, temperatures, frequency of re-immersion, and other process variables and options may be ascertained empirically by those of skill in the art.
  • a diluted etchant increases the total etch time and allows for enhanced control, excessively diluted solutions can not completely etch away all Au.
  • Those of skill in the art may ascertain empirically useful ranges of effective dilution. It will be understood by those of skill in the art that though the above discussion focused on Au seed layer material and Ni structural material, the process of using a diluted etchant; an etchant containing a corrosion inhibitor, or inhibitors, specific to the structural material, or materials, to be protected; and/or an inhibitor bath, may be useful with other materials (e.g.
  • the vendor of the titanium etchant recommends using the etchant at 70-80 C.
  • the vendor indicates that the etch rate will be about 10 Angstroms/second (A/s) at about 10 C and will be about 50 A/s at 85 C.
  • A/s Angstroms/second
  • An additional advantage in doing this includes decreased evaporation of the toxic chemicals in the etchant (e.g. HCI).
  • the concentration the etchant may also be reduce.
  • HCI in the titanium etchant could cause pitting of Ni or other structural materials
  • incorporation of an inhibitor therein, or upfront inhibitor treatments may be used in addition to controlling of the temperature at a desired level.
  • a corrosion inhibitor to the solution may again involve use of NaNO3 or a different inhibitor and may involve the added amounts in the range discussed above or outside of that range. It is within the level of skill of those in the art to empirically determine effective ranges of temperature, inhibitor type and concentration, and the like without undue experimental effort. Though the discussion of temperature as a process control variable has been limited to titanium etchants, it will be clear to those of skill in the art that temperature control may be used with other materials to be removed, etchants, and structural materials. [329] As a result of additional problems discovered with regard to the gold etchant attacking nickel several additional techniques for enhancing the removal process are proposed. Each additional enhancement may be used as needed.
  • the precipitate may have a primary source and a secondary source.
  • the primary source appears to be a reaction between remnants of a copper sacrificial material that interact with the etching solution to form a precipitate Cul (Copper-Iodine).
  • the secondary source appears to be a precipitate that results from a reaction between the gold and the etchant to form Aul (Gold-Iodine).
  • the corrosion may come about from a localized difference in concentration and/or as a result the localized pH dropping too low.
  • any copper that would be accessible to the gold etchant is preferably removed prior to bringing the etchant into contact with the copper; (2) during etching, moderate levels of agitation may be used to keep stagnant areas from forming what may otherwise become over saturated regions; (3) In situations where long channels exist or blind cavities, periodic removal of the structure from the gold etchant may occur and then the structure may be subjected (e.g.
  • FIG. 21 provides a block diagram of primary operations associated with a process for forming a multi-layer structure according to another embodiment of the invention.
  • Block 601 sets forth a 1 st operation of the embodiment which involves the preparation of the surface of the substrate, or of a previously formed layer, so that it may receive an electrodeposition of a sacrificial or structural material.
  • Block 611 sets forth a 2 nd operation of the embodiment which involves the selective deposition of a structural material.
  • Block 621 sets forth a 3 rd operation of the embodiment which calls for the selective deposition of a sacrificial material.
  • Block 631 sets forth a 4 th operation of the embodiment which involves depositing of a spreadable dielectric material while block 641 sets forth a 5 th operation which involves curing of the spreadable dielectric material.
  • Block 651 sets forth a 6 th operation of the embodiment which involves planarization of the deposits to a level corresponding to the intended boundary level of the layer.
  • Block 661 calls for the repetition of Operations 1 - 6 one or more times to build up the multi-layer structure.
  • Block 671 sets forth an 8 th operation of the embodiment which calls for the release of the structure from the sacrificial material and from any seed layer material located between layers of sacrificial material.
  • FIGS. 22A - 22H provide schematic illustrations of side views at various stages of the process of FIG. 21 which provides an embodiment for incorporating a dielectric material along with platable conductive materials in association with arbitrary layers of a structure being formed.
  • a conductive structural material comprises nickel 702;
  • a dielectric material comprises a UV curable photopolymer 704;
  • a conductive sacrificial material comprises copper 706;
  • the surface treatment comprises electroless deposition of copper 708.
  • other materials and material combinations may be used.
  • a surface of the substrate or the surface of a previously formed layer is prepared so that it may receive an electrodeposition of a conductive structural material and a conductive sacrificial material.
  • Surface preparation of the substrate may be different than that required for subsequent layers or may be omitted if the substrate or immediately preceding layer is (1) entirely conductive, (2) is conductive in appropriate locations (i.e. locations where electrodeposition is to occur and such that the locations are conductively connected to a source of electric power), or (3) in the event that the substrate is supplied with a plating base or seed layer already in place.
  • the surface treatment 708 is applied over the entire previous layer or substrate 701.
  • the surface treatment may be applied in a patterned manner so as to either (1) correspond to the dielectric areas on the preceding layer or (2) correspond to those portions of the dielectric areas on the preceding layer where electrodeposition is to occur and where necessary to yield a conductive bridge to a source of electric power.
  • the surface preparation preferably involves the electroless deposition of copper which may be implemented using the following three steps: 1. Activate the substrate using a solution of hydrochloric acid, tin chloride, and palladium chloride where the concentrations and ratios of each, as well as the general process parameters that may be used, are known, readily ascertainable, or are readily obtainable from standard sources by those of skill in the art. 2.
  • the substrate rinse the substrate with de-ionized water; and 3. Expose the substrate to an electroless deposition solution containing sodium hydroxide, formaldehyde, a chelater, and a copper salt where the relevant concentrations and ratios and other process parameters are known, readily ascertainable, or purchasable from standard sources by those skilled in the art.
  • an electroless deposition solution containing sodium hydroxide, formaldehyde, a chelater, and a copper salt where the relevant concentrations and ratios and other process parameters are known, readily ascertainable, or purchasable from standard sources by those skilled in the art.
  • other surface preparation processes and materials may be used.
  • one or more of the following may be used in forming a plating base or seed layer onto which electrodeposition may take place: a) A different metal or alloy may be deposited by electroless deposition.
  • nickel, tin, silver, or another material may be used; b) A metal or other conductive material or materials may be applied by a form of PVD (e.g. sputtering or evaporation); c) A metal or conductive compound may be applied by a form of CVD; d) A metal or conductive compound may be applied by a direct metallization (i.e. a direct plating technique), for example a Sn-Pd process may be used, a graphite based process or a conductive polymer based process may be used. e) Any of the alternatives a) - d) may be followed by a microetching operation, e.g.
  • Electroplating of metal may occur directly on to a substrate or previously formed layer that has undergone the activation operation described above, or one similar to it, without the need of a subsequent electroless deposition operation; g) A conductive powder or film may be deposited by mechanical means (e.g.
  • a conductive powder may be electrostatically applied; i) A conductive powder may be applied by electrophoretic deposition; j) Conductive particles may be deposited and adhered via a spray metal coating process; k) In other alternative embodiments, operations g) - j) may be accompanied by, for example, use of heat, pressure, radiation, application and evaporation of a solvent, or the like to enhance the cohesion of individual particles, the adhesion of particles to the substrate or to form a more continuous or dense coating.
  • FIG. 22B depicts the state of the process after completion of Operation (2) of FIG. 21 , i.e. after selective deposition of a structural material.
  • FIG. 22B depicts the state of the process after Operation (3) of FIG. 21 , i.e. after selective deposition of sacrificial material.
  • a contact mask e.g. of the anodeless type
  • an adhered mask e.g. of patterned photoresist.
  • the plating bath may be degassed prior to mating to enhance its ability to hold gas in solution should bubbles begin to form.
  • FIG. 22C depicts the state of the process after Operation (3) of FIG. 21 , i.e. after selective deposition of sacrificial material.
  • FIG. 22C depicts the state of the process after Operation (3) of FIG. 21 , i.e. after selective deposition of sacrificial material.
  • sacrificial material 706 is selectively deposited using a contact mask (e.g. of the anodeless type) or an adhered mask (e.g. of patterned photoresist).
  • the contact material of the mask should mate to the surface of the substrate or previously formed layer to shield those portions of the layer where a dielectric material will eventually be located. As plating efficiency may also be an issue during this step, some precautionary or corrective operations may be appropriate during performance of this operation. Such operation were discussed above in association with FIG. 22B.
  • MCO minimum critical offset
  • conductive structural material and dielectric material may be in contact, but this would generally result in the first of a dielectric or structural material being surrounded by the second of them. This might be a preferred approach for the formation of certain structures.
  • adhered masking techniques may remove the restrictions associated with the minimum critical offset noted above.
  • contact masking may be used during deposition of the structural material and adhered masking may be used during the deposition of the sacrificial material.
  • adhered masking may be used during the deposition of both the conductive structural material and the conductive sacrificial material. Analogous variations exist when the order of processing is changed.
  • the sacrificial material may be blanket deposited so as to remove issues associated with the MCO, then the deposited materials may planarized to a desired height (e.g.
  • a contact or adhered mask may be applied to planed surface and then either the structural material and/or the sacrificial material may be etched to an appropriate depth so as to define voids for receiving a dielectric material in a next step.
  • a dielectric material is to be deposited.
  • the dielectric material 704 be a UV curable resin or other spreadable material.
  • dielectric materials 704 may be deposited in this step using a number of different deposition methods.
  • a preferred method is to use a curable stereolithography resin or paste.
  • SL5190 epoxy photopolymer resin manufactured by Vantico, AccuDur 100 sold by 3D Systems, or Somos 9120 sold by Dupont would be suitable.
  • Thermally cured materials may are also suitable and may be used in alternative embodiments.
  • a two operation process is used in depositing the dielectric material 704: 1.
  • the substrate surface (in whole or in part) is coated with the dielectric material 708.
  • FIG. 22D depicts the state of the process after the substrate has been coated. a) Preferably this is done with the substrate horizontal, face-up, and the dielectric material applied by pouring onto the substrate surface.
  • the substrate may be dipped (with a substantially vertical orientation) into the dielectric material and then slowly withdrawn.
  • the dielectric material may be applied by a spreader bar which pumps the dielectric material through nozzles pointed in the direction of the substrate which is preferably oriented horizontally and face up.
  • the dielectric material may be applied by orienting the substrate horizontally and dipping into the dielectric material and then slowly withdrawing the substrate.
  • the dielectric material may be applied in conjunction with one of the 'leveling' operation alternatives described hereafter. 2. The dielectric material is 'leveled' to establish the appropriate thickness and an approximately uniform distribution of material across the substrate.
  • this leveling is performed using a doctor blade 720 as shown in FIG. 22D that is (i) aligned to sweep a plane that is parallel to the plane of the substrate, (ii) located a fixed distance away from the surface of the preceding layer (e.g. at the layer thickness or somewhat higher), and (3) moved in a controlled manner across the substrate from one side to the other. Blade geometry, spacing from the previously formed layer, speed of travel, and number of sweeps can be optimized for various materials empirically as necessary.
  • this step may be performed by spinning the dielectric coated substrate at rate appropriate to establish the desired thickness.
  • a roller may be used in place of the doctor blade.
  • the roller may rotate with the direction of motion or counter to it
  • the dielectric material may be dispensed from a cavity in the doctor blade as the doctor blade is moving across the preceding layer.
  • the substrate may be oriented horizontally, vertically, or at an angle to the horizontal and gravity may be allowed to provide the leveling force.
  • the leveling operation of this step may be skipped in favor of a planarization step that will follow.
  • the dielectric material may comprise: 1. A one-part epoxy system. 2. A two-part epoxy system. 3. A three-part epoxy system. 4. A one-part PDMS system. 5. A two-part PDMS system. 6. Sylgard 184 (PDMS) from Dow Corning, mixed in appropriate ratio before application. 7. Photoneece® PWDC-1000 (polyimide) from Dow Corning. 8. An oxide or nitride deposited through low pressure chemical vapor deposition (LPCVD). 9. A low stress SiN (silicon nitride) deposited through LPCVD. 10.
  • LPCVD low pressure chemical vapor deposition
  • a fusible powder or non-fusible powder where individual particles may be coated with a fusible material.
  • the particles may be compacted against the surface of the previous layer with a roller during or subsequent to deposition.
  • the 'cure' may be performed by heating the dielectric material (e.g. by laser heating).
  • particles in the range of 5 ⁇ m to 10 ⁇ m are preferred, but particles in the range of 10 ⁇ m to 100 ⁇ m can also be used in some embodiments.
  • 1 1 Any of the materials of 1 - 10 may be used in combination with an incorporated ferrite powder suspended in the spreadable material to increase its usefulness in transformers, inductors, and the like. 12.
  • any of the materials 1 - 10 may be used in combination with an incorporated metal or ceramic powder suspended in the spreadable material to increase strength or toughness or to provide a surface more amenable to planarization.
  • the spreadable dielectric material is cured.
  • the dielectric material has been preferentially cured by exposure to UV radiation (preferably in the range of 320 nm to 400 nm), for example, through the use of a florescent UV light source such as F71 from UValux.
  • F71 florescent UV light source
  • other sources of curing radiation may be used and even other types of curable materials, for example: 1.
  • a UV mercury lamp may be used. 2.
  • a UV flood source may be used. 3.
  • a UV laser source may be used wherein the wavelength(s), scan pattern, speed, etc., may be optimized to reduce distortion and increase adhesion.
  • the dielectric material may be cured in response to radiation in the visible spectrum with light sources and background radiation being appropriately modified. 5.
  • the dielectric material may be cured in response to radiation in the infrared spectrum with radiation sources and background radiation being appropriately modified. 6.
  • the dielectric material may be cured through the use of heat, radiated, conducted, or convected to the dielectric material or via any combination of these possibilities. 7.
  • the dielectric material may be cured through contact with the air.
  • the dielectric material may be cured through an endothermic or exothermic reaction within the dielectric material. 9.
  • the dielectric material may not be cured, but may be useable as deposited. This may be the case, for example, for certain gels and compacted powders.
  • planarization of the deposited materials occurs.
  • the desired layer thickness, LT, and surface finish is established preferably by fly cutting (e.g. using a diamond tipped tool) with endpoint detection being made by periodically pausing the diamond fly cutting and measuring the layer thickness using an LVDT or other contact measurement technique. In other embodiments other planarization or trimming techniques may be used.
  • Alternative planarization operations may comprise lapping with crystalline or poly-crystalline diamond. a.
  • the media size may be between 0.5 to 3.0 ⁇ m, between 3.0 to 6.0 ⁇ m or even larger (particularly where a multi-stage trimming process will be used - starting with courser media and working toward finer media as the desired planarization height is achieved.
  • the planarization may comprise lapping using a ceramic media.
  • the planarization may comprise use of fixed media lapping.
  • the planarization may comprise use of a Renewable Polishing Lap as described in US Patent No. 5,897,424 to Evans, et al. This patent is hereby incorporated herein by reference as if set forth in full. 5.
  • the planarization may comprise use of two or more lapping operations with operations being varied in at least one of: lapping media, lubricant, pressure, duration, speed, or motion profile.
  • the planarization may comprise one or more lapping operations followed by one or more diamond fly cutting operations. 7.
  • the planarization may comprise one or more diamond fly cutting operations followed by one or more lapping operations.
  • In addition to planarization including fly cutting or one or more of the operations 1. - 7. it may also include one or more polishing operations.
  • the structure is released.
  • the structure is released from any sacrificial material as shown in FIG. 22H.
  • the build may be singulated (i.e. diced) before release.
  • the structure is released by using an etchant that attacks the sacrificial material and exposed regions of any surface treatment material deposited between adjacent levels of sacrificial material. The etching operations preferably do not attack the build material or attack it only at a significantly lower rate.
  • the structural material is nickel
  • the sacrificial material is copper
  • the surface treatment is electroless copper.
  • the preferred etchant is a modified version of C-38 from Enthone where the modification includes the addition of corrosion inhibitor that helps protect the nickel.
  • the surface treatment may not be amenable to removal by the same etchant that removes the sacrificial material. In these situations, it is necessary to use repeated cycles of two etchants. For example, sacrificial material etching, followed by a surface treatment etching operation, followed by a sacrificial material etching operation, etc. until the entire structure has been released (it may be desirable to have rinse operations occur between the sacrificial and surface preparation etch cycles). [356] Various additional alternatives to the process of FIG. 21 are possible.
  • additional steps may be taken to eliminate seed layer material or conductive surface treatment material from between regions of dielectric material on successive layers. For example, prior to performing Operation (4), an etching operation may be performed that selectively attacks the seed layer material and removes it where the dielectric material is to be deposited. In other alternative embodiments, it may not be necessary for the etchant to selectively attack the seed layer material but instead it may attack the conductive structural material as well and/or the conductive sacrificial material but due to the fact that the seed layer material is much thinner than the structural and sacrificial materials, it may be possible to remove the seed layer material prior to doing significant damage to the other materials.
  • Two additional operations may be added between Operations (2) and (3) of FIG. 21.
  • the first of these operations includes the etching away of seed layer or surface treatment material that is not overlaid by conductive structural material.
  • the seed layer material or surface treatment material of Operation (1), block 601 may use a seed layer that is desirable for use between regions of structural material located on successive layers but not regions of sacrificial material located on successive layers.
  • the second of these operations includes depositing a seed layer material into the regions of the previous layer exposed by the etching operation (the seed layer may also overlay the already deposited structural material.
  • Blocks 613 and 615 specify operations (2.1) and (2.2) respectively.
  • Operation (2.1) calls for etching away of the exposed portions of he seed layer or surface treatment material created in Operation (1).
  • Operation (2.2) calls for the performance of a second surface treatment operation that applies an appropriate seed layer or surface treatment material to regions where sacrificial material is to be deposited (and possibly to regions where dielectric material is to be deposited).
  • an additional operation may be added to the above operations as indicated by Operation (3.1 ) of FIG. 23 (block 623) which calls for the etching of exposed regions of the second seed layer so that the seed layer material may be removed from regions of dielectric material that overlay one another on successive layers.
  • the enhancements of the first and second group of alternative embodiments may be added, mutatis mutandis, to embodiments where the order of deposition of structural and sacrificial materials is reversed.
  • the order of processing of the dielectric material, the conductive structural material, and conductive sacrificial material may be changed to have the dielectric material be the first or second material deposited with the other two materials being deposited as the first and third materials or as the second and third materials and in either order.
  • the process of the first and second alternative embodiments may be added singly or together as enhancements to the fourth group of embodiments.
  • avoidance of unintended etching of seed layer material from between regions of structural conductive material deposited on successive layers may achieved without use of 2 nd seed layer materials.
  • the methods may be combined with the use of a 2 nd seed layer material particularly when the structural material is to be deposited after the sacrificial material.
  • the enhancements of these alternative embodiments allow structural material associated with a given layer to overlay and directly contact structural material on a previous layer (over all or part of the common region or regions) or to allow structural material to be deposited onto a seed layer material that occupies only a portion of the region where conductive structural material is to exist on the present layer (if all of the region or regions where the structural material was to be deposited were to be occupied by the seed layer material then the build technique would fall within the first to fifth groups of alternative embodiments.
  • a first seed layer material may be selectively deposited in a desired pattern or it may be blanket deposited and then later etched to form voids therein having the desired pattern.
  • a patterned mask used in depositing the seed layer material may be used in the selective deposition of the conductive sacrificial material while any mask used in the or etching of the seed layer material may be used in the selective deposition of the conductive structural material and/or in the selective deposition of any desired 2 nd seed layer material prior to depositing the conductive structural material.
  • the selected pattern of openings in the seed layer may take a variety of forms that comprise: 1. A narrow region defining the boundary of the common region between structural material on the immediately preceding layer and structural material on the present layer; 2. A thin region defining an inwardly offset boundary of the common region between structural material on the immediately preceding layer and on the present layer; 3. The entire region or regions that are common to the intended location of structural material on the immediately preceding layer and structural material on the present layer; 4. The entire region or regions, with the exception of possibly a boundary region (that may be used to ensure conductive contact for all regions of the layer) that define the common region between structural material on the immediately preceding layer and on the present layer; 5.
  • the structural material may be selectively or blanket deposited or if desired a second seed layer material may be deposited first.
  • enhancements of the sixth group of embodiments may be applied, mutatis mutandis, to the removal of seed layer material that is located between regions of conductive sacrificial material on successive layers. Such removal may aid in effective release of the structure after it is formed.
  • the conductive structural material, the conductive sacrificial material, or the dielectric material that is to be deposited first may be deposited in a blanket manner as opposed to in a selective manner and then it may be selectively etched into to form patterned regions where one or both of the other materials will be deposited.
  • more than one conductive structural material, more than one conductive sacrificial material, and/or more than one dielectric material may be used. Depending on the selective patterning method chosen, the 'minimum critical offset' may play a role in the types of structures that can be successfully formed.
  • FIGS. 24A - 24J Particular applications of some embodiments of the invention are illustrated in FIGS. 24A - 24J, FIGS. 25A - 25H, and FIGS. 26A - 26J. In the illustration of FIGS.
  • the lower portion of a structure is formed from layers of a 1 st conductive material and a dielectric material while the upper portions of the structure are formed from layers of 1 st and 2 nd conductive materials where the 1 st conductive material in the lower portion of the structure will be a structural material and the 1 st conductive material in the upper portions will be removed as a sacrificial material.
  • the 2 nd conductive material, in combination with the dielectric material will act as a cap to protect that portion of the 1 st conductive material that is to remain as part of the structure.
  • Such processing may be used, for example, to form RF devices.
  • Operations for forming the lower portion of the structure include: 1. Selectively depositing a metal (e.g.
  • a metal desired for its electrical properties such as copper, gold, or silver
  • a patterned photoresist and, for example, electroplating.
  • the dielectric material may be a photoresist (if the same as the patterning resist - it did not need to be removed), polimide, glass, or any other dielectric material.
  • One example is using Futurrex Protective Barrier Coating 3-6000. This material has a dielectric constant of 2.5 and can be cured at 150 deg C. 3.
  • planarizing both metal and the dielectric material A variety of techniques can be used, including but not limited to fly-cutting, lapping, CMP, and mechanical polishing.
  • the seed layer can be, for example, evaporated or sputtered, and can be copper or any other platable metal.
  • This process has several advantages: (1 ) It can prevent the metal from oxidizing; (2) the dielectric helps support the metal and may make the structure more rigid, provide self packaging, and protection from shock and vibration, and (3) Use of the dielectric material may allow production of smaller electric devices, for example capacitors, RF devices, and the like. [374] After the parts (e.g. the lower layers of a structure) are encased, movable parts (e.g. the upper layers of a structure) can be fabricated on top. For example, some electric components can be encased within the lower layers and movable devices and/or other electric component can be fabricated in the upper layers. A cap of a 2 nd conductive material (e.g.
  • FIGS 24A - 24J depicts schematic side views various states of this dielectric/metal (e.g. dielectric/copper) and metal/metal (e.g. nickel/copper) fabrication process as applied to a specific structure.
  • FIG. 24A depicts patterned copper 702 with photoresist 704 on a substrate 700.
  • FIG. 24A depicts patterned copper 702 with photoresist 704 on a substrate 700.
  • FIG. 24B depicts a coating of dielectric 706 filling voids in the copper where the photoresist was located.
  • FIG. 24C depicts planarized dielectric 706 and copper 702.
  • FIG. 24D depicts a deposited copper seed layer.
  • FIG. 24E depicts a second layer of patterned copper with photoresist.
  • FIG. 24F depicts that the photoresist has been removed and that exposed portions of the seed layer have been removed.
  • FIG. 24G depicts the lower layers of a structure formed from copper and a dielectric (e.g. a passive RF component) after repeated operations have been performed to complete formation of the second layer and subsequent layers.
  • FIG. 24H depicts formation of a capping pattern of a 2 nd metal, e.g. nickel, deposited (e.g.
  • FIG. 24I depicts the state of the process after removal of the exposed portions of the seed layer, deposit of the 1 st conductive material, planarization and formation of subsequent layers of 1 st and 2 nd conductive materials (e.g. copper and nickel).
  • FIG. 24J depicts the state of the process after the sacrificial portions of the 1 st conductive material have been removed (e.g by chemical etching).
  • FIGS. 25A - 25H and FIGS 26A - 26J illustrate two methods for having three materials on the same layer. These processes avoid doing lithography on a layer with topography. These processes can be used for many applications.
  • This process can be used, for example to make tips for spring probes using a different material for each or to make transformers or electronic components that require permalloy, a sacrificial metal and a structural another structural metal on the same layer, or in a process where a dielectric material and two metals are required on each layer.
  • the procedures may be extended to allow four or more material to be placed on each layer. These methods may be used with positive resists and possibly with negative resists.
  • the basic process operation include: (1 ) Depositing photoresist, depicted in FIG. 25A and FIG. 26A, (2) exposing a first pattern for a first material to be deposited, depicted in FIG. 25B and FIG.
  • FIG. 26B (3) developing the first pattern to make openings in the photoresist for depositing the first material, depicted in FIG. 25C and FIG. 26C, (4) exposing the second pattern on the same resist layer defining locations where a first material is to be deposited, depicted in FIG. 25D and FIG. 26D , (5) depositing the first material, for example nickel, depicted in FIG. 25E and FIG. 26E, (6) developing the second pattern, depicted in FIG. 25F and FIG. 26F , (7) depositing the second material within the second pattern, depicted in FIG. 25G and FIG. 26G, and either (8A) Planarizing the photoresist, first material, and second material to complete formation of the layer, depicted in FIG.
  • FIGS. 25A - 25H and 26A - 26J may be extended to the formation of additional layers. As necessary seed layer and removal operations may be added when dielectric substrates are used or when dielectric materials will be deposited during layer formation.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Laminated Bodies (AREA)

Abstract

L'invention concerne, dans divers modes de réalisation, des procédés permettant de former par accumulation des structures monocouche ou multicouche sur des substrats diélectriques ou partiellement diélectriques. Certains modes de réalisation comportent l'étape consistant à déposer une matière de couche germe directement sur les matières de substrat, et d'autres modes de réalisation utilisent une matière de couche adhésive intermédiaire. Certains modes de réalisation utilisent différentes matières de couche germe et/ou différentes matières de couche adhésive comme matières conductrices sacrificielles et structurales. Dans certains modes de réalisation, on applique de diverses manières effectivement sélectives une couche germe et/ou des matières de couche adhésive ; et dans d'autres modes de réalisation, ces matières sont appliquées en couche. Dans certains modes de réalisation, on élimine les dépôts étrangers (p. ex. dépôts sur les régions ne faisant pas partie d'une couche) en mettant en oeuvre des opérations de planarisation, et, dans d'autres modes de réalisation, les matières étrangères sont éliminées par des opérations de gravure. D'autres modes de réalisation concernent la fabrication électrochimique de structures multicouche à l'échelle mésoscopique ou microscopique, qui sont formées à l'aide d'au moins une matière structurale conductrice, d'au moins une matière sacrificielle conductrice et d'au moins une matière diélectrique. Dans certains modes de réalisation, la matière diélectrique est un photopolymère pouvant être traité par UV.
PCT/US2005/000061 2003-12-31 2005-01-03 Procedes de fabrication electrochimiques utilisant des matieres et/ou des substrats dielectriques WO2005065433A2 (fr)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US53389103P 2003-12-31 2003-12-31
US53415703P 2003-12-31 2003-12-31
US53393203P 2003-12-31 2003-12-31
US60/533,932 2003-12-31
US60/533,891 2003-12-31
US60/534,157 2003-12-31
US10/841,300 US20050032375A1 (en) 2003-05-07 2004-05-07 Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
US10/841,300 2004-05-07
US57473304P 2004-05-26 2004-05-26
US60/574,733 2004-05-26

Publications (2)

Publication Number Publication Date
WO2005065433A2 true WO2005065433A2 (fr) 2005-07-21
WO2005065433A3 WO2005065433A3 (fr) 2006-03-30

Family

ID=34753989

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/000061 WO2005065433A2 (fr) 2003-12-31 2005-01-03 Procedes de fabrication electrochimiques utilisant des matieres et/ou des substrats dielectriques

Country Status (2)

Country Link
US (1) US7517462B2 (fr)
WO (1) WO2005065433A2 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016019223A1 (fr) * 2014-08-01 2016-02-04 Western Michigan University Research Foundation Dispositifs électroniques auto-supportés
US10746612B2 (en) 2016-11-30 2020-08-18 The Board Of Trustees Of Western Michigan University Metal-metal composite ink and methods for forming conductive patterns

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070158200A1 (en) * 2002-10-29 2007-07-12 Microfabrica Inc. Electrochemical fabrication processes incorporating non-platable metals and/or metals that are difficult to plate on
US20080121343A1 (en) 2003-12-31 2008-05-29 Microfabrica Inc. Electrochemical Fabrication Methods Incorporating Dielectric Materials and/or Using Dielectric Substrates
US7372616B2 (en) * 2001-12-06 2008-05-13 Microfabrica, Inc. Complex microdevices and apparatus and methods for fabricating such devices
US20050034087A1 (en) * 2003-08-04 2005-02-10 Hamlin Christopher L. Method and apparatus for mapping platform-based design to multiple foundry processes
US8018316B2 (en) * 2007-05-11 2011-09-13 Alcatel Lucent Electroless plating production of nickel and cobalt structures
KR100992269B1 (ko) * 2008-06-02 2010-11-05 삼성전기주식회사 도금층 형성 방법
CH702151A1 (fr) * 2009-11-10 2011-05-13 Cartier Creation Studio Sa Procede de realisation de pieces micromecaniques, notamment en verre ceramique.
US9587296B2 (en) * 2012-07-03 2017-03-07 Apple Inc. Movable joint through insert
US10501857B2 (en) * 2015-08-14 2019-12-10 University Of Cincinnati Additive manufacturing by localized electrochemical deposition
TWI658763B (zh) 2017-10-11 2019-05-01 欣興電子股份有限公司 製造導線之方法
US10619059B1 (en) * 2019-06-20 2020-04-14 Science Applications International Corporation Catalyst ink for three-dimensional conductive constructs
US11867721B1 (en) 2019-12-31 2024-01-09 Microfabrica Inc. Probes with multiple springs, methods for making, and methods for using

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008102A (en) * 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298687A (en) * 1990-12-27 1994-03-29 Remtec, Inc. High-density multilayer interconnection system on a ceramic substrate for high current applications and method of manufacture
US5287619A (en) * 1992-03-09 1994-02-22 Rogers Corporation Method of manufacture multichip module substrate
US5190637A (en) * 1992-04-24 1993-03-02 Wisconsin Alumni Research Foundation Formation of microstructures by multiple level deep X-ray lithography with sacrificial metal layers
JP3269827B2 (ja) * 1997-04-04 2002-04-02 ユニバーシティ・オブ・サザン・カリフォルニア 電気化学製造のための物品、方法、および装置
JP3161362B2 (ja) * 1997-05-01 2001-04-25 富士ゼロックス株式会社 微小構造体、その製造方法、その製造装置、基板および成形型
KR100385042B1 (ko) * 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 내 일렉트로 마이그레이션의 구조물을 도핑으로 형성하는 방법
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6890829B2 (en) * 2000-10-24 2005-05-10 Intel Corporation Fabrication of on-package and on-chip structure using build-up layer process
AU2002360464A1 (en) 2001-12-03 2003-06-17 Memgen Corporation Miniature rf and microwave components and methods for fabricating such components
US7239219B2 (en) 2001-12-03 2007-07-03 Microfabrica Inc. Miniature RF and microwave components and methods for fabricating such components
WO2004101856A2 (fr) 2003-05-07 2004-11-25 Microfabrica Inc. Procedes de fabrication electrochimique de structures au moyen de masques adherents, procedes d'incorporation de feuilles dielectriques et/ou de couches de germes partiellement eliminees par planarisation
US7271022B2 (en) * 2004-12-21 2007-09-18 Touchdown Technologies, Inc. Process for forming microstructures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008102A (en) * 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016019223A1 (fr) * 2014-08-01 2016-02-04 Western Michigan University Research Foundation Dispositifs électroniques auto-supportés
EP3195337A4 (fr) * 2014-08-01 2018-04-11 Western Michigan University Research Foundation Dispositifs électroniques auto-supportés
US10746612B2 (en) 2016-11-30 2020-08-18 The Board Of Trustees Of Western Michigan University Metal-metal composite ink and methods for forming conductive patterns

Also Published As

Publication number Publication date
US7517462B2 (en) 2009-04-14
US20050173374A1 (en) 2005-08-11
WO2005065433A3 (fr) 2006-03-30

Similar Documents

Publication Publication Date Title
US20200354848A1 (en) Electrochemical Fabrication Methods Incorporating Dielectric Materials and/or Using Dielectric Substrates
US20050194258A1 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20050230261A1 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20050202180A1 (en) Electrochemical fabrication methods for producing multilayer structures including the use of diamond machining in the planarization of deposits of material
US7109118B2 (en) Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
US20030221968A1 (en) Electrochemical fabrication method and apparatus for producing three-dimensional structures having improved surface finish
US20090020433A1 (en) Electrochemical Fabrication Methods for Producing Multilayer Structures Including the use of Diamond Machining in the Planarization of Deposits of Material
US20100314257A1 (en) Methods of Reducing Interlayer Discontinuities in Electrochemically Fabricated Three-Dimensional Structures
US7517462B2 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US8262916B1 (en) Enhanced methods for at least partial in situ release of sacrificial material from cavities or channels and/or sealing of etching holes during fabrication of multi-layer microscale or millimeter-scale complex three-dimensional structures
US20160258075A1 (en) Method of Forming Electrically Isolated Structures Using Thin Dielectric Coatings
EP1576206A2 (fr) Procede et appareil pour former des structures tridimensionnelles integrees dans des circuits a semiconducteurs
US20040147124A1 (en) Non-conformable masks and methods and apparatus for forming three-dimensional structures
US7531077B2 (en) Electrochemical fabrication process for forming multilayer multimaterial microprobe structures
US20070221505A1 (en) Method of and Apparatus for Forming Three-Dimensional Structures Integral With Semiconductor Based Circuitry
US7384530B2 (en) Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
US20050176238A1 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US7527721B2 (en) Electrochemical fabrication method for producing multi-layer three-dimensional structures on a porous dielectric
US7524427B2 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20090165295A1 (en) Electrochemical Fabrication Methods Incorporating Dielectric Materials and/or Using Dielectric Substrates
US20150307997A1 (en) Methods for Fabricating Metal Structures Incorporating Dielectric Sheets
US11211228B1 (en) Neutral radical etching of dielectric sacrificial material from reentrant multi-layer metal structures
US20050215046A1 (en) Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20090057157A1 (en) EFAB Methods Including Controlled Mask to Substrate Mating
US20080142369A1 (en) Integrated Circuit Packaging Using Electrochemically Fabricated Structures

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase