WO2002017397A1 - Electronic element, method for producing an element of this type and a semiconductor element - Google Patents

Electronic element, method for producing an element of this type and a semiconductor element Download PDF

Info

Publication number
WO2002017397A1
WO2002017397A1 PCT/DE2001/003223 DE0103223W WO0217397A1 WO 2002017397 A1 WO2002017397 A1 WO 2002017397A1 DE 0103223 W DE0103223 W DE 0103223W WO 0217397 A1 WO0217397 A1 WO 0217397A1
Authority
WO
WIPO (PCT)
Prior art keywords
electrically conductive
nanotube
conductive structures
substrate
electronic element
Prior art date
Application number
PCT/DE2001/003223
Other languages
German (de)
French (fr)
Inventor
Manfred Engelhardt
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Publication of WO2002017397A1 publication Critical patent/WO2002017397A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates to an electronic element, a semiconductor element and a method for producing an electronic element.
  • metal tracks As conductor tracks made of aluminum, aluminum alloys, usually aluminum alloys with copper, or copper.
  • the metal films for the conductor tracks are usually applied to a substrate and, after carrying out a photolithography process to define the actual metal tracks, they are produced lithographically
  • Auxiliary structures for example a photo-developed mask made of photoresist, are transferred into the metal layer by means of a plasma etching process.
  • a so-called damascene process in which depressions are first formed as predetermined shapes for the metal webs to be produced, for example by means of any etching process, in particular by means of a plasma etching process.
  • the depressions are produced in a substrate, ie in an electrically non-conductive intermetallic dielectric as the substrate, usually made of silicon dioxide or an organic low-K material or an inorganic low-K material.
  • the depressions are usually covered with a barrier layer, for example made of tantalum (Ta), tantalum nitride (TaN) and titanium nitride (TiN) coated.
  • the depressions are then filled with the actual metal, for example aluminum, an aluminum alloy or copper, usually even overfilled, ie the metal projects beyond the respective depression.
  • CMP process chemical-mechanical polishing process
  • carbon nanotubes are tubular structures made of carbon that have an electrical conductivity that significantly exceeds the electrical conductivity of even the best metallic conductors such as copper or silver.
  • Carbon nanotubes usually have a diameter of 1 nm to a few 10 nm and a length of up to a few 10 ⁇ m.
  • [4] describes a structure in which single-wall carbon nanotubes (semiconducting or metallically conductive) are used for one to connect two elements in an active component.
  • the invention is based on the problem of achieving a wiring of a plurality of electrically conductive structures of an electronic element which are introduced into a substrate and which also enables reliable wiring even in the case of dimensions which are considerably reduced compared to the prior art.
  • An electronic element has a substrate in which a plurality of introduced electrically conductive structures, in particular the conductor tracks of the electronic element, are introduced.
  • the respective electrically conductive structures are each electrically insulated from one another, for example in that the substrate itself is not electrically conductive.
  • the substrate is made of silicon dioxide or silicon nitride.
  • At least one nanotube is provided as a connecting element, clearly as a wiring element between two electrically conductive structures, with which an electrical connection of the at least two electrically conductive structures is achieved.
  • the nanotube is connected at one end to a first electrically conductive structure and at its second end to a second electrically conductive structure.
  • the invention can clearly be seen in the fact that an electrically conductive, lateral connection between conductor tracks of an electronic element, for example a semiconductor component, was created with carbon nanotubes, as a result of which wiring in microelectronics is made possible even in the case of dimensions which are further reduced in size and are not susceptible to faults.
  • a catalyst layer is applied to a substrate having a plurality of electrically conductive structures which are introduced into the substrate and are each electrically insulated from one another.
  • the catalyst layer has material which has a catalytic effect for forming a nanotube, in particular for forming a carbon nanotube.
  • Part of the catalyst layer is removed, so that the electrically conductive structures covered with the catalyst layer are each electrically insulated from one another. This is done for example by means of a CMP process.
  • At least two electrically conductive structures are connected to one another on the catalyst layer, for example in accordance with the method described in [3], by at least one nanotube as a connecting element to the conductive ones
  • Structures is applied and brought into a covalent bond with the elements of the catalyst layer or the elements of the conductive structures such that the nanotube is connected at one end to a first electrically conductive structure and at its second end to a second electrically conductive structure.
  • the nanotube can be grown or deposited on the catalyst layer.
  • the electrically conductive structures are introduced into the substrate in such a way that the surface of the conductive structures lies below the surface of the substrate.
  • the electrically conductive structures each have a metallization and a metal contacting element applied to the metallization.
  • the metal contacting element can contain tungsten.
  • the electrically conductive structures each have a first layer with an electrically conductive material and a second layer applied to the first layer and having a material which is catalytically active to form a nanotube.
  • At least one of the following materials can be used as the catalytically active material: cobalt, • iron,
  • a material combination of at least two of the above materials is provided.
  • Multi-walled carbon nanotubes are preferably used for the wiring and are thus applied as a connecting element to the conductive structures and brought into a covalent bond with the elements of the catalyst layer or the elements of the conductive structures.
  • An insulation layer preferably made of silicon dioxide (SiO 2), is then preferably deposited in order to electrically isolate the individual multi-walled carbon nanotubes from one another.
  • SiO 2 silicon dioxide
  • Figures la to le each show a cross section through an electronic semiconductor element with interconnects to be connected to each other at different process times during the manufacture of the wiring.
  • Fig.la shows a substrate 100 made of silicon dioxide or silicon nitride, in which substrate 100 metallizations 101 are introduced.
  • the substrate 100 consists of substrate material that is electrically non-conductive.
  • the metallizations 101 are thus electrically insulated from one another.
  • trenches 102 are introduced into the substrate above the metallizations as electrically conductive structures which are covered with so-called metal plugs, i.e. are generally filled with electrically conductive material, such as tungsten or any other suitable electrically conductive material 103, up to surface 104 of substrate 100.
  • the upper surface 105 of the filling material 103 at this time of the process is approximately at the same level as the surface 104 of the substrate 100.
  • the lower surface 106 of the filling material 103 is electrically conductively connected to the metallization 101.
  • Method step by means of a suitable recess process in accordance with this exemplary embodiment by means of a wet chemical etching process or by means of a dry etching process, a predetermined amount of the filler material is removed starting from the upper surface 105 of the filler material 103, so that a new upper surface 107 of the filler material formed in this way 103 is approximately a few nm to a few 10 nm below the surface 104 of the substrate 100.
  • a catalyst layer 108 is applied over the entire surface of the resulting element, for example by means of a deposition process from the gas phase, by means of a vapor deposition process or a sputtering process.
  • the catalyst layer 108 has cobalt as the material which is catalytically active for a further deposition of a carbon nanotube (cf. FIG. 1c).
  • the catalyst layer 108 is partially removed again by means of a chemical mechanical polishing process (CMP process) (cf. FIG. 1d), so that only a remaining catalyst layer 109 with a thickness of a few nanometers is only immediately above the new upper surface 107 of the filler material 103 is available.
  • CMP process chemical mechanical polishing process
  • the surface 107 of the substrate 100 is no longer covered with the catalyst layer 108 after the CMP process has been carried out.
  • the upper surface 110 of the residual catalyst layer 109 formed lies below the surface 107 of the substrate 100.
  • the 111 are connected to the filler material 103 of the second electrically conductive structure via metal atoms of the residual catalyst layer 109 and to the further metallization 101 via the second filler material 103.
  • the two metallizations 101 shown in Fig.la to Fig.le are over the respective filler materials 103 and the multi-wall carbon nanotubes 111, which are electrically conductively coupled to the two filler materials 103 via the remaining catalyst layers 109, are electrically conductively connected to one another.
  • the invention can clearly be seen in that wiring of electrically conductive structures in an electronic component, preferably in a semiconductor component, is made possible by means of multi-walled carbon nanotubes.
  • An insulation layer made of silicon dioxide (SiO 2) is then deposited in order to electrically isolate the individual multi-walled carbon nanotubes from one another.
  • Silicon dioxide is used for electrical insulation of the wiring.

Abstract

The invention relates to an electronic element, which has a large number of electrically conductive structures (102, 102) that have been implanted in a substrate, each structure being electrically isolated from the another. One or more nanotubes (111) are used as a connecting element for electrically connecting two conductive structures.

Description

Beschreibungdescription
Elektronisches Element, Verfahren zum Herstellen eines solchen und HalbleiterelementElectronic element, method of manufacturing such and semiconductor element
Die Erfindung betrifft ein elektronisches Element, ein Halbleiterelement sowie ein Verfahren zum Herstellen eines elektronischen Elements.The invention relates to an electronic element, a semiconductor element and a method for producing an electronic element.
Zur Verdrahtung bzw. elektrischen Kopplung einzelner elektrisch leitender Strukturen ist es im Rahmen der Mikroelektronik bekannt, Metallbahnen als Leiterbahnen aus Aluminium, aus Aluminium-Legierungen, üblicherweise Aluminium-Legierungen mit Kupfer, oder aus Kupfer zu verwenden.For the wiring or electrical coupling of individual electrically conductive structures, it is known in the context of microelectronics to use metal tracks as conductor tracks made of aluminum, aluminum alloys, usually aluminum alloys with copper, or copper.
Die Metallfilme für die Leiterbahnen werden üblicherweise auf ein Substrat aufgebrach und nach Durchführung eines Photolithographie-Verfahrens zur Definition der tatsächlichen Metallbahnen werden die lithographisch erzeugtenThe metal films for the conductor tracks are usually applied to a substrate and, after carrying out a photolithography process to define the actual metal tracks, they are produced lithographically
Hilfsstrukturen, beispielsweise eine photoentwickelte Maske aus Photolack, mittels eines Plasma-Ätzverfahrens in die Metallschicht übertragen.Auxiliary structures, for example a photo-developed mask made of photoresist, are transferred into the metal layer by means of a plasma etching process.
Weiterhin ist ein sogenanntes Damascene-Verfahren bekannt, bei dem zunächst Vertiefungen als vorgegebene Formen für die zu erzeugenden Metallbahnen beispielsweise mittels eines beliebigen Ätzverfahrens gebildet werden, insbesondere mittels eines Plasma-Ätzverfahrens.Furthermore, a so-called damascene process is known, in which depressions are first formed as predetermined shapes for the metal webs to be produced, for example by means of any etching process, in particular by means of a plasma etching process.
Die Vertiefungen werden in einem Substrat, d.h. in einem elektrisch nicht leitenden Intermetall-Dielektrikum als Substrat, üblicherweise aus Silizium-Dioxid oder ein organisches Niedrig-K-Material oder ein anorganisches Niedrig-K-Material, erzeugt. Die Vertiefungen werden üblicherweise mit einer Barriereschicht, beispielsweise aus Tantal (Ta) , Tantal-Nitrid (TaN) und Titan-Nitrid (TiN) beschichtet . Anschließend werden die Vertiefungen mit dem eigentlichen Metall, beispielsweise Aluminium, einer Aluminium-Legierung oder Kupfer, gefüllt, üblicherweise sogar überfüllt, d.h. das Metall steht über die jeweilige Vertiefung hinaus.The depressions are produced in a substrate, ie in an electrically non-conductive intermetallic dielectric as the substrate, usually made of silicon dioxide or an organic low-K material or an inorganic low-K material. The depressions are usually covered with a barrier layer, for example made of tantalum (Ta), tantalum nitride (TaN) and titanium nitride (TiN) coated. The depressions are then filled with the actual metal, for example aluminum, an aluminum alloy or copper, usually even overfilled, ie the metal projects beyond the respective depression.
In einem weiteren Schritt wird das über die Vertiefungen hinausragende Metall mittels eines sogenannten Chemisch- Mechanischen Polierverfahrens (CMP-Verfahren) restefrei entfernt.In a further step, the metal protruding beyond the depressions is removed without residue using a so-called chemical-mechanical polishing process (CMP process).
Eine fortschreitende Miniaturisierung im Bereich der erzeugten elektronischen Schaltungen erschwert jedoch eine Realisierung einer Verdrahtung mit diesem bekannten Verfahren aufgrund der Annäherung an physikalische und technologische Grenzen.However, progressive miniaturization in the area of the electronic circuits produced makes it more difficult to implement wiring using this known method because of the approach to physical and technological limits.
Ferner sind aus [1] Grundlagen über Kohlenstoff-Nanoröhren sowie Verfahren zu deren Herstellung bekannt.Fundamentals of carbon nanotubes and processes for their production are also known from [1].
Wie in [1] beschrieben, sind Kohlenstoff-Nanoröhren röhrenförmige Strukturen aus Kohlenstoff, die eine elektrische Leitfähigkeit aufweisen, die die elektrische Leitfähigkeit selbst der besten metallischen Leiter wie Kupfer oder Silber wesentlich übertreffen.As described in [1], carbon nanotubes are tubular structures made of carbon that have an electrical conductivity that significantly exceeds the electrical conductivity of even the best metallic conductors such as copper or silver.
Weiterhin ist aus [2] ein Verfahren zum Herstellen von Kohlenstoff-Nanoröhren bekannt, bei dem ein selbstjustiertes Aufwachsen der Kohlenstoff-Nanoröhren erfolgt.Furthermore, from [2] a method for producing carbon nanotubes is known, in which the carbon nanotubes are grown in a self-aligned manner.
Kohlenstoff-Nanoröhren besitzen üblicherweise einen Durchmesser von 1 nm bis zu einigen 10 nm und eine Länge von bis zu einigen 10 μm.Carbon nanotubes usually have a diameter of 1 nm to a few 10 nm and a length of up to a few 10 μm.
Weiterhin ist aus [3] bekannt, bei in einem Substrat eingebrachten quaderförmigen Inseln aus für das Aufwachsen einer Kohlenstoff-Nanoröhre katalytisch wirkendem Material, jeweils zwischen zwei Inseln Kohlenstoff-Nanoröhren derart aufzuwachsen, dass sie auf beiden Inseln jeweils mit den katalytisch wirkenden Materialien eine kovalente Bindung eingehen.It is also known from [3], in the case of cuboid islands made of material which is catalytically active for the growth of a carbon nanotube, which are introduced into a substrate, to grow between two islands of carbon nanotubes in such a way that they form a covalent bond with the catalytically active materials on both islands.
In [4] ist eine Struktur beschrieben, bei der einwandige Kohlenstoff-Nanoröhren (halbleitend oder metallisch leitend) für ein zur Verbindung zweier Elemente in einem aktiven Bauelement verwendet werden.[4] describes a structure in which single-wall carbon nanotubes (semiconducting or metallically conductive) are used for one to connect two elements in an active component.
Der Erfindung liegt das Problem zugrunde, eine Verdrahtung einer Mehrzahl von in einem Substrat eingebrachten elektrisch leitenden Strukturen eines elektronischen Elements zu erreichen, die auch bei gegenüber dem Stand der Technik erheblich verringerten Dimensionen noch eine verlässliche Verdrahtung ermöglicht.The invention is based on the problem of achieving a wiring of a plurality of electrically conductive structures of an electronic element which are introduced into a substrate and which also enables reliable wiring even in the case of dimensions which are considerably reduced compared to the prior art.
Das Problem wird durch das elektronische Element, das Halbleiterbauelement sowie durch das Verfahren zum Herstellen eines elektronischen Elements mit den Merkmalen gemäß den unabhängigen Patentansprüchen gelöst .The problem is solved by the electronic element, the semiconductor component and by the method for producing an electronic element with the features according to the independent patent claims.
Ein elektronisches Element weist ein Substrat auf, in dem eine Mehrzahl eingebrachter elektrisch leitender Strukturen, insbesondere die Leiterbahnen des elektronischen Elements, eingebracht sind. Die jeweiligen elektrisch leitenden Strukturen sind jeweils elektrisch voneinander isoliert, beispielsweise dadurch, dass das Substrat selbst elektrisch nicht leitend ist. So ist beispielsweise das Substrat aus Silizium-Dioxid oder Silizium-Nitrid.An electronic element has a substrate in which a plurality of introduced electrically conductive structures, in particular the conductor tracks of the electronic element, are introduced. The respective electrically conductive structures are each electrically insulated from one another, for example in that the substrate itself is not electrically conductive. For example, the substrate is made of silicon dioxide or silicon nitride.
Als Verbindungselement, anschaulich als Verdrahtungselement zwischen zwei elektrisch leitenden Strukturen, ist mindestens eine Nanoröhre vorgesehen, mit der eine elektrische Verbindung der mindestens zwei elektrisch leitenden Strukturen erreicht wird. Die Nanoröhre ist an ihrem einen Ende mit einer ersten elektrisch leitenden Struktur und an ihrem zweiten Ende mit einer zweiten elektrisch leitenden Struktur verbunden.At least one nanotube is provided as a connecting element, clearly as a wiring element between two electrically conductive structures, with which an electrical connection of the at least two electrically conductive structures is achieved. The nanotube is connected at one end to a first electrically conductive structure and at its second end to a second electrically conductive structure.
Anschaulich kann die Erfindung darin gesehen werden, dass eine elektrisch leitende, laterale Verbindung zwischen Leiterbahnen eines elektronischen Elements, beispielsweise eines Halbleiterbauelements, mit Kohlenstoff-Nanoröhren geschaffen wurde, wodurch eine Verdrahtung in der Mikroelektronik selbst bei weiter erheblich verringerten Dimensionen störungsunanfällig ermöglicht wird.The invention can clearly be seen in the fact that an electrically conductive, lateral connection between conductor tracks of an electronic element, for example a semiconductor component, was created with carbon nanotubes, as a result of which wiring in microelectronics is made possible even in the case of dimensions which are further reduced in size and are not susceptible to faults.
Bei einem Verfahren zum Herstellen eines elektronischen Elements wird auf einem Substrat mit einer Mehrzahl in dem Substrat eingebrachter elektrisch leitender Strukturen, die jeweils elektrisch voneinander isoliert sind, eine Katalysatorschicht aufgebracht. Die Katalysatorschicht weist Material auf, welches zum Bilden einer Nanoröhre, insbesondere zum Bilden einer Kohlenstoff-Nanoröhre, katalytisch wirkt.In a method for producing an electronic element, a catalyst layer is applied to a substrate having a plurality of electrically conductive structures which are introduced into the substrate and are each electrically insulated from one another. The catalyst layer has material which has a catalytic effect for forming a nanotube, in particular for forming a carbon nanotube.
Ein Teil der Katalysatorschicht wird entfernt, so dass die mit der Katalysatorschicht bedeckten elektrisch leitenden Strukturen jeweils elektrisch voneinander isoliert sind. Dies erfolgt beispielsweise mittels eines CMP-Verfahrens .Part of the catalyst layer is removed, so that the electrically conductive structures covered with the catalyst layer are each electrically insulated from one another. This is done for example by means of a CMP process.
Auf der Katalysatorschicht werden beispielsweise gemäß dem in [3] beschriebenen Verfahren zumindest zwei elektrisch leitende Strukturen miteinander verbunden, indem mindestens eine Nanoröhre als Verbindungselement auf die leitendenAt least two electrically conductive structures are connected to one another on the catalyst layer, for example in accordance with the method described in [3], by at least one nanotube as a connecting element to the conductive ones
Strukturen aufgebracht wird und in eine kovalente Bindung mit den Elementen der Katalysatorschicht oder den Elementen der leitenden Strukturen gebracht wird derart, dass die Nanoröhre an ihrem einen Ende mit einer ersten elektrisch leitenden Struktur verbunden ist und an ihrem zweiten Ende mit einer zweiten elektrisch leitenden Struktur. Die Nanoröhre kann auf der Katalysatorschicht aufgewachsen oder abgeschieden werden.Structures is applied and brought into a covalent bond with the elements of the catalyst layer or the elements of the conductive structures such that the nanotube is connected at one end to a first electrically conductive structure and at its second end to a second electrically conductive structure. The nanotube can be grown or deposited on the catalyst layer.
Gemäß einer weiteren Ausgestaltung der Erfindung ist es vorgesehen, dass die elektrisch leitenden Strukturen in das Substrat derart eingebracht werden, dass die Oberfläche der leitenden Strukturen unterhalb der Oberfläche des Substrats liegt .According to a further embodiment of the invention, it is provided that the electrically conductive structures are introduced into the substrate in such a way that the surface of the conductive structures lies below the surface of the substrate.
Durch diese Weiterbildung wird eine weitere Erhöhung der Robustheit der erzeugten Verbindungen und damit einer Verminderung der Störungsanfälligkeit des elektronischen Elements insbesondere durch ein Verringern der Störanfälligkeit an den Kontaktstellen zwischen der Katalysatorschicht und den Enden der Kohlenstoff-Nanoröhre erreicht, da diese durch die Kanten des Substrats an den Übergängen zu der Oberfläche der leitenden Strukturen gegenüber einer externen Krafteinwirkung geschützt sind.This further development further increases the robustness of the connections produced and thus a reduction in the susceptibility of the electronic element to failure, in particular by reducing the susceptibility to failure at the contact points between the catalyst layer and the ends of the carbon nanotube, since this occurs through the edges of the substrate the transitions to the surface of the conductive structures are protected against external force.
Gemäß einer weiteren Ausgestaltung der Erfindung ist es vorgesehen, dass zumindest ein Teil der elektrisch leitenden Strukturen jeweils eine Metallisierung und ein auf die Metallisierung aufgebrachtes Metall-Kontaktierungselement aufweist .According to a further embodiment of the invention, it is provided that at least some of the electrically conductive structures each have a metallization and a metal contacting element applied to the metallization.
Das Metall-Kontaktierungselement kann Wolfram enthalten.The metal contacting element can contain tungsten.
Gemäß einer weiteren Ausgestaltung der Erfindung ist es vorgesehen, dass zumindest ein Teil der elektrisch leitenden Strukturen jeweils eine erste Schicht aufweist mit einem elektrisch leitenden Material sowie eine auf der ersten Schicht aufgebrachte zweite Schicht, die ein zum Bilden einer Nanoröhre katalytisch wirkendes Material aufweist.According to a further embodiment of the invention, it is provided that at least some of the electrically conductive structures each have a first layer with an electrically conductive material and a second layer applied to the first layer and having a material which is catalytically active to form a nanotube.
Als katalytisch wirkendes Material kann zumindest eines der folgenden Materialien verwendet werden: • Kobalt, • Eisen,At least one of the following materials can be used as the catalytically active material: cobalt, • iron,
• Aluminium,• aluminum,
• Platin, oder• platinum, or
• eine Materialkombination aus zumindest zwei der oben genannten Materialien.A material combination of at least two of the above materials.
Vorzugsweise werden für die Verdrahtung mehrwandige Kohlenstoff-Nanoröhren verwendet und somit als Verbindungselement auf die leitenden Strukturen aufgebracht und in eine kovalente Bindung mit den Elementen der Katalysatorschicht oder den Elementen der leitenden Strukturen gebracht. Anschließend wird vorzugsweise eine Isolationsschicht, vorzugsweise aus Siliziumdioxid (Siθ2) abgeschieden, um die einzelnen mehrwandigen Kohlenstoff- Nanoröhren voneinander elektrisch zu isolieren. In diesem Zusammenhang ist anzumerken, dass sich insbesondere die mehrwandigen Kohlenstoff-Nanoröhren sehr gut für die Verdrahtung eignen, da diese bei der Siθ2 -Abscheidung nicht zerstört werden.Multi-walled carbon nanotubes are preferably used for the wiring and are thus applied as a connecting element to the conductive structures and brought into a covalent bond with the elements of the catalyst layer or the elements of the conductive structures. An insulation layer, preferably made of silicon dioxide (SiO 2), is then preferably deposited in order to electrically isolate the individual multi-walled carbon nanotubes from one another. In this context, it should be noted that the multi-walled carbon nanotubes in particular are very suitable for wiring since they are not destroyed during the SiO 2 deposition.
Ein Ausführungsbeispiel der Erfindung ist in den Figuren dargestellt und wird im Weiteren näher erläutert.An embodiment of the invention is shown in the figures and is explained in more detail below.
Es zeigenShow it
Figuren la bis le jeweils einen Querschnitt durch ein elektronisches Halbleiterelement mit miteinander zu verbindenden elektrischen Leiterbahnen zu unterschiedlichen Verfahrenszeitpunkten während der Herstellung der Verdrahtung.Figures la to le each show a cross section through an electronic semiconductor element with interconnects to be connected to each other at different process times during the manufacture of the wiring.
Fig.la zeigt ein Substrat 100 aus Silizium-Dioxid oder Silizium-Nitrid, in welches Substrat 100 Metallisierungen 101 eingebracht sind.Fig.la shows a substrate 100 made of silicon dioxide or silicon nitride, in which substrate 100 metallizations 101 are introduced.
Das Substrat 100 besteht aus Substratmaterial, welches elektrisch nicht leitend ist. Somit sind die Metallisierungen 101 voneinander elektrisch isoliert .The substrate 100 consists of substrate material that is electrically non-conductive. The metallizations 101 are thus electrically insulated from one another.
Zur elektrischen Kontaktierung der beiden in das Substrat 100 eingebrachten Metallisierungen 101 sind in das Substrat oberhalb der Metallisierungen Gräben 102 als elektrisch leitende Strukturen eingebracht, die mit sogenanntem Metall- Plugs, d.h. allgemein mit elektrisch leitendem Material, beispielsweise Wolfram oder einem anderen beliebigen geeigneten elektrisch leitenden Material 103 gefüllt sind bis zu der Oberfläche 104 des Substrats 100.For the electrical contacting of the two metallizations 101 introduced into the substrate 100, trenches 102 are introduced into the substrate above the metallizations as electrically conductive structures which are covered with so-called metal plugs, i.e. are generally filled with electrically conductive material, such as tungsten or any other suitable electrically conductive material 103, up to surface 104 of substrate 100.
Dies bedeutet anschaulich, dass die obere Oberfläche 105 des Füllmaterials 103 zu diesem VerfahrensZeitpunkt in etwa auf gleicher Höhe ist mit der Oberfläche 104 des Substrats 100.This clearly means that the upper surface 105 of the filling material 103 at this time of the process is approximately at the same level as the surface 104 of the substrate 100.
Die untere Oberfläche 106 des Füllmaterials 103 ist elektrisch leitend mit der Metallisierung 101 verbunden.The lower surface 106 of the filling material 103 is electrically conductively connected to the metallization 101.
Wie in Fig.lb gezeigt ist, wird in einem weiterenAs shown in Fig.lb, in another
Verfahrensschritt mittels eines geeigneten Recess-Prozesses, gemäß diesem Ausführungsbeispiel mittels eines Nasschemischen Ätzverfahrens oder mittels eines Trocken- Ätzverfahrens eine vorgegebene Menge des Füllmaterials ausgehend von der oberen Oberfläche 105 des Füllmaterials 103 entfernt, so dass eine auf diese Weise gebildete neue obere Oberfläche 107 des Füllmaterials 103 ungefähr einigen nm bis einigen 10 nm unterhalb der Oberfläche 104 des Substrats 100 liegt.Method step by means of a suitable recess process, in accordance with this exemplary embodiment by means of a wet chemical etching process or by means of a dry etching process, a predetermined amount of the filler material is removed starting from the upper surface 105 of the filler material 103, so that a new upper surface 107 of the filler material formed in this way 103 is approximately a few nm to a few 10 nm below the surface 104 of the substrate 100.
In einem weiteren Schritt wird eine Katalysatorschicht 108 auf das resultierende Element ganzflächig aufgebracht, beispielsweise mittels eines Abscheideverfahrens aus der Gasphase, mittels eines Aufdampf-Verfahrens oder eines Sputter-Verfahrens . Die Katalysatorschicht 108 weist gemäß diesem Ausführungsbeispiel Kobalt als für ein im weiteren vorgesehenes Abscheiden einer Kohlenstoff-Nanoröhre katalytisch wirkendes Material auf (vgl. Fig.lc) .In a further step, a catalyst layer 108 is applied over the entire surface of the resulting element, for example by means of a deposition process from the gas phase, by means of a vapor deposition process or a sputtering process. According to this exemplary embodiment, the catalyst layer 108 has cobalt as the material which is catalytically active for a further deposition of a carbon nanotube (cf. FIG. 1c).
Die Katalysatorschicht 108 wird teilweise mittels eines Chemisch-Mechanischen Polierverfahrens (CMP-Verfahren) wieder entfernt (vgl. Fig.ld), so dass nur noch eine Rest- Katalysatorschicht 109 der Dicke einiger Nanometer lediglich unmittelbar über der neuen oberen Oberfläche 107 des Füllmaterials 103 vorhanden ist.The catalyst layer 108 is partially removed again by means of a chemical mechanical polishing process (CMP process) (cf. FIG. 1d), so that only a remaining catalyst layer 109 with a thickness of a few nanometers is only immediately above the new upper surface 107 of the filler material 103 is available.
Die Oberfläche 107 des Substrats 100 ist jedoch nach dem durchgeführten CMP-Verfahren nicht mehr mit der Katalysatorschicht 108 bedeckt.However, the surface 107 of the substrate 100 is no longer covered with the catalyst layer 108 after the CMP process has been carried out.
Es ist in diesem Zusammenhang anzumerken, dass die obere Oberfläche 110 der gebildeten Rest-Katalysatorschicht 109 unterhalb der Oberfläche 107 des Substrats 100 liegt.In this connection, it should be noted that the upper surface 110 of the residual catalyst layer 109 formed lies below the surface 107 of the substrate 100.
In einem weiteren Schritt, dessen Ergebnis in Fig.le dargestellt ist, wird das in [3] beschriebene Verfahren auf das in Fig.ld dargestellte sich ergebende Element ausgeführt, so dass Kohlenstoff-Nanoröhren 111 abgeschieden werden derart, dass jeweils ein erstes Ende 112 der Kohlenstoff- Nanoröhren 111 kovalent gebunden ist mit Metallatomen der Rest -Katalysatorschicht 109, gemäß diesem Ausführungsbeispiel also mit den Kobalt-Atomen.In a further step, the result of which is shown in FIG. 1, the method described in [3] is carried out on the resulting element shown in FIG. 1, so that carbon nanotubes 111 are deposited in such a way that a respective first end 112 the carbon nanotubes 111 is covalently bonded to metal atoms of the rest of the catalyst layer 109, that is, according to this exemplary embodiment, to the cobalt atoms.
Die jeweiligen zweiten Enden 113 der Kohlenstoff-NanoröhrenThe respective second ends 113 of the carbon nanotubes
111 sind über Metallatome der Rest-Katalysatorschicht 109 mit dem Füllmaterial 103 der zweiten elektrisch leitenden Struktur und über das zweite Füllmaterial 103 mit der weiteren Metallisierung 101 verbunden.111 are connected to the filler material 103 of the second electrically conductive structure via metal atoms of the residual catalyst layer 109 and to the further metallization 101 via the second filler material 103.
Somit sind die beiden in Fig.la bis Fig.le dargestellten Metallisierungen 101 über die jeweiligen Füllmaterialien 103 und die mit den beiden Füllmaterialien 103 über die Rest- Katalysatorschichten 109 elektrisch leitend gekoppelten mehrwandigen Kohlenstoff-Nanoröhren 111 miteinander elektrisch leitend verbunden.Thus, the two metallizations 101 shown in Fig.la to Fig.le are over the respective filler materials 103 and the multi-wall carbon nanotubes 111, which are electrically conductively coupled to the two filler materials 103 via the remaining catalyst layers 109, are electrically conductively connected to one another.
Anschaulich kann die Erfindung darin gesehen werden, dass eine Verdrahtung von elektrisch leitenden Strukturen in einem elektronischen Bauelement, vorzugsweise in einem Halbleiterbauelement mittels mehrwandiger Kohlenstoff- Nanoröhren ermöglicht wird.The invention can clearly be seen in that wiring of electrically conductive structures in an electronic component, preferably in a semiconductor component, is made possible by means of multi-walled carbon nanotubes.
Damit ist eine elektrische Verbindung der Metallisierungen 101 mit sehr geringem lateralen wie auch vertikalen Platzbedarf realisiert.An electrical connection of the metallizations 101 is thus achieved with a very small lateral and vertical space requirement.
Somit ist ein in Fig.le dargestelltes elektronisches ElementThus is an electronic element shown in Fig.le.
114 gebildet, bei dem zwei elektrisch leitende Strukturen, die eigentlich voneinander elektrisch isoliert sind durch das Substratmaterial des Substrats 100 mittels der Kohlenstoff- Nanoröhren 111 elektrisch leitend miteinander verbunden sind.114 is formed, in which two electrically conductive structures that are actually electrically insulated from one another are electrically conductively connected to one another by the substrate material of the substrate 100 by means of the carbon nanotubes 111.
Anschließend wird eine Isolationsschicht (nicht dargestellt) , aus Siliziumdioxid (Siθ2) abgeschieden, um die einzelnen mehrwandigen Kohlenstoff-Nanoröhren voneinander elektrisch zu isolieren. Anders ausgedrückt bedeutet dies, dass dasAn insulation layer (not shown) made of silicon dioxide (SiO 2) is then deposited in order to electrically isolate the individual multi-walled carbon nanotubes from one another. In other words, that means that
Siliziumdioxid zur elektrischen Isolation der Verdrahtung dient . i Silicon dioxide is used for electrical insulation of the wiring. i
In diesem Dokument sind folgende Veröffentlichungen zitiert:The following publications are cited in this document:
[1] P.M. Ajayan, Nanotubes from Carbon, Che . Rev. 99, S. 1787 - 1799, 1999[1] P.M. Ajayan, Nanotubes from Carbon, Che. Rev. 99, pp. 1787-1799, 1999
[2] Z.F. Ren et al, Synthesis of large arrays of well- aligned carbon nanotubes on glass, Science, Vol. 282, S. 1105 - 1107, November 1998[2] Z.F. Ren et al, Synthesis of large arrays of well-aligned carbon nanotubes on glass, Science, Vol. 282, pp. 1105-1107, November 1998
[3] Jing Kong et al, Synthesis of individual single-walled carbon nanotubes on patterned Silicon wafers, Letters to Nature, Vol. 395, S. 878 - 881, Oktober 1998[3] Jing Kong et al, Synthesis of individual single-walled carbon nanotubes on patterned Silicon wafers, Letters to Nature, Vol. 395, pp. 878-881, October 1998
[4] H. T. Soh, Integrated nanotube circuits: Controlled growth and oh ic contacting of single-walled carbon nanotubes, Applied Physics Letters, Vol. 75, Nr. 5, S. 627 - 629, August 1999 [4] HT Soh, Integrated nanotube circuits: Controlled growth and oh ic contacting of single-walled carbon nanotubes, Applied Physics Letters, Vol. 75, No. 5, pp. 627-629, August 1999
BezugszeichenlisteLIST OF REFERENCE NUMBERS
100 Substrat100 substrate
101 Metallisierung101 metallization
102 Graben102 trench
103 Füllmaterial103 filling material
104 Oberfläche Substrat104 surface substrate
105 Obere Oberfläche Füllmaterial105 Top surface of filling material
106 Untere Oberfläche Füllmaterial106 Bottom surface of filler material
107 Neue obere Oberfläche Füllmaterial107 New top surface of filling material
108 Katalysatorschicht108 catalyst layer
109 Rest-Katalysatorschicht109 residual catalyst layer
110 Oberfläche Rest-Katalysatorschicht110 Surface of the remaining catalyst layer
111 Kohlenstoff-Nanoröhre111 carbon nanotube
112 Erstes Ende Kohlenstoff-Nanoröhre112 First end carbon nanotube
113 Zweites Ende Kohlenstoff-Nanoröhre113 Second end carbon nanotube
114 Elektronisches Element 114 electronic element

Claims

Patentansprüche claims
1. Elektronisches Element1. Electronic element
• mit einem Substrat, • mit einer Mehrzahl in dem Substrat eingebrachter elektrisch leitender Strukturen, die jeweils elektrisch voneinander isoliert sind,With a substrate, with a plurality of electrically conductive structures which are introduced into the substrate and are each electrically insulated from one another,
• mit mindestens einer Nanoröhre als elektrisches Verbindungselement zum elektrischen Verbinden zumindest zweier elektrisch leitender Strukturen miteinander,With at least one nanotube as an electrical connecting element for electrically connecting at least two electrically conductive structures to one another,
• wobei die Nanoröhre an ihrem einen Ende mit einer ersten elektrisch leitenden Struktur verbunden ist und an ihrem zweiten Ende mit einer zweiten elektrisch leitenden Struktur verbunden ist.• The nanotube is connected at one end to a first electrically conductive structure and at its second end to a second electrically conductive structure.
2. Elektronisches Element nach Anspruch 1, bei dem die elektrisch leitenden Strukturen Leiterbahnen sind.2. Electronic element according to claim 1, wherein the electrically conductive structures are conductor tracks.
3. Elektronisches Element nach Anspruch 1 oder 2, bei dem die Nanoröhre eine Kohlenstoff-Nanoröhre ist.3. Electronic element according to claim 1 or 2, wherein the nanotube is a carbon nanotube.
4. Elektronisches Element nach Anspruch 3, bei dem die Kohlenstoff-Nanoröhre eine mehrwandige Kohlenstoff-Nanoröhre ist.4. Electronic element according to claim 3, wherein the carbon nanotube is a multi-walled carbon nanotube.
5. Elektronisches Element nach einem der Ansprüche 1 bis 4, bei dem zumindest ein Teil der elektrisch leitenden Strukturen jeweils eine Metallisierung und ein auf der Metallisierung aufgebrachtes Metall-Kontaktierungselement aufweist .5. Electronic element according to one of claims 1 to 4, in which at least some of the electrically conductive structures each have a metallization and a metal contacting element applied to the metallization.
6. Elektronisches Element nach Anspruch 5, bei dem das Metall-Kontaktierungselement Wolfram enthält.6. Electronic element according to claim 5, wherein the metal contacting element contains tungsten.
7. Elektronisches Element nach einem der Ansprüche 1 bis 6, bei dem zumindest ein Teil der elektrisch leitenden Strukturen jeweils7. Electronic element according to one of claims 1 to 6, in which at least some of the electrically conductive structures each
• eine erste Schicht aufweist mit einem elektrisch leitenden Material, sowie • eine auf der ersten Schicht aufgebrachte zweite Schicht, die zum Bilden einer Nanoröhre katalytisch wirkendes Material enthält.• has a first layer with an electrically conductive material, and • a second layer applied to the first layer, which contains catalytically active material to form a nanotube.
8. Elektronisches Element nach Anspruch 7, bei dem das katalytisch wirkende Material zumindest eines der folgenden Materialien enthält:8. Electronic element according to claim 7, wherein the catalytically active material contains at least one of the following materials:
• Kobalt,Cobalt,
• Eisen,• iron,
• Aluminium, • Platin, oder• aluminum, • platinum, or
• eine Materialkombination aus zumindest zwei der oben genannten Materialien.A material combination of at least two of the above materials.
9. Halbleiterbauelement mit einem Elektronischen Element nach einem der Ansprüche 1 bis 8.9. Semiconductor component with an electronic element according to one of claims 1 to 8.
10. Verfahren zum Herstellen eines Elektronischen Elements,10. A method of manufacturing an electronic element,
• bei dem auf einem Substrat mit einer Mehrzahl in dem Substrat eingebrachter elektrisch leitender Strukturen, die jeweils elektrisch voneinander isoliert sind, eine Katalysatorschicht aufgebracht wird, wobei die Katalysatorschicht zum Bilden einer Nanoröhre katalytisch wirkendes Material enthält,In which a catalyst layer is applied to a substrate with a plurality of electrically conductive structures introduced into the substrate, each of which is electrically insulated from one another, the catalyst layer containing catalytically active material to form a nanotube,
• bei dem ein Teil der Katalysatorschicht entfernt wird, so dass die mit der Katalysatorschicht bedeckten elektrisch leitenden Strukturen jeweils elektrisch voneinander isoliert sind, undIn which a part of the catalyst layer is removed so that the electrically conductive structures covered with the catalyst layer are each electrically insulated from one another, and
• bei dem auf der Katalysatorschicht zum elektrischen Verbinden zumindest zweier elektrisch leitender Strukturen miteinander mindestens eine Nanoröhre als Verbindungselement aufgebracht wird derart, dass die Nanoröhre an ihrem einen Ende mit einer ersten elektrisch leitenden Struktur verbunden ist und an ihrem zweiten Ende mit einer zweiten elektrisch leitenden Struktur verbunden ist.In which at least one nanotube is applied as a connecting element on the catalyst layer for electrically connecting at least two electrically conductive structures to one another such that the nanotube is connected at one end to a first electrically conductive structure and is connected at its second end to a second electrically conductive structure.
11. Verfahren nach Anspruch 10, bei dem die Nanoröhre auf der Katalysatorschicht aufgewachsen oder abgeschieden wird.11. The method of claim 10, wherein the nanotube is grown or deposited on the catalyst layer.
12. Verfahren nach Anspruch 10 oder 11, bei dem die elektrisch leitenden Strukturen in das Substrat derart eingebracht werden, dass die Oberfläche der leitenden Strukturen unterhalb der Oberfläche des Substrats liegt. 12. The method according to claim 10 or 11, wherein the electrically conductive structures are introduced into the substrate such that the surface of the conductive structures is below the surface of the substrate.
PCT/DE2001/003223 2000-08-24 2001-08-23 Electronic element, method for producing an element of this type and a semiconductor element WO2002017397A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10041500 2000-08-24
DE10041500.8 2000-08-24

Publications (1)

Publication Number Publication Date
WO2002017397A1 true WO2002017397A1 (en) 2002-02-28

Family

ID=7653590

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/DE2001/003223 WO2002017397A1 (en) 2000-08-24 2001-08-23 Electronic element, method for producing an element of this type and a semiconductor element

Country Status (1)

Country Link
WO (1) WO2002017397A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004077554A1 (en) * 2003-02-27 2004-09-10 Applied Materials, Inc. Ultra low k plasma cvd nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
WO2006048845A2 (en) 2004-11-04 2006-05-11 Koninklijke Philips Electronics N.V. Carbon nanotube-based conductive connections for integrated circuit devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000009443A1 (en) * 1998-08-14 2000-02-24 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube structures made using catalyst islands
WO2001057917A2 (en) * 2000-02-07 2001-08-09 Xidex Corporation System and method for fabricating logic devices comprising carbon nanotube transistors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000009443A1 (en) * 1998-08-14 2000-02-24 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube structures made using catalyst islands
WO2001057917A2 (en) * 2000-02-07 2001-08-09 Xidex Corporation System and method for fabricating logic devices comprising carbon nanotube transistors

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
SOH H T ET AL: "INTEGRATED NANOTUBE CIRCUITS: CONTROLLED GROWTH AND OHMIC CONTACTING OF SINGLE-WALLED CARBON NANOTUBES", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 75, no. 5, 2 August 1999 (1999-08-02), pages 627 - 629, XP000875508, ISSN: 0003-6951 *
WEI Y Y ET AL: "DIRECTED ASSEMBLY OF CARBON NANOTUBE ELECTRONIC CIRCUITS", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 76, no. 25, 19 June 2000 (2000-06-19), pages 3759 - 3761, XP000956871, ISSN: 0003-6951 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004077554A1 (en) * 2003-02-27 2004-09-10 Applied Materials, Inc. Ultra low k plasma cvd nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
US6984579B2 (en) 2003-02-27 2006-01-10 Applied Materials, Inc. Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
WO2006048845A2 (en) 2004-11-04 2006-05-11 Koninklijke Philips Electronics N.V. Carbon nanotube-based conductive connections for integrated circuit devices
WO2006048845A3 (en) * 2004-11-04 2006-08-03 Koninkl Philips Electronics Nv Carbon nanotube-based conductive connections for integrated circuit devices
CN101095230B (en) * 2004-11-04 2010-09-01 Nxp股份有限公司 Carbon nanotube-based conductive connections for integrated circuit devices
US8680677B2 (en) 2004-11-04 2014-03-25 Nxp B.V. Carbon nanotube-based conductive connections for integrated circuit devices

Similar Documents

Publication Publication Date Title
DE10006964C2 (en) Electronic component with a conductive connection between two conductive layers and method for producing an electronic component
DE102015107271B4 (en) Two-part or multi-part etch stop layer in integrated circuits
DE10036897C1 (en) Field effect transistor used in a switching arrangement comprises a gate region between a source region and a drain region
DE60211915T2 (en) SEMICONDUCTOR STRUCTURE USING SURGERY MATERIALS AND RELATED MANUFACTURING METHODS
DE102008059650B4 (en) A method of fabricating a microstructure having a metallization structure with self-aligned air gaps between dense metal lines
DE102005046975A1 (en) Process to manufacture a semiconductor component with aperture cut through a dielectric material stack
DE19834917A1 (en) Forming self-aligned vias in a semiconductor device
DE112005001489T5 (en) Atomic layer deposited tantalum containing adhesive layer
DE10244570A1 (en) Filling a damascene structure involves coating damascene structure by liner providing poor step coverage, depositing tungsten by chemical vapor deposition, and performing metal isolation process
DE102004001853B3 (en) Fabrication of connection contacts of semiconductors for future nanotechnologies including deposition of contact layer on masking layer during later back polishing stage
DE69930027T2 (en) Metallization process for semiconductors
DE102008044964B4 (en) Reduction of leakage currents and dielectric breakdown in dielectric materials of metallization systems of semiconductor devices through the production of recesses
DE102019130124A1 (en) FUNCTIONAL COMPONENT WITHIN A CONNECTING STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD FOR MAKING SAME
EP1118122B1 (en) Integrated circuit and method for producing the same
DE10307815B3 (en) Integrated electronic component with specifically created nanotubes in vertical structures and its manufacturing process
DE102020105731B4 (en) CAPACITOR, METAL INSULATOR METAL STRUCTURE AND METHOD OF MANUFACTURING IT
EP1193742A2 (en) Method for fabricating integrated circuits, corresponding circuits, particularly tunnel contacts
WO2002017397A1 (en) Electronic element, method for producing an element of this type and a semiconductor element
EP1421619B1 (en) Connecting the emitter contacts of a semiconductor device
EP1132973A1 (en) Metal-insulator-metal capacitor and process for making the same
DE102015006465B4 (en) NANOROUS STRUCTURE BASED METAL DAMASCENTER PROCESS
DE10140468B4 (en) Method for producing contact holes on a metallization structure
DE112020000672T5 (en) DOUBLE METAL STRUCTURING
DE10208714A1 (en) Contact for integrated circuit, running through contact hole in insulation layer between line planes to connect line planes, comprises entirely of titanium and/or titanium nitride
DE10046012B4 (en) Method for forming a contact hole in a semiconductor circuit arrangement

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP