USRE43508E1 - Plasma confinement by use of preferred RF return path - Google Patents

Plasma confinement by use of preferred RF return path Download PDF

Info

Publication number
USRE43508E1
USRE43508E1 US11/196,842 US19684205A USRE43508E US RE43508 E1 USRE43508 E1 US RE43508E1 US 19684205 A US19684205 A US 19684205A US RE43508 E USRE43508 E US RE43508E
Authority
US
United States
Prior art keywords
plasma
workpiece
conductive member
region
confinement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US11/196,842
Inventor
Eric H. Lenz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/196,842 priority Critical patent/USRE43508E1/en
Application granted granted Critical
Publication of USRE43508E1 publication Critical patent/USRE43508E1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Definitions

  • the present invention relates to plasma etching apparatus. More particularly, the present invention relates to improved techniques for controlling plasma formation in a plasma processing chamber.
  • plasma-enhanced processes involve the processing of a substrate (e.g., a glass panel or a semiconductor wafer) in a plasma processing chamber.
  • a plasma may be formed out of appropriate etchant or deposition source gases to respectively etch or deposit a layer of material on the surface of the substrate.
  • FIG. 1 depicts a capacitively-coupled plasma processing chamber 100 , representing an exemplary plasma processing chamber of the types typically employed to etch a substrate.
  • a chuck 104 represents the workpiece holder on which a substrate 106 is positioned during etching.
  • the chuck 104 may be implemented by any suitable chucking technique, e.g., electrostatic, mechanical, clamping, vacuum, or the like.
  • the chuck 104 is typically supplied with RF power having a frequency of, for example, about 400 Khz to about 27 Mhz, by an RF power supply 110 .
  • chuck 104 may be supplied with dual frequencies, e.g., 2 MHz and 27 MHz simultaneously during etching.
  • a reactor top 112 formed of a conductive material such as aluminum, is disposed above substrate 106 .
  • Confinement rings 102 may be coupled in a fixed manner to reactor top 112 or may be coupled to cam-based plungers (not shown in FIG. 1 ) that allow confinement rings 102 to be raised and lowered without moving reactor top 112 .
  • confinement rings 102 help confine the etching plasma to the region above substrate 106 to improve process control and to ensure repeatability. Although only two confinement rings are shown in the example of FIG. 1 , it should be understood that any number of confinement rings may be provided.
  • An upper electrode 114 and a baffle 116 are also coupled to reactor top 112 .
  • the upper electrode 114 may be grounded (as in the case of FIG. 1 ) or may be powered by another RF power source 120 during etching. If the upper electrode 114 is powered, it may be insulated from the remainder of the reactor to isolate the electrode from ground. During etching, plasma is formed from etchant[so]urce gas supplied via a gas line 122 and the baffle 116 .
  • equipotential field lines are set up over the substrate 106 .
  • the positive ions accelerate across the equipotential field lines to impinge on the surface of substrate 106 , thereby providing the desired etch effect (such as improving etch directionality).
  • the field lines may not be uniform across the substrate surface and may vary significantly at the edge of substrate 106 . Accordingly, a focus ring is typically provided to improve process uniformity across the entire substrate surface.
  • chuck 104 is shown disposed within a focus ring 108 , which is typically formed of a suitable dielectric material such as ceramic, quartz, or plastic.
  • the equipotential field lines that are set up during plasma etching may be seen more clearly in FIG. 1B .
  • the presence of focus ring 108 allows the equipotential field lines to be disposed substantially uniformly over the entire surface of the substrate, thereby allowing etching to proceed in a uniform manner across the substrate.
  • some of the equipotential field lines also extend into the region 160 outside of focus ring 108 .
  • the presence of the equipotential field lines in region 160 may cause any charged particles that leak past the confinement rings to accelerate in a direction perpendicular to the equipotential field lines toward the chamber walls. This acceleration and the subsequent collision between the charged particles and the chamber walls may generate secondary electrons, which may ignite and/or sustain unconfined plasma in the region 160 (i.e., unintended plasma that is not confined to region directly above the substrate).
  • FIGS. 1A and 1B illustrate the current return path along the chamber wall 118 .
  • the inadvertent generation of plasma in the region 160 renders the etch process difficult to control and may damage components within this region.
  • this unconfined plasma which may be unplanned and/or intermittent, changes the location of power absorbed by the plasma within the plasma processing chamber, thereby making it difficult to control the delivery of power to the chuck to achieve consistent, repeatable etch results.
  • the presence of unwanted plasma in region 160 may cause damage to the chamber door (not shown), particularly to the seals that are provided therewith.
  • the chamber door is necessary for substrate transport into and out of the chamber, and if the seals are damaged, accurate control of the chamber pressure may be difficult.
  • the seals and/or other components in the region 160 are inadvertently attacked by the plasma, particulate and/or polymeric contaminants may form along the chamber walls, potentially leading to contamination of the etch environment.
  • a confinement assembly for confining a discharge within an interaction space of a plasma processing apparatus comprising a stack of rings and at least one electrically conductive member.
  • the rings are spaced apart from each other to form slots therebetween and are positioned to surround the interaction space.
  • At least one electrically conductive member electrically couples each ring.
  • the electrically conductive member contacts each ring at least at a point inside of the outer circumference of each ring.
  • FIG. 1A is schematic diagram illustrating a typical capacitively coupled plasma processing chamber in accordance with the prior art
  • FIG. 1B a schematic diagram illustrating the equipotential field lines that may be formed in the plasma processing chamber of FIG. 1A during plasma processing in accordance with the prior art
  • FIG. 2 is a schematic cross-sectional diagram of a capacitively coupled plasma processing chamber having a preferred return path in accordance with a specific embodiment of the present invention.
  • FIG. 3 illustrates a top view of a confinement ring having a preferred return path in accordance with a specific embodiment of the present invention.
  • Embodiments of the present invention are described herein in the context of controlling plasma formation in a plasma processing chamber. Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
  • process control is substantially improved by reducing or eliminating the unconfined plasma (i.e., the unwanted plasma that is inadvertently ignited and/or sustained outside of the focus ring and the walls of the plasma chamber).
  • Confinement rings consists of a stack of rings spaced apart from each other to form slots therebetween and positioned to surround the interaction space.
  • the distance an exiting charged particle must travel in the slot is substantially longer than its mean free path.
  • the region outside of the focus ring refers to annular region of the plasma processing chamber which is external to the column of space whose outer periphery is defined by the circumference of the focus ring. The plasma is preferably confirmed within this column of space.
  • the electric field is preferably reduced to the point where plasma can no longer be sustained.
  • the amount of power absorbed by the etching plasma that is disposed above the substrate may be more consistent from substrate to substrate, thereby rendering the etch repeatable.
  • the elimination of the unconfined plasma also helps reduce the corrosion or break down of components disposed in the region outside of the focus ring (e.g., door seals).
  • a confinement assembly including a stack of focus rings configured to concentrate the equipotential field lines in the focus ring body.
  • the focus rings preferably include at least one cavity disposed evenly around each ring and a corresponding number of electrically conductive members for returning the current to the ground. Each cavity receives and accommodates one member. It is believed that this configuration substantially reduces the density of equipotential field lines in the region outside of the focus ring. By substantially reducing the density of equipotential field lines in the near-vacuum region outside of the focus ring, the amount of energy acquired by any charged particle that leaks into this area is substantially reduced, thereby essentially eliminating the possibility of plasma formation and/or sustenance in this region.
  • FIG. 2 is a schematic cross-sectional diagram of a capacitively coupled plasma processing chamber having a preferred return path according to a specific embodiment of the present invention.
  • a plasma chamber 202 comprises an upper electrode 204 and a lower electrode 206 on which a workpiece 208 , such as a wafer, is positioned during etching.
  • the lower electrode 206 is surrounded by a focus ring 210 .
  • a grounded shield 219 surrounds the focus ring 210 .
  • An RF power supply 212 supplies RF power to lower electrode 206 .
  • a plasma is generated in the region 214 above substrate 208 .
  • Confinement rings 216 help confine the etching plasma to the confinement region 214 to improve process control and to ensure repeatability.
  • Confinement rings 216 are preferably of a dielectric material such as quartz.
  • the confinement rings 216 also include at least one cavity 302 , equidistant from each other, as illustrated in FIG. 3 .
  • FIG. 3 illustrates a preferred embodiment in which the confinement rings include three cavities.
  • the cavities 302 may include a slit.
  • Each slit receives an electrically conductive member 218 that may not contact the confinement rings 216 .
  • the slits are preferably positioned equidistantly between the inner edge and the outer edge of the confinement rings 216 as illustrated in FIG. 3 to deeply bury and isolate the magnetic fields generated by the members 218 .
  • Such members 218 may preferably include a beam of highly conductive material, such as aluminum. As seen in FIG.
  • each member 218 may be disposed vertically within the cavities 302 of each confinement ring 216 .
  • the top of each member 218 electrically contacts the upper electrode 204 .
  • the bottom of each member 216 electrically contacts the grounded shield 219 . Therefore, each member 216 is sandwiched between the upper electrode 204 and the grounded shield 219 which also provides support to each member 218 .
  • the power supply 212 provides an RF current to the lower electrode 206 .
  • the current travels towards the upper electrode 204 through the confinement region 214 . Because the upper electrode 204 electrically contacts members 218 , the current travels through this preferred path, i.e. Members 218 , towards the grounded shield 219 .
  • the presence of the members 218 embedded within the cavities 302 of the confinement rings 216 provides a preferred return path that substantially reduces the density of the magnetic fields in the upper portion of annular region 220 , i.e., the region outside of the focus and confinement rings 216 .
  • the confinement rings 216 isolate the plasma and the chamber 202 from the preferred return paths. Being embedded in the confinement rings 216 , the stray magnetic fields are kept from penetrating into the volume between the outer edge of the confinement rings 216 and the chamber 202 , i.e. the region 220 .
  • the plasma stays confined and since the return paths are in the confinement ring area, the plasma cannot damage the return path materials. Therefore the stray magnetic fields are substantially reduced outside the confinement rings 216 .
  • an electrically conductive member connects each ring at least a point inside of the outer circumference of the ring.
  • the magnetic fields generated by the electrically conductive member are substantially reduced from an excluded region when the member is disposed within the ring.
  • the member may include any shape or form that allows the current to return to the ground while substantially reducing the magnetic fields generated by the member. Examples of members may be rods, strings, or beams connecting the rings at least at a point inside of the outer circumference of the rings.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

A confinement assembly for confining a discharge within an interaction space of a plasma processing apparatus comprising a stack of rings and at least one electrically conductive member. The rings are spaced apart from each other to form slots therebetween and are positioned to surround the interaction space. At least one electrically conductive member electrically couples each ring. The electrically conductive member contacts each ring at least at a point inside of the outer circumference of each ring.

Description

More than one reissue application has been filed for the reissue of U.S. Pat. No. 6,602,381. The reissue applications are Ser. No. 11/196,842 (the present application), Ser. Nos. 12/078,349 and 12/078,348, both of which are divisional reissues of U.S. Pat. No. 6,602,381. Because all claims of the divisional applications have been indicated as allowable over the prior art and the PTO has advised that the claims of the divisional applications should be merged with the claims of the originally filed reissue application, such a merger is being performed and the divisional applications are being abandoned.
FIELD OF THE INVENTION
The present invention relates to plasma etching apparatus. More particularly, the present invention relates to improved techniques for controlling plasma formation in a plasma processing chamber.
BACKGROUND OF THE INVENTION
The use of plasma-enhanced processes in the manufacture of semiconductor-based products (such as integrated circuits or flat panel displays) is well known. Generally speaking, plasma-enhanced processes involve the processing of a substrate (e.g., a glass panel or a semiconductor wafer) in a plasma processing chamber. Within the plasma processing chamber, a plasma may be formed out of appropriate etchant or deposition source gases to respectively etch or deposit a layer of material on the surface of the substrate.
FIG. 1 depicts a capacitively-coupled plasma processing chamber 100, representing an exemplary plasma processing chamber of the types typically employed to etch a substrate. A chuck 104 represents the workpiece holder on which a substrate 106 is positioned during etching. The chuck 104 may be implemented by any suitable chucking technique, e.g., electrostatic, mechanical, clamping, vacuum, or the like. During etching, the chuck 104 is typically supplied with RF power having a frequency of, for example, about 400 Khz to about 27 Mhz, by an RF power supply 110. In some systems, chuck 104 may be supplied with dual frequencies, e.g., 2 MHz and 27 MHz simultaneously during etching.
A reactor top 112, formed of a conductive material such as aluminum, is disposed above substrate 106. Confinement rings 102 may be coupled in a fixed manner to reactor top 112 or may be coupled to cam-based plungers (not shown in FIG. 1) that allow confinement rings 102 to be raised and lowered without moving reactor top 112.
In general, confinement rings 102 help confine the etching plasma to the region above substrate 106 to improve process control and to ensure repeatability. Although only two confinement rings are shown in the example of FIG. 1, it should be understood that any number of confinement rings may be provided.
An upper electrode 114 and a baffle 116 are also coupled to reactor top 112. The upper electrode 114 may be grounded (as in the case of FIG. 1) or may be powered by another RF power source 120 during etching. If the upper electrode 114 is powered, it may be insulated from the remainder of the reactor to isolate the electrode from ground. During etching, plasma is formed from etchant[so]urce gas supplied via a gas line 122 and the baffle 116.
When RF power is supplied to the chuck 104 (from the radio frequency generator 110), equipotential field lines are set up over the substrate 106. During plasma processing, the positive ions accelerate across the equipotential field lines to impinge on the surface of substrate 106, thereby providing the desired etch effect (such as improving etch directionality). Due to geometry factors, however, the field lines may not be uniform across the substrate surface and may vary significantly at the edge of substrate 106. Accordingly, a focus ring is typically provided to improve process uniformity across the entire substrate surface. With reference to FIG. 1, chuck 104 is shown disposed within a focus ring 108, which is typically formed of a suitable dielectric material such as ceramic, quartz, or plastic.
The equipotential field lines that are set up during plasma etching may be seen more clearly in FIG. 1B. In FIG. 1B, the presence of focus ring 108 allows the equipotential field lines to be disposed substantially uniformly over the entire surface of the substrate, thereby allowing etching to proceed in a uniform manner across the substrate. As seen by FIG. 2, however, some of the equipotential field lines also extend into the region 160 outside of focus ring 108. The presence of the equipotential field lines in region 160 may cause any charged particles that leak past the confinement rings to accelerate in a direction perpendicular to the equipotential field lines toward the chamber walls. This acceleration and the subsequent collision between the charged particles and the chamber walls may generate secondary electrons, which may ignite and/or sustain unconfined plasma in the region 160 (i.e., unintended plasma that is not confined to region directly above the substrate).
Furthermore, current return paths have relied on the chamber wall 118 for a return path or a return path outside the chamber. Magnetic fields are generated from the return paths and cause magnetic fields that can light and sustain a plasma outside the confined region. The dotted lines in FIGS. 1A and 1B illustrate the current return path along the chamber wall 118.
The inadvertent generation of plasma in the region 160 renders the etch process difficult to control and may damage components within this region. By way of example, this unconfined plasma, which may be unplanned and/or intermittent, changes the location of power absorbed by the plasma within the plasma processing chamber, thereby making it difficult to control the delivery of power to the chuck to achieve consistent, repeatable etch results. As another example, the presence of unwanted plasma in region 160 may cause damage to the chamber door (not shown), particularly to the seals that are provided therewith. The chamber door is necessary for substrate transport into and out of the chamber, and if the seals are damaged, accurate control of the chamber pressure may be difficult. When the seals and/or other components in the region 160 are inadvertently attacked by the plasma, particulate and/or polymeric contaminants may form along the chamber walls, potentially leading to contamination of the etch environment.
Accordingly, it would be desirable to provide techniques for minimizing and/or eliminating the unwanted plasma formation in the region outside of the focus ring of the plasma processing chamber.
BRIEF DESCRIPTION OF THE INVENTION
A confinement assembly for confining a discharge within an interaction space of a plasma processing apparatus comprising a stack of rings and at least one electrically conductive member. The rings are spaced apart from each other to form slots therebetween and are positioned to surround the interaction space. At least one electrically conductive member electrically couples each ring. The electrically conductive member contacts each ring at least at a point inside of the outer circumference of each ring.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated into and constitute a part of this specification, illustrate one or more embodiments of the present invention and, together with the detailed description, serve to explain the principles and implementations of the invention.
In the drawings:
FIG. 1A is schematic diagram illustrating a typical capacitively coupled plasma processing chamber in accordance with the prior art;
FIG. 1B a schematic diagram illustrating the equipotential field lines that may be formed in the plasma processing chamber of FIG. 1A during plasma processing in accordance with the prior art;
FIG. 2 is a schematic cross-sectional diagram of a capacitively coupled plasma processing chamber having a preferred return path in accordance with a specific embodiment of the present invention; and
FIG. 3 illustrates a top view of a confinement ring having a preferred return path in accordance with a specific embodiment of the present invention.
DETAILED DESCRIPTION
Embodiments of the present invention are described herein in the context of controlling plasma formation in a plasma processing chamber. Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It will, of course, be appreciated that in the development of any such actual implementation, numerous implementation-specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business-related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.
In accordance with one aspect of the present invention, process control is substantially improved by reducing or eliminating the unconfined plasma (i.e., the unwanted plasma that is inadvertently ignited and/or sustained outside of the focus ring and the walls of the plasma chamber). Confinement rings consists of a stack of rings spaced apart from each other to form slots therebetween and positioned to surround the interaction space. During operation of the plasma processing apparatus, the distance an exiting charged particle must travel in the slot is substantially longer than its mean free path. As the term is employed herein, the region outside of the focus ring refers to annular region of the plasma processing chamber which is external to the column of space whose outer periphery is defined by the circumference of the focus ring. The plasma is preferably confirmed within this column of space. Outside of the focus ring, the electric field is preferably reduced to the point where plasma can no longer be sustained. By eliminating the unconfined plasma, the amount of power absorbed by the etching plasma that is disposed above the substrate may be more consistent from substrate to substrate, thereby rendering the etch repeatable. The elimination of the unconfined plasma also helps reduce the corrosion or break down of components disposed in the region outside of the focus ring (e.g., door seals).
In accordance with one embodiment of the present invention, there is provided a confinement assembly, including a stack of focus rings configured to concentrate the equipotential field lines in the focus ring body. The focus rings preferably include at least one cavity disposed evenly around each ring and a corresponding number of electrically conductive members for returning the current to the ground. Each cavity receives and accommodates one member. It is believed that this configuration substantially reduces the density of equipotential field lines in the region outside of the focus ring. By substantially reducing the density of equipotential field lines in the near-vacuum region outside of the focus ring, the amount of energy acquired by any charged particle that leaks into this area is substantially reduced, thereby essentially eliminating the possibility of plasma formation and/or sustenance in this region.
The features and advantages of the present invention may be better understood with reference to FIGS. 2 and 3. FIG. 2 is a schematic cross-sectional diagram of a capacitively coupled plasma processing chamber having a preferred return path according to a specific embodiment of the present invention. A plasma chamber 202 comprises an upper electrode 204 and a lower electrode 206 on which a workpiece 208, such as a wafer, is positioned during etching. The lower electrode 206 is surrounded by a focus ring 210. A grounded shield 219 surrounds the focus ring 210. An RF power supply 212 supplies RF power to lower electrode 206. A plasma is generated in the region 214 above substrate 208. Confinement rings 216 help confine the etching plasma to the confinement region 214 to improve process control and to ensure repeatability. Confinement rings 216 are preferably of a dielectric material such as quartz.
The confinement rings 216 also include at least one cavity 302, equidistant from each other, as illustrated in FIG. 3. FIG. 3 illustrates a preferred embodiment in which the confinement rings include three cavities. The cavities 302 may include a slit. Each slit receives an electrically conductive member 218 that may not contact the confinement rings 216. The slits are preferably positioned equidistantly between the inner edge and the outer edge of the confinement rings 216 as illustrated in FIG. 3 to deeply bury and isolate the magnetic fields generated by the members 218. Such members 218 may preferably include a beam of highly conductive material, such as aluminum. As seen in FIG. 2, each member 218 may be disposed vertically within the cavities 302 of each confinement ring 216. The top of each member 218 electrically contacts the upper electrode 204. The bottom of each member 216 electrically contacts the grounded shield 219. Therefore, each member 216 is sandwiched between the upper electrode 204 and the grounded shield 219 which also provides support to each member 218.
The power supply 212 provides an RF current to the lower electrode 206. During the process, the current travels towards the upper electrode 204 through the confinement region 214. Because the upper electrode 204 electrically contacts members 218, the current travels through this preferred path, i.e. Members 218, towards the grounded shield 219.
The presence of the members 218 embedded within the cavities 302 of the confinement rings 216 provides a preferred return path that substantially reduces the density of the magnetic fields in the upper portion of annular region 220, i.e., the region outside of the focus and confinement rings 216. The confinement rings 216 isolate the plasma and the chamber 202 from the preferred return paths. Being embedded in the confinement rings 216, the stray magnetic fields are kept from penetrating into the volume between the outer edge of the confinement rings 216 and the chamber 202, i.e. the region 220. The plasma stays confined and since the return paths are in the confinement ring area, the plasma cannot damage the return path materials. Therefore the stray magnetic fields are substantially reduced outside the confinement rings 216.
Other embodiments may exist in which an electrically conductive member connects each ring at least a point inside of the outer circumference of the ring. The magnetic fields generated by the electrically conductive member are substantially reduced from an excluded region when the member is disposed within the ring. The member may include any shape or form that allows the current to return to the ground while substantially reducing the magnetic fields generated by the member. Examples of members may be rods, strings, or beams connecting the rings at least at a point inside of the outer circumference of the rings.
While embodiments and applications of this invention have been shown and described, it would be apparent to those skilled in the art having the benefit of this disclosure that many more modifications than mentioned above are possible without departing from the inventive concepts herein. The invention, therefore, is not to be restricted except in the spirit of the appended claims.

Claims (19)

1. A plasma processing apparatus having a top electrode, a bottom electrode, an interaction space confined between the top electrode and the bottom electrode, said plasma processing apparatus comprising:
a stack of confinement rings, made of electrically insulating material, spaced apart from each other to form slots therebetween and positioned to surround the interaction space, each confinement ring having at least one cavity formed on the surface thereof;
a focus ring positioned to surround the bottom electrode;
an electrically conductive member passing through each of said cavity of said stack of confinement rings, a top of said conductive member electrically coupled to the top electrode, said electrically conductive member is grounded at a bottom thereof.
2. The plasma processing apparatus according to claim 1 wherein each slot is proportioned such that during operation of the plasma processing apparatus, the distance an exiting charged particle must travel in the slot is substantially longer than its mean free path.
3. The plasma processing apparatus according to claim 1 wherein said electrically insulating material comprises quartz.
4. The plasma processing apparatus according to claim 1 wherein said electrically conductive member comprises aluminum.
5. A plasma processing chamber having a top electrode for processing a substrate, the plasma processing chamber comprising:
a chuck for supporting the substrate during plasma processing;
a focus ring assembly substantially encircling said chuck;
a stack of confinement rings, made of electrically insulating material, spaced apart from each other to form slots therebetween, said stack of confinement rings surrounding an interaction space defined between the top electrode and said chuck; each confinement ring having at least one cavity formed on the surface thereof, and
at least one electrically conductive member passing through each of said cavity of said stack of confinement rings, a top of said at least one conductive member electrically coupled to the top electrode, said electrically conductive member is grounded at a bottom thereof.
6. The plasma processing chamber according to claim 5 wherein said electrically insulating material comprises quartz.
7. The plasma processing chamber according to claim 5 wherein said electrically conductive member comprises aluminum.
8. A plasma processor for processing a workpiece comprising an RF source arrangement, a processing chamber having (a) an exterior wall, (b) a top electrode assembly, (c) a bottom electrode assembly having a holder for the workpiece, (d) an inlet for gas to be converted to a plasma in a region spaced from the wall and between the top and bottom electrode assemblies, (e) a gas outlet, and (f) a confinement structure between the top and bottom electrode assemblies; the top and bottom electrode assemblies being electrically coupled with the RF source arrangement for exciting the gas in the region to a plasma while the plasma is processing the workpiece; the confinement structure being arranged for substantially preventing the plasma in the region from flowing to a portion of the chamber between the confining structure and the exterior wall while enabling un-ionized gas to flow from the region to said portion of the chamber, thence to the outlet; the confinement structure including: (i) at least one confinement ring between the top and bottom electrode assemblies for providing some confinement of the plasma to the region while the plasma is processing the workpiece, and (ii) an electrically conductive member connected to one terminal of the RF source arrangement and being coupled with the RF source arrangement so RF current from the RF source arrangement flows in the electrically conductive member for further confining the plasma to the region while the plasma is processing the workpiece.
9. The plasma processor of claim 8 wherein the confinement ring is a dielectric.
10. The plasma processor of claim 8 wherein the electrically conductive member is received by the confinement ring.
11. A plasma processor for processing a workpiece comprising an RF source, a processing chamber having: (a) an exterior wall, (b) a region spaced from the wall in which a plasma is adapted to be excited, (c) an outlet for gas exhausted from the chamber; the region including (i) a bottom surface comprising an electrode assembly having a holder for the workpiece, (ii) a top surface, and (iii) a side portion including a structure for substantially confining the plasma to the region while the plasma is excited to process the workpiece; the side portion including (a′) at least one confinement ring between the top and bottom surfaces, (b′) gaps between the confinement ring and the top and bottom surfaces for enabling un-ionized gas to flow from the region to the outlet via a segment of the chamber between the side portion and the exterior wall, and (c′) an electrically conductive member; the confinement ring in combination with the top and bottom surfaces having some confining effect on the plasma in the region while the plasma is excited and is processing the workpiece; the electrically conductive member being coupled to the RF source so RF current from the source flows through the conductive member while the plasma is excited to process the workpiece; the conductive member and coupling of the conductive member to the RF source while the plasma is excited to process the workpiece being such that the RF current flowing in the conductive member while the plasma is excited to process the workpiece produces effects for augmenting the plasma confinement effect of the confinement ring in combination with the top and bottom surfaces.
12. The plasma processor of claim 11 further including a top electrode assembly having a bottom surface including the top surface of the region where the plasma is adapted to be excited.
13. The plasma processor of claim 11 wherein the electrically conductive member is received by the confinement ring.
14. The plasma processor of claim 11 wherein the confinement ring is a dielectric.
15. The plasma processor of claim 11 wherein the electrically conductive member is connected to a terminal of the RF source while the plasma is excited to process the workpiece.
16. A method of processing a workpiece in a plasma processor including an RF source arrangement and a processing chamber having (a) an exterior wall, (b) a top electrode assembly, (c) a bottom electrode assembly having a holder for the workpiece, (d) an inlet for gas to be converted to a plasma in a region spaced from the wall and between the top and bottom electrode assemblies, (e) a gas outlet, and (f) a confinement structure between the top and bottom electrode assemblies; the confinement structure being arranged for substantially preventing the plasma in the region from flowing to a portion of the chamber between the confining structure and the exterior wall while enabling un-ionized gas to flow from the region to said portion of the chamber, thence to the outlet; the confinement structure including: (i) at least one confinement ring between the top and bottom electrode assemblies for providing some confinement of the plasma to the region while the plasma is processing the workpiece, and (ii) an electrically conductive member; the method comprising: supplying gas to the region via the inlet, exciting the gas in the region to a plasma that processes the workpiece by supplying RF energy to electrodes of the top and bottom electrode assemblies, processing the workpiece with charged particles of the plasma excited by the RF energy supplied to the electrodes of the top and bottom electrode assemblies, causing RF current from the RF source arrangement to flow in the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece, the RF current flowing in the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece producing confinement effects that augment confinement effects of the at least one confinement ring.
17. The method of claim 16 wherein the step of causing RF current from the RF source arrangement to flow in the electrically conductive member includes causing a terminal of the RF source arrangement to be connected to the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece.
18. A method of processing a workpiece in a plasma processor including an RF source arrangement and a processing chamber having: (a) an exterior wall, (b) a region spaced from the wall in which a plasma is adapted to be excited, (c) an outlet for gas exhausted from the chamber; the region including (i) a bottom surface comprising an electrode assembly having a holder for the workpiece, (ii) a top surface, and (iii) a side portion including a structure for substantially confining the plasma to the region while the plasma is excited to process the workpiece; the side portion including (a′) at least one confinement ring between the top and bottom surfaces, (b′) gaps between the confinement ring and the top and bottom surfaces for enabling un-ionized gas to flow from the region to the outlet via a segment of the chamber between the side portion and the exterior wall, and (c′) an electrically conductive member; the confinement ring in combination with the top and bottom surfaces having some confining effect on the plasma in the region while the plasma is excited and is processing the workpiece; the method comprising: supplying gas to the region via an inlet to the region, exciting the gas in the region to a plasma that processes the workpiece by supplying RF energy to the region, processing the workpiece with charged particles of the plasma excited by the RF energy supplied to the region, causing RF current from the RF source arrangement to flow in the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece, the RF current flowing in the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece producing confinement effects that augment confinement effects of the at least one confinement ring.
19. The method of claim 18 wherein the step of causing RF current from the RF source arrangement to flow in the electrically conductive member includes causing a terminal of the RF source arrangement to be connected to the electrically conductive member while the charged particles of the plasma are excited by the RF energy and the plasma is processing the workpiece.
US11/196,842 2001-04-30 2005-08-04 Plasma confinement by use of preferred RF return path Expired - Lifetime USRE43508E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/196,842 USRE43508E1 (en) 2001-04-30 2005-08-04 Plasma confinement by use of preferred RF return path

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/846,172 US6602381B1 (en) 2001-04-30 2001-04-30 Plasma confinement by use of preferred RF return path
US11/196,842 USRE43508E1 (en) 2001-04-30 2005-08-04 Plasma confinement by use of preferred RF return path

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/846,172 Reissue US6602381B1 (en) 2001-04-30 2001-04-30 Plasma confinement by use of preferred RF return path

Publications (1)

Publication Number Publication Date
USRE43508E1 true USRE43508E1 (en) 2012-07-17

Family

ID=25297142

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/846,172 Ceased US6602381B1 (en) 2001-04-30 2001-04-30 Plasma confinement by use of preferred RF return path
US10/410,022 Expired - Lifetime US6716762B1 (en) 2001-04-30 2003-04-08 Plasma confinement by use of preferred RF return path
US11/196,842 Expired - Lifetime USRE43508E1 (en) 2001-04-30 2005-08-04 Plasma confinement by use of preferred RF return path

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/846,172 Ceased US6602381B1 (en) 2001-04-30 2001-04-30 Plasma confinement by use of preferred RF return path
US10/410,022 Expired - Lifetime US6716762B1 (en) 2001-04-30 2003-04-08 Plasma confinement by use of preferred RF return path

Country Status (4)

Country Link
US (3) US6602381B1 (en)
KR (1) KR100857747B1 (en)
CN (1) CN100342480C (en)
WO (1) WO2002089173A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8677590B2 (en) * 2008-12-19 2014-03-25 Lam Research Corporation Plasma confinement structures in plasma processing systems and methods thereof

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
KR101141488B1 (en) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 Method and apparatus for reducing substrate backside deposition during processing
DE10319894A1 (en) * 2003-04-28 2004-11-25 Infineon Technologies Ag Dielectric focus ring for wafer located in processing position on electrostatic chuck in plasma etching installation with potential difference between wafer potential and focus ring potential
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US20060032736A1 (en) * 2004-02-02 2006-02-16 Lam Research Corporation Deformation reduction at the main chamber
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
JP5474291B2 (en) * 2007-11-05 2014-04-16 株式会社アルバック Ashing equipment
CN101441983B (en) * 2007-11-21 2011-01-12 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma confinement apparatus and semiconductor processing equipment applying the same
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (en) * 2008-02-08 2016-01-01 蘭姆研究公司 A method to stabilize pressure in a plasma processing chamber, and a program storage medium of same
TWI501704B (en) * 2008-02-08 2015-09-21 Lam Res Corp Methods and apparatus for changing area ratio in a plasma processing system
US8438990B2 (en) * 2008-09-30 2013-05-14 Applied Materials, Inc. Multi-electrode PECVD source
KR102164678B1 (en) * 2009-08-31 2020-10-12 램 리써치 코포레이션 Radio frequency (rf) ground return arrangements
US20110073257A1 (en) * 2009-09-28 2011-03-31 Rajinder Dhindsa Unitized confinement ring arrangements and methods thereof
FR2952258B1 (en) * 2009-11-05 2011-11-11 Airbus METHOD AND APPARATUS FOR ACCESSING MAINTENANCE FUNCTIONS OF AN AIRCRAFT FROM A MOBILE MAINTENANCE TERMINAL
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
KR102422629B1 (en) * 2016-07-19 2022-07-20 주성엔지니어링(주) Apparatus for Distributing Gas and Apparatus for Processing Substrate
KR102649844B1 (en) 2016-12-09 2024-03-20 버크셔 홀딩 코퍼레이션 cleaning kit
CN111586957B (en) * 2019-02-19 2021-05-04 大连理工大学 Capacitive coupling plasma discharge device
KR20210056646A (en) * 2019-11-11 2021-05-20 삼성전자주식회사 Apparatus for plasma processing

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4483737A (en) 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US5006192A (en) 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5316645A (en) 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5904800A (en) 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6244211B1 (en) 1999-05-19 2001-06-12 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US6281469B1 (en) 1997-01-17 2001-08-28 Unaxis Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US20010037770A1 (en) 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
US20010047760A1 (en) 1996-07-10 2001-12-06 Moslehi Mehrdad M. Apparatus and method for multi-zone high-density inductively-coupled plasma generation
US20020005348A1 (en) 1997-03-07 2002-01-17 Zheng Xu Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US20020067133A1 (en) 2000-12-06 2002-06-06 Brown Jeffrey J. Method for lighting an inductively coupled plasma at low pressure
US6423176B1 (en) 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US20030008492A1 (en) 2001-07-07 2003-01-09 Jung Woo Chan Method for fabricating semiconductor device and forming interlayer dielectric film using high-density plasma
US20030037881A1 (en) 2001-08-16 2003-02-27 Applied Materials, Inc. Adjustable dual frequency voltage dividing plasma reactor
US6579425B2 (en) 2001-07-16 2003-06-17 Sharp Laboratories Of America, Inc. System and method for forming base coat and thin film layers by sequential sputter depositing
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US20040094402A1 (en) 2002-08-01 2004-05-20 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4483737A (en) 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4483737B1 (en) 1983-01-31 1991-07-30 Sematech Inc
US5006192A (en) 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US5316645A (en) 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US20010047760A1 (en) 1996-07-10 2001-12-06 Moslehi Mehrdad M. Apparatus and method for multi-zone high-density inductively-coupled plasma generation
US6281469B1 (en) 1997-01-17 2001-08-28 Unaxis Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5904800A (en) 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US20020005348A1 (en) 1997-03-07 2002-01-17 Zheng Xu Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6423176B1 (en) 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6244211B1 (en) 1999-05-19 2001-06-12 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US20010037770A1 (en) 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US20020067133A1 (en) 2000-12-06 2002-06-06 Brown Jeffrey J. Method for lighting an inductively coupled plasma at low pressure
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US20030008492A1 (en) 2001-07-07 2003-01-09 Jung Woo Chan Method for fabricating semiconductor device and forming interlayer dielectric film using high-density plasma
US6579425B2 (en) 2001-07-16 2003-06-17 Sharp Laboratories Of America, Inc. System and method for forming base coat and thin film layers by sequential sputter depositing
US20030037881A1 (en) 2001-08-16 2003-02-27 Applied Materials, Inc. Adjustable dual frequency voltage dividing plasma reactor
US20040094402A1 (en) 2002-08-01 2004-05-20 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PCT International Search Report for PCT/US 02/10460, date of mailing Jul. 23, 2002.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8677590B2 (en) * 2008-12-19 2014-03-25 Lam Research Corporation Plasma confinement structures in plasma processing systems and methods thereof

Also Published As

Publication number Publication date
US6602381B1 (en) 2003-08-05
US6716762B1 (en) 2004-04-06
CN1505829A (en) 2004-06-16
KR100857747B1 (en) 2008-09-09
KR20040015197A (en) 2004-02-18
CN100342480C (en) 2007-10-10
WO2002089173A1 (en) 2002-11-07

Similar Documents

Publication Publication Date Title
USRE43508E1 (en) Plasma confinement by use of preferred RF return path
US5998932A (en) Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US7094315B2 (en) Chamber configuration for confining a plasma
US5622635A (en) Method for enhanced inductive coupling to plasmas with reduced sputter contamination
KR100498584B1 (en) Plasma Treatment Equipment and Plasma Treatment Methods
US6887340B2 (en) Etch rate uniformity
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
US6861643B2 (en) Neutral particle beam processing apparatus
US7078862B2 (en) Beam source and beam processing apparatus
JPH08279495A (en) Method and system for plasma processing
KR101496841B1 (en) Compound plasma reactor
KR100328655B1 (en) Planer gas introducing unit of ccp reactor
EP1412963A2 (en) Method and apparatus for producing uniform process rates
JPH09289193A (en) Plasma generating equipment and its method, and plasma treatment equipment and its method
US6909086B2 (en) Neutral particle beam processing apparatus
US6719873B1 (en) Method and apparatus for preventing plasma formation
TW202004831A (en) Plasma treatment apparatus
JPH0774115A (en) Plasma treatment system
JPH06120169A (en) Plasma generating apparatus
KR20230164656A (en) Recycling method of inner wall members
KR20040069746A (en) Antenna having multiple central axix and inductively coupled plasma generating apparatus applying the same
CN115398602A (en) Plasma processing apparatus and plasma processing method
JPS63318127A (en) Plasma processing device
JPH09246252A (en) Method and device for manufacturing semiconductor
KR20030089806A (en) Low-Frequency Type Inductively Coupled Plasma Generating Device

Legal Events

Date Code Title Description
FPAY Fee payment

Year of fee payment: 12