US9368619B2 - Method for inducing strain in vertical semiconductor columns - Google Patents

Method for inducing strain in vertical semiconductor columns Download PDF

Info

Publication number
US9368619B2
US9368619B2 US13/763,110 US201313763110A US9368619B2 US 9368619 B2 US9368619 B2 US 9368619B2 US 201313763110 A US201313763110 A US 201313763110A US 9368619 B2 US9368619 B2 US 9368619B2
Authority
US
United States
Prior art keywords
nano
wire
ring
mos transistor
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/763,110
Other versions
US20140225184A1 (en
Inventor
Jean-Pierre Colinge
Gwan Sin Chang
Carlos H. Diaz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/763,110 priority Critical patent/US9368619B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, GWAN SIN, COLINGE, JEAN-PIERRE, DIAZ, CARLOS H.
Priority to KR1020130088684A priority patent/KR101423429B1/en
Publication of US20140225184A1 publication Critical patent/US20140225184A1/en
Priority to US14/599,247 priority patent/US9466668B2/en
Priority to US15/164,441 priority patent/US9935198B2/en
Application granted granted Critical
Publication of US9368619B2 publication Critical patent/US9368619B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • a vertical column which may be a vertical nano-wire formed of a semiconductor material, is formed over a substrate, which may be a bulk semiconductor wafer or a Semiconductor-On-Insulator (SOI) wafer.
  • a gate dielectric and a gate electrode are formed to encircle the nano-wire, with the encircled portion of the nano-wire forming the channel of the respective vertical transistor.
  • a source and a drain are formed, with one underlying the channel, and the other overlying the channel.
  • the vertical transistor has a gate-all-around structure since the gate may fully encircle the channel. With the all-around gate structure, the drive current of the vertical transistor is high and short-channel effects are minimized.
  • FIGS. 1A through 1Q are cross-sectional views of intermediate stages in the manufacturing of a vertical NMOS transistor in accordance with some exemplary embodiments
  • FIGS. 2A through 2G are cross-sectional views of intermediate stages in the manufacturing of a vertical NMOS transistor in accordance with alternative exemplary embodiments
  • FIGS. 3A through 3G are cross-sectional views of intermediate stages in the manufacturing of a vertical PMOS transistor in accordance with some exemplary embodiments
  • FIG. 4 illustrates a vertical NMOS structure that is used to simulate the stress in NMOS transistors
  • FIG. 5 illustrates the simulated stress in the vertical NMOS structure
  • FIG. 6 illustrates a vertical PMOS structure that is used to simulate the stress in PMOS transistors
  • FIG. 7 illustrates the simulated stress in the vertical PMOS structure
  • FIG. 8 illustrates stresses in a vertical transistor, which includes a germanium channel between two silicon regions
  • FIG. 9 illustrates the simulated stress in the vertical transistor shown in FIG. 8 .
  • MOS transistors Vertical Metal-Oxide-Semiconductor (MOS) transistors and the methods of forming the same are provided in accordance with various exemplary embodiments.
  • the intermediate stages of forming the vertical MOS transistors are illustrated in accordance with exemplary embodiments.
  • the variations and the operation of the vertical MOS transistors are discussed.
  • like reference numbers are used to designate like elements.
  • FIG. 1A illustrates the initial steps for forming a vertical MOS transistor.
  • Substrate 20 which is a portion of a semiconductor wafer, is provided.
  • Substrate 20 may be a semiconductor substrate such as a silicon substrate, although other materials such as silicon germanium, silicon carbon, and the like, may be used.
  • Substrate 20 may also be a bulk semiconductor substrate or a silicon-on-insulator substrate.
  • substrate 20 is lightly-doped with a p-type impurity.
  • Region 22 is formed in substrate 20 , for example, through an implantation step. Region 22 may be one of the source region or the drain region of the resulting vertical MOS transistor, and hence is referred to as a first source/drain region hereinafter.
  • the region when a region is referred to as a “source/drain” region, the region may be a source region or a drain region.
  • the first source/drain region 22 may be heavily doped with an n-type impurity such as phosphorous, arsenic, and the like, for example, to an impurity concentration between about 1 ⁇ 10 19 /cm 3 and about 1 ⁇ 10 21 /cm 3 .
  • Nano-wire 26 is formed over substrate 20 , wherein the first source/drain region 22 may extend into nano-wire 26 .
  • nano-wire 26 has a horizontal dimension W 1 between about 10 nm and about 40 nm. It is appreciated, however, that the values recited throughout the description are merely examples, and may be changed to different values.
  • the height H 1 of nano-wire 26 may be between about 10 nm and about 45 nm.
  • Hard mask 28 is formed over nano-wire 26 , and may comprise silicon nitride, although other materials such as silicon oxide or oxynitride may be used.
  • the formation of nano-wire 26 may include, after implanting a surface portion of substrate 20 to form source/drain region 22 , performing an epitaxy to grow a semiconductor layer (such as silicon, silicon germanium, III-V semiconductor, or the like) over substrate 20 , forming a hard mask layer over the epitaxy layer, and then patterning the hard mask layer and the epitaxy layer to form hard mask 28 and nano-wire 26 , respectively.
  • the epitaxy layer may have a homogeneous structure having a uniform material such as silicon or silicon germanium. Alternatively, the epitaxy layer may have a heterogeneous structure including more than one layer.
  • portion 26 C of nano-wire 26 may be formed of germanium or silicon germanium, and portions 26 A and 26 B may be formed of silicon or silicon germanium. In the embodiments in which portions 26 A, 26 B, and 26 C all include silicon germanium, the germanium percentage in portion 26 C is greater than the germanium percentage in portions 26 A and 26 B.
  • a slightly over-etch may be performed, so that a top portion of substrate 20 forms a bottom portion of nano-wire 26 .
  • the respective nano-wire 26 thus includes epitaxy portion 25 over first source/drain region 22 .
  • Epitaxy portion 25 may be a p-type region, an intrinsic region, or an n-type region, and may be in-situ doped during the epitaxy.
  • dielectric layer 30 is formed.
  • dielectric layer 30 comprises an oxide such as silicon oxide.
  • the top surface of dielectric layer 30 is higher than hard mask 28 .
  • a Chemical Mechanical Polish (CMP) is performed to level the top surface of dielectric layer 30 with the top surface of hard mask 28 .
  • CMP Chemical Mechanical Polish
  • an etch-back is performed on dielectric layer 30 , and dielectric layer 30 is recessed.
  • the top surface of dielectric layer 30 is level with or lower than the interface between source/drain region 22 and epitaxy portion 25 , although the top surface of dielectric layer 30 may be higher than or at the same height as the interface.
  • FIG. 1E illustrates the formation of gate dielectric layer 32 .
  • gate dielectric layer 32 is formed in a conformal deposition process.
  • Gate dielectric layer 32 may comprise a high-k dielectric material such as hafnium oxide, zirconium oxide, or the like. Other oxides and/or nitrides of Hf, Al, La, Lu, Zr, Ti, Ta, Ba, Sr, and/or the like, may also be used in gate dielectric layer 32 .
  • an etch step is then performed to remove the horizontal portions of gate dielectric layer 32 , while the vertical portions of gate dielectric layer 32 are left on the sidewalls of nano-wire 26 .
  • gate electrode layer 34 is formed over gate dielectric layer 32 , as also shown in FIG. 1F .
  • Gate electrode layer 34 may include Al, Ti, Ta, W, Mo, Ru, Pt, Co, Ni, Pd, Nb, or alloys thereof.
  • gate electrode layer 34 also includes metallic compound such as TiN, TaC, or TaN.
  • FIG. 1G illustrates the formation of sacrificial oxide 36 , which is deposited to a level higher than the top surface of hard mask 28 .
  • a CMP is then performed to level the top surface of sacrificial oxide 36 with the top surface of hard mask 28 .
  • etch-back steps are then performed to remove the vertical portions of gate electrode layer 34 and the exposed portions of gate dielectric layer 32 .
  • the removed portions of gate dielectric layer 32 are over the horizontal portion of gate electrode layer 34 .
  • the remaining vertical portion of gate dielectric layer 32 is referred to as gate dielectric 32 hereinafter.
  • gate electrode 34 is further patterned.
  • the remaining portion of gate electrode layer 34 is referred to as gate electrode 34 hereinafter.
  • Gate dielectric 32 and gate electrode 34 form the gate stack of the resulting vertical MOS transistor.
  • gate dielectric 32 and gate electrode 34 encircle nano-wire 26 .
  • low-viscosity spacer 38 is formed on the sidewalls of nano-wire 26 , and over gate electrode 34 .
  • Low-viscosity spacer 38 encircles, and is in contact with, the top portion of nano-wire 26 .
  • the material of low-viscosity spacer 38 is selected, so that at temperatures (for example, between about 400° C. and about 1,000° C.) that are used in the subsequent oxidation of nano-wire 26 , low-viscosity spacer 38 is at least softened to have certain viscosity, and hence stress may be generated more efficiently in nano-wire 26 .
  • low-viscosity spacer 38 comprises Boron-Doped Phospho-Silicate Glass (BPSG), silicon germanium oxide, or the like, which have melting and softening temperatures lower that of silicon oxide. Alternatively stated, when heated with gradually increased temperatures, low-viscosity spacer 38 becomes soft earlier than silicon oxide. Thickness T 1 of low-viscosity spacer 38 may be between about 0.5 nm and about 4 nm in accordance with exemplary embodiments.
  • BPSG Boron-Doped Phospho-Silicate Glass
  • FIG. 1K illustrates the formation of dielectric layer 40 and the CMP step.
  • dielectric layer 40 comprises silicon oxide (SiO 2 ), although other dielectric materials may be used.
  • Dielectric layer 40 and low-viscosity spacer 38 are then etch back, as shown in FIG. 1L , and hence the top surfaces of dielectric layer 40 and low-viscosity spacer 38 are recessed.
  • Depth D 1 of the resulting dielectric layer 40 and low-viscosity spacer 38 may have depth D 1 greater than about 2 nm, for example. The top portion of nano-wire 26 thus protrudes over the top surface of dielectric layer 40 .
  • hard mask 28 may be removed, and the resulting structure is shown in FIG. 1M .
  • hard mask 28 is removed in a later step, such as in a step after the step shown in FIG. 1O , and before the step shown in FIG. 1P .
  • Non-permeable layer 42 is formed on the top surface and sidewalls of the protruding nano-wire 26 .
  • Non-permeable layer 42 is formed of a material that is not permeable by oxygen (O 2 ).
  • the thickness of non-permeable layer 42 is also great enough to block the penetration of oxygen, and the thickness may be between about 1 nm and about 5 nm in accordance with exemplary embodiments.
  • Non-permeable layer 42 has a shape of a cap, with a top portion, and a ring portion underlying and connected to the top portion. The ring portion encircles low-viscosity spacer 38 .
  • the structure in FIG. 1M may then go through a local oxidation process, during which the structure in FIG. 1M is placed in an oxygen-containing ambient, and is heated.
  • the oxygen-containing ambient may comprise oxygen (O 2 ), for example.
  • the respective wafer may be heated to an elevated temperature between about 450° C. and about 1,000° C.
  • the local oxidation may be performed for a period of time between about 1 minutes and about 100 minutes.
  • the oxidation is performed through a chemical oxidation at a low temperature, for example, using a chemical oxidizing agent or an oxidizing plasma.
  • non-permeable layer 42 prevents oxygen from penetrating, and hence the portion of nano-wire 26 protected by non-permeable layer 42 is not oxidized.
  • the oxygen penetrates through the top portion of dielectric layer 40 , and hence a middle portion of nano-wire 26 is oxidized to form oxide ring 44 , which encircles, and extends into, nano-wire 26 .
  • the oxidized middle portion is close to the interface between non-permeable layer 42 and dielectric layer 40 .
  • Oxide ring 44 extends beyond the respective sidewalls of nano-wire 26 .
  • the resulting nano-wire 26 thus includes a first portion over oxide ring 44 , a second portion underlying oxide ring 44 , and a third portion encircled by oxide ring 44 .
  • the first portion and the second portion of nano-wire 26 may have a similar horizontal width W 1
  • the third portion has a second horizontal width W 2 smaller than horizontal width W 1 .
  • Oxide ring 44 may be in contact with the underlying low-viscosity layer 38 and the underlying non-permeable layer 42 .
  • the generated oxide ring 44 has a volume greater than the volume of the oxidized portion of nano-wire 26 .
  • Oxide ring 44 is hence expanded in volume over the oxidized portion of nano-wire 26 , generating tensile strain 46 in nano-wire 26 .
  • low-viscosity spacer 38 is at least slightly softened, and hence it is easier for nano-wire 26 to have the shape change and volume change, and hence the tensile strain 46 is easy to be generated.
  • Low-viscosity spacer 38 thus acts as the lubricant for the generation of tensile strain 46 .
  • Tensile strain 46 may be as high as about 2G Pascal to about 8G Pascal in accordance with simulation results.
  • the formation of low-viscosity spacer 38 can be omitted if the strained is desirable to be concentrated in the upper part (drain side) of the respective transistor.
  • FIG. 1O illustrates the top portion of non-permeable layer 42 , which portion is over nano-wire 26 , is removed. If hard mask 28 ( FIG. 1L ) has not been removed yet, it may also be removed at this stage. The sidewall portion of non-permeable layer 42 encircling the top portion of nano-wire 26 may be left un-removed.
  • FIG. 1P illustrates the doping of the top portion of nano-wire 26 to form source/drain region 48 , wherein the doping step may be achieved by implanting an n-type impurity. Source/drain region 48 may be heavily doped to an impurity concentration between about 1 ⁇ 10 19 /cm 3 and about 1 ⁇ 10 21 /cm 3 .
  • At least a portion of nano-wire 26 encircled by gate electrode 34 is not doped in this step, which portion forms the channel of the resulting vertical MOS transistor 50 .
  • the doping of the top portion of the wire can be performed before the growth of the strain-generating oxide.
  • FIG. 1Q illustrates the formation of gate contact plug 54 and source/drain contact plugs 52 and 56 .
  • Gate contact plug 54 may comprise a metal comprising W, Ti, Ni, Co, or the silicides thereof including TiSi 2 , NiSi 2 , WSi 2 , CoSi 2 , or the like.
  • Gate contact plug 54 is electrically coupled to gate electrode 34 .
  • Source/drain contact plugs 52 and 56 are electrically coupled to source/drain regions 48 and 22 , respectively.
  • MOS transistor 50 is hence formed.
  • MOS transistor 50 is an NMOS transistor, and hence tensile strain 46 ( FIG. 1N ) helps improve its drive current Ion.
  • FIGS. 2A through 2G illustrate cross-sectional views of intermediate stages in the formation of an NMOS transistor in accordance with alternative embodiments.
  • the materials and the formation methods of the components in these embodiments are essentially the same as the like components, which are denoted by like reference numerals in the embodiments shown in FIGS. 1A through 1Q .
  • the details regarding the formation process and the materials of the components shown in FIGS. 2A through 2G may thus be found in the discussion of the embodiment shown in FIGS. 1A through 1Q .
  • low-viscosity spacer 38 is formed on the sidewalls of nano-wire 26 , and encircles nano-wire 26 .
  • Low-viscosity spacer 38 may comprise BPSG or silicon germanium oxide, for example.
  • Non-permeable layer 42 is also formed, which may be formed of silicon nitride, for example. In these embodiments, non-permeable layer 42 forms a ring that encircles low-viscosity spacer 38 .
  • Non-permeable layer 42 is hence referred to as non-permeable ring 42 hereinafter.
  • dielectric layer 40 is formed, followed by a CMP step, wherein hard mask 28 and non-permeable layer 42 may act as the CMP stop layer.
  • Dielectric layer 40 is then recessed, as shown in FIG. 2C , followed by a local oxidation step to generate oxide regions 44 , as shown in FIG. 2D .
  • the local oxidation is performed by oxidizing a top portion (a top ring) of nano-wire 26 .
  • the top end of oxide ring 44 is substantially level with the top surface of nano-wire 26 .
  • Oxide ring 44 also extends beyond the respective sidewalls of nano-wire 26 .
  • low-viscosity spacer 38 makes the generation of the tensile stress easier.
  • the formation of low-viscosity spacer 38 can be omitted if the strained is desirable to be concentrated in the upper part (drain side) of the respective transistor.
  • FIG. 2E illustrates the replenishment of dielectric layer 40 .
  • an implantation is performed to form source/drain region 48 .
  • Source/drain region 48 may be heavily doped to an n-type impurity concentration between about 1 ⁇ 10 19 /cm 3 and about 1 ⁇ 10 21 /cm 3 .
  • Contact plugs 52 , 54 , and 56 are then formed to finish the formation of vertical MOS transistor 50 , as shown in FIG. 2G .
  • the doping of the top portion of the transistor can be achieved prior to the growth of the strain-generating oxide.
  • FIGS. 3A through 3G illustrate cross-sectional views of intermediate stages in the formation of a vertical PMOS transistor in accordance with alternative embodiments.
  • the materials and formation methods of the components in these embodiments are similar to the like components, which are denoted by like reference numerals in the embodiments shown in FIGS. 1A through 2G .
  • the details regarding the formation process and the materials of the components shown in FIGS. 3A through 3G may thus be found in the discussion of the embodiment shown in FIGS. 1A through 2G .
  • Source/drain region 22 is of p-type in these embodiments.
  • dielectric layer 40 is formed, followed by an etch back of dielectric layer 40 . After the etch back, A top portion of nano-wire 26 is above the top surface of dielectric layer 40 .
  • Hard mask layer 60 is then formed over hard mask 28 and dielectric layer 40 .
  • Hard mask layer 60 may comprise silicon nitride in accordance with some embodiments, although different materials that are difficult for oxygen to penetrate may be used.
  • FIG. 3B hard mask 28 and the portion of hard mask layer 60 overlapping hard mask 28 are removed, for example, in a CMP step.
  • nano-wire 26 is exposed through hard mask 60 . Similar to the embodiments shown in FIGS. 1A through 2G , for vertical PMOS transistors, low-viscosity spacer 38 may be formed to encircle nano-wire 26 , as schematically illustrated in FIG. 3B .
  • FIG. 3C illustrates the recess of nano-wire 26 , which includes etching nano-wire 26 . Opening 62 is thus formed in hard mask 60 .
  • the top surface of the remaining nano-wire 26 may be substantially level with or lower than the bottom surface of hard mask 60 .
  • Hard mask layer 64 is then formed as a substantially conformal layer over hard mask 60 and dielectric layer 40 , and extends into opening 62 .
  • Hard mask layer 64 has a thickness smaller than one half, and may be smaller than about 25 percent, the thickness of hard mask 60 .
  • Hard mask layer 64 may comprise silicon nitride in accordance with some embodiments, although other materials that are difficult for oxygen to penetrate may also be used.
  • FIG. 3E illustrates the removal of the horizontal portions of hard mask layer 64 ( FIG. 3D ), which may be achieved, for example, through an anisotropic etch step.
  • the remaining portion of hard mask layer 64 in opening 62 forms spacer 66 , which is a ring on the sidewall of hard mask 60 .
  • spacer ring 66 and hard mask 60 may be formed of the same material or different materials. Since they are formed in different processes, there may be a distinguishable interface between spacer ring 66 and hard mask 60 , regardless of whether they are formed of the same material or not.
  • a portion of nano-wire 26 is exposed through the center region of spacer ring 66 .
  • a local oxidation is performed to oxidize the top portion of nano-wire 26 .
  • the process conditions are chosen, so that an entirety of a top layer of nano-wire 26 is oxidized, and hence the edge portion of the resulting oxide region 44 extends beyond the respective sidewalls of nano-wire 26 , and extends directly under hard mask 60 .
  • the edge portion of oxide region 44 which edge portion is overlapped by hard mask 60 , may also have a ring shape. Due to the expansion in the volume of the oxidized portion of nano-wire 26 , and further due to the fact the hard mask 60 suppresses the expansion in the volume, a compressive stress 146 is generated in nano-wire 26 .
  • the remaining components such as contact plugs 52 , 54 , and 56 are formed to finish the formation of PMOS transistor 150 , as shown in FIG. 3G .
  • the remaining portion of oxide region 44 may also form a ring, with source/drain contact plug 52 extending through the oxide ring 44 to electrically couple to source/drain region 48 .
  • FIG. 4 illustrates a structure that is used to simulate the tensile stress generated in NMOS transistors 50 ( FIGS. 1Q and 2G ).
  • semiconductor cap 164 is located over and connected to nano-wire 26 .
  • the oxidation of the outer portions of semiconductor cap 164 results in oxide region 166 .
  • Portions of oxide region 166 extend underlying and overlapped by the edge portions of semiconductor cap 164 .
  • the formation of oxide region 166 results in the expansion in volume of the oxidized portion of semiconductor cap 164 , and hence a tensile stress is generated in nano-wire 26 .
  • the simulated result of the stress is shown as line 70 in FIG.
  • the tensile stress in nano-wire 26 is illustrated as a function of distance D 1 ( FIG. 4 ), wherein distance D 1 is measured from the bottom of semiconductor cap 164 .
  • This means that the high tensile stress may be formed in the channel of vertical NMOS transistors as long as the distance of the channel from the bottom of semiconductor cap 164 is smaller than about 0.02 ⁇ m.
  • Line 70 is simulated with low-viscosity layer 38 ( FIG. 6 ) surrounding nano-wire 26 .
  • low-viscosity layer 38 is replaced by hard silicon oxide, then the respective simulated result is shown as line 72 .
  • line 72 drops much faster than line 70 when distance D 1 increases. This means that it is more difficult to generate a high tensile stress in the channel if low-viscosity layer 38 is not formed, unless the channel is formed very close to the bottom of semiconductor cap 164 .
  • FIG. 6 illustrates a structure that is used to simulate the compressive stress generated in vertical PMOS transistor 150 ( FIG. 3G ).
  • a semiconductor cap 164 is located over and connected to nano-wire 26 .
  • the oxidation of semiconductor cap 164 results in oxide region 166 .
  • Hard mask 160 is formed to suppress the volume expansion caused by the formation of oxide region 166 , and hence a compressive stress is generated in nano-wire 26 .
  • the simulated result of the stress is shown as line 170 in FIG. 7 , wherein the compressive stress in nano-wire 26 is illustrated as a function of the distance D 1 ( FIG. 6 ) from the bottom of semiconductor cap 164 .
  • the compressive stress may be as high as ⁇ 8G Pascal, and the stress may remain high when distance D 1 is smaller than about 0.02 ⁇ m.
  • the high compress stress may be formed in the channel of the vertical PMOS transistor as long as the distance of the channel from the bottom of semiconductor cap 164 is smaller than about 0.02 ⁇ m.
  • line 170 is simulated with low-viscosity layer 38 ( FIG. 6 ) surrounding nano-wire 26 . If low-viscosity layer 38 is replaced by silicon oxide, then the respective result is shown as line 172 .
  • line 172 drops much faster than line 170 when distance D 1 increases. This means that it is more difficult to generate a high compressive stress in channel if low-viscosity layer 38 is not formed, unless the channel is formed very close to the bottom of semiconductor cap 164 .
  • the generated stress may be concentrated in the channel region by adopting a semiconductor material that has a low Young's modulus to form the channel region.
  • the channel region may include portion 26 C formed of substantially pure germanium or silicon germanium.
  • the overlying portion 26 A and underlying portion 26 B of nano-wire 26 may be formed of silicon with no germanium comprised therein, or may be formed of silicon germanium, with the germanium concentration lower than in portion 26 C.
  • FIG. 8 illustrates a structure for simulating the concentration of the compressive stresses, wherein nano-wire portions 26 A and 26 B are silicon nano-wire portions, and portion 26 C is a germanium nano-wire portion.
  • the simulated stress is shown in FIG. 9 . It is shown by line 80 that the stress in portion 26 C is significantly greater than in neighboring portions 26 A and 26 B. As a comparison, if portions 26 A, 26 B, and 26 C are all formed of silicon, then the simulated stress will be shown as line 82 , which shows that the compressive stress in portion 26 C is not greater than in portions 26 A and 26 B.
  • a vertical MOS transistor includes a substrate and a nano-wire over the substrate.
  • the nano-wire comprises a semiconductor material.
  • An oxide ring extends from an outer sidewall of the nano-wire into the nano-wire, with a center portion of the nano-wire encircled by the oxide ring.
  • the vertical MOS transistor further includes a gate dielectric encircling a portion of the nano-wire, a gate electrode encircling the gate dielectric, a first source/drain region underlying the gate electrode, and a second source/drain region overlying the gate electrode. The second source/drain region extends into the center portion of the nano-wire.
  • a vertical MOS transistor includes a substrate, and a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material.
  • a gate dielectric encircles a middle portion of the nano-wire, wherein the nano-wire has an upper portion over the middle portion.
  • a gate electrode encircles the gate dielectric.
  • An oxide ring extends from an outer sidewall of the upper portion of the nano-wire into the nano-wire, wherein the upper portion of the nano-wire further comprises a first portion over the oxide ring, a second portion underlying the oxide ring, and a third portion encircled by the oxide ring.
  • a first source/drain region is underlying the gate electrode.
  • a second source/drain region is overlying the gate electrode, wherein the second source/drain region extends into the center portion of the nano-wire.
  • a vertical MOS transistor includes a substrate and a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material.
  • a gate dielectric encircles a middle portion of the nano-wire, with an upper portion of the nano-wire over the middle portion.
  • a gate electrode encircles the gate dielectric.
  • An oxide region has a portion overlapping the nano-wire.
  • a hard mask is over the oxide region, with an opening in the hard mask and overlapping the nano-wire, wherein at least an edge portion of the oxide region is overlapped by the hard mask.
  • a first source/drain region is underlying the gate electrode.
  • a second source/drain region is overlying the gate electrode, wherein the second source/drain region has a part in the nano-wire.

Abstract

A vertical Metal-Oxide-Semiconductor (MOS) transistor includes a substrate and a nano-wire over the substrate. The nano-wire comprises a semiconductor material. An oxide ring extends from an outer sidewall of the nano-wire into the nano-wire, with a center portion of the nano-wire encircled by the oxide ring. The vertical MOS transistor further includes a gate dielectric encircling a portion of the nano-wire, a gate electrode encircling the gate dielectric, a first source/drain region underlying the gate electrode, and a second source/drain region overlying the gate electrode. The second source/drain region extends into the center portion of the nano-wire. Localized oxidation produces a local swelling in the structure that generates a tensile or compressive strain in the nano-wire.

Description

BACKGROUND
Vertical Transistors are being researched recently. In a vertical transistor, a vertical column, which may be a vertical nano-wire formed of a semiconductor material, is formed over a substrate, which may be a bulk semiconductor wafer or a Semiconductor-On-Insulator (SOI) wafer. A gate dielectric and a gate electrode are formed to encircle the nano-wire, with the encircled portion of the nano-wire forming the channel of the respective vertical transistor. A source and a drain are formed, with one underlying the channel, and the other overlying the channel. The vertical transistor has a gate-all-around structure since the gate may fully encircle the channel. With the all-around gate structure, the drive current of the vertical transistor is high and short-channel effects are minimized.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIGS. 1A through 1Q are cross-sectional views of intermediate stages in the manufacturing of a vertical NMOS transistor in accordance with some exemplary embodiments;
FIGS. 2A through 2G are cross-sectional views of intermediate stages in the manufacturing of a vertical NMOS transistor in accordance with alternative exemplary embodiments;
FIGS. 3A through 3G are cross-sectional views of intermediate stages in the manufacturing of a vertical PMOS transistor in accordance with some exemplary embodiments;
FIG. 4 illustrates a vertical NMOS structure that is used to simulate the stress in NMOS transistors;
FIG. 5 illustrates the simulated stress in the vertical NMOS structure;
FIG. 6 illustrates a vertical PMOS structure that is used to simulate the stress in PMOS transistors;
FIG. 7 illustrates the simulated stress in the vertical PMOS structure;
FIG. 8 illustrates stresses in a vertical transistor, which includes a germanium channel between two silicon regions; and
FIG. 9 illustrates the simulated stress in the vertical transistor shown in FIG. 8.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
The making and using of the embodiments of the disclosure are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are illustrative, and do not limit the scope of the disclosure.
Vertical Metal-Oxide-Semiconductor (MOS) transistors and the methods of forming the same are provided in accordance with various exemplary embodiments. The intermediate stages of forming the vertical MOS transistors are illustrated in accordance with exemplary embodiments. The variations and the operation of the vertical MOS transistors are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
FIG. 1A illustrates the initial steps for forming a vertical MOS transistor. Substrate 20, which is a portion of a semiconductor wafer, is provided. Substrate 20 may be a semiconductor substrate such as a silicon substrate, although other materials such as silicon germanium, silicon carbon, and the like, may be used. Substrate 20 may also be a bulk semiconductor substrate or a silicon-on-insulator substrate. In some embodiments, substrate 20 is lightly-doped with a p-type impurity. Region 22 is formed in substrate 20, for example, through an implantation step. Region 22 may be one of the source region or the drain region of the resulting vertical MOS transistor, and hence is referred to as a first source/drain region hereinafter. Throughout the description, when a region is referred to as a “source/drain” region, the region may be a source region or a drain region. The first source/drain region 22 may be heavily doped with an n-type impurity such as phosphorous, arsenic, and the like, for example, to an impurity concentration between about 1×1019/cm3 and about 1×1021/cm3.
Nano-wire 26 is formed over substrate 20, wherein the first source/drain region 22 may extend into nano-wire 26. In some embodiments, nano-wire 26 has a horizontal dimension W1 between about 10 nm and about 40 nm. It is appreciated, however, that the values recited throughout the description are merely examples, and may be changed to different values. The height H1 of nano-wire 26 may be between about 10 nm and about 45 nm. Hard mask 28 is formed over nano-wire 26, and may comprise silicon nitride, although other materials such as silicon oxide or oxynitride may be used. The formation of nano-wire 26 may include, after implanting a surface portion of substrate 20 to form source/drain region 22, performing an epitaxy to grow a semiconductor layer (such as silicon, silicon germanium, III-V semiconductor, or the like) over substrate 20, forming a hard mask layer over the epitaxy layer, and then patterning the hard mask layer and the epitaxy layer to form hard mask 28 and nano-wire 26, respectively. The epitaxy layer may have a homogeneous structure having a uniform material such as silicon or silicon germanium. Alternatively, the epitaxy layer may have a heterogeneous structure including more than one layer. For example, portion 26C of nano-wire 26 may be formed of germanium or silicon germanium, and portions 26A and 26B may be formed of silicon or silicon germanium. In the embodiments in which portions 26A, 26B, and 26C all include silicon germanium, the germanium percentage in portion 26C is greater than the germanium percentage in portions 26A and 26B. In the patterning for forming nano-wire 26, a slightly over-etch may be performed, so that a top portion of substrate 20 forms a bottom portion of nano-wire 26. The respective nano-wire 26 thus includes epitaxy portion 25 over first source/drain region 22. Epitaxy portion 25 may be a p-type region, an intrinsic region, or an n-type region, and may be in-situ doped during the epitaxy.
Referring to FIG. 1B, dielectric layer 30 is formed. In some embodiments, dielectric layer 30 comprises an oxide such as silicon oxide. The top surface of dielectric layer 30 is higher than hard mask 28. Next, as shown in FIG. 1C, a Chemical Mechanical Polish (CMP) is performed to level the top surface of dielectric layer 30 with the top surface of hard mask 28. In a subsequent step, as shown in FIG. 1D, an etch-back is performed on dielectric layer 30, and dielectric layer 30 is recessed. In some embodiments, the top surface of dielectric layer 30 is level with or lower than the interface between source/drain region 22 and epitaxy portion 25, although the top surface of dielectric layer 30 may be higher than or at the same height as the interface.
FIG. 1E illustrates the formation of gate dielectric layer 32. In some embodiments, gate dielectric layer 32 is formed in a conformal deposition process. Gate dielectric layer 32 may comprise a high-k dielectric material such as hafnium oxide, zirconium oxide, or the like. Other oxides and/or nitrides of Hf, Al, La, Lu, Zr, Ti, Ta, Ba, Sr, and/or the like, may also be used in gate dielectric layer 32. As shown in FIG. 1F, an etch step is then performed to remove the horizontal portions of gate dielectric layer 32, while the vertical portions of gate dielectric layer 32 are left on the sidewalls of nano-wire 26. Next, gate electrode layer 34 is formed over gate dielectric layer 32, as also shown in FIG. 1F. Gate electrode layer 34 may include Al, Ti, Ta, W, Mo, Ru, Pt, Co, Ni, Pd, Nb, or alloys thereof. In other embodiments, gate electrode layer 34 also includes metallic compound such as TiN, TaC, or TaN.
FIG. 1G illustrates the formation of sacrificial oxide 36, which is deposited to a level higher than the top surface of hard mask 28. A CMP is then performed to level the top surface of sacrificial oxide 36 with the top surface of hard mask 28. As shown in FIG. 1H, etch-back steps are then performed to remove the vertical portions of gate electrode layer 34 and the exposed portions of gate dielectric layer 32. The removed portions of gate dielectric layer 32 are over the horizontal portion of gate electrode layer 34. The remaining vertical portion of gate dielectric layer 32 is referred to as gate dielectric 32 hereinafter.
Next, referring to FIG. 1I, gate electrode 34 is further patterned. The remaining portion of gate electrode layer 34 is referred to as gate electrode 34 hereinafter. Gate dielectric 32 and gate electrode 34 form the gate stack of the resulting vertical MOS transistor. In a top view of the structure in FIG. 1I, gate dielectric 32 and gate electrode 34 encircle nano-wire 26.
Next, as shown in FIG. 1J, low-viscosity spacer 38 is formed on the sidewalls of nano-wire 26, and over gate electrode 34. Low-viscosity spacer 38 encircles, and is in contact with, the top portion of nano-wire 26. The material of low-viscosity spacer 38 is selected, so that at temperatures (for example, between about 400° C. and about 1,000° C.) that are used in the subsequent oxidation of nano-wire 26, low-viscosity spacer 38 is at least softened to have certain viscosity, and hence stress may be generated more efficiently in nano-wire 26. In some embodiments, low-viscosity spacer 38 comprises Boron-Doped Phospho-Silicate Glass (BPSG), silicon germanium oxide, or the like, which have melting and softening temperatures lower that of silicon oxide. Alternatively stated, when heated with gradually increased temperatures, low-viscosity spacer 38 becomes soft earlier than silicon oxide. Thickness T1 of low-viscosity spacer 38 may be between about 0.5 nm and about 4 nm in accordance with exemplary embodiments.
FIG. 1K illustrates the formation of dielectric layer 40 and the CMP step. In some embodiments, dielectric layer 40 comprises silicon oxide (SiO2), although other dielectric materials may be used. Dielectric layer 40 and low-viscosity spacer 38 are then etch back, as shown in FIG. 1L, and hence the top surfaces of dielectric layer 40 and low-viscosity spacer 38 are recessed. Depth D1 of the resulting dielectric layer 40 and low-viscosity spacer 38 may have depth D1 greater than about 2 nm, for example. The top portion of nano-wire 26 thus protrudes over the top surface of dielectric layer 40.
In accordance with some embodiments, hard mask 28 may be removed, and the resulting structure is shown in FIG. 1M. In alternative embodiments, hard mask 28 is removed in a later step, such as in a step after the step shown in FIG. 1O, and before the step shown in FIG. 1P. Non-permeable layer 42 is formed on the top surface and sidewalls of the protruding nano-wire 26. Non-permeable layer 42 is formed of a material that is not permeable by oxygen (O2). The thickness of non-permeable layer 42 is also great enough to block the penetration of oxygen, and the thickness may be between about 1 nm and about 5 nm in accordance with exemplary embodiments. Non-permeable layer 42 has a shape of a cap, with a top portion, and a ring portion underlying and connected to the top portion. The ring portion encircles low-viscosity spacer 38.
The structure in FIG. 1M may then go through a local oxidation process, during which the structure in FIG. 1M is placed in an oxygen-containing ambient, and is heated. The oxygen-containing ambient may comprise oxygen (O2), for example. In the local oxidation, the respective wafer may be heated to an elevated temperature between about 450° C. and about 1,000° C. The local oxidation may be performed for a period of time between about 1 minutes and about 100 minutes. In other embodiments, the oxidation is performed through a chemical oxidation at a low temperature, for example, using a chemical oxidizing agent or an oxidizing plasma. During the local oxidation, non-permeable layer 42 prevents oxygen from penetrating, and hence the portion of nano-wire 26 protected by non-permeable layer 42 is not oxidized. As a result of the local oxidation, the oxygen penetrates through the top portion of dielectric layer 40, and hence a middle portion of nano-wire 26 is oxidized to form oxide ring 44, which encircles, and extends into, nano-wire 26. The oxidized middle portion is close to the interface between non-permeable layer 42 and dielectric layer 40. Oxide ring 44 extends beyond the respective sidewalls of nano-wire 26. The resulting nano-wire 26 thus includes a first portion over oxide ring 44, a second portion underlying oxide ring 44, and a third portion encircled by oxide ring 44. The first portion and the second portion of nano-wire 26 may have a similar horizontal width W1, while the third portion has a second horizontal width W2 smaller than horizontal width W1. Oxide ring 44 may be in contact with the underlying low-viscosity layer 38 and the underlying non-permeable layer 42.
As a result of the local oxidation, the generated oxide ring 44 has a volume greater than the volume of the oxidized portion of nano-wire 26. Oxide ring 44 is hence expanded in volume over the oxidized portion of nano-wire 26, generating tensile strain 46 in nano-wire 26. During the oxidation, low-viscosity spacer 38 is at least slightly softened, and hence it is easier for nano-wire 26 to have the shape change and volume change, and hence the tensile strain 46 is easy to be generated. Low-viscosity spacer 38 thus acts as the lubricant for the generation of tensile strain 46. Tensile strain 46 may be as high as about 2G Pascal to about 8G Pascal in accordance with simulation results. The formation of low-viscosity spacer 38 can be omitted if the strained is desirable to be concentrated in the upper part (drain side) of the respective transistor.
Next, referring to FIG. 1O, the top portion of non-permeable layer 42, which portion is over nano-wire 26, is removed. If hard mask 28 (FIG. 1L) has not been removed yet, it may also be removed at this stage. The sidewall portion of non-permeable layer 42 encircling the top portion of nano-wire 26 may be left un-removed. FIG. 1P illustrates the doping of the top portion of nano-wire 26 to form source/drain region 48, wherein the doping step may be achieved by implanting an n-type impurity. Source/drain region 48 may be heavily doped to an impurity concentration between about 1×1019/cm3 and about 1×1021/cm3. At least a portion of nano-wire 26 encircled by gate electrode 34 is not doped in this step, which portion forms the channel of the resulting vertical MOS transistor 50. Alternatively, the doping of the top portion of the wire can be performed before the growth of the strain-generating oxide.
FIG. 1Q illustrates the formation of gate contact plug 54 and source/drain contact plugs 52 and 56. Gate contact plug 54 may comprise a metal comprising W, Ti, Ni, Co, or the silicides thereof including TiSi2, NiSi2, WSi2, CoSi2, or the like. Gate contact plug 54 is electrically coupled to gate electrode 34. Source/drain contact plugs 52 and 56 are electrically coupled to source/ drain regions 48 and 22, respectively. MOS transistor 50 is hence formed. MOS transistor 50 is an NMOS transistor, and hence tensile strain 46 (FIG. 1N) helps improve its drive current Ion.
FIGS. 2A through 2G illustrate cross-sectional views of intermediate stages in the formation of an NMOS transistor in accordance with alternative embodiments. Unless specified otherwise, the materials and the formation methods of the components in these embodiments are essentially the same as the like components, which are denoted by like reference numerals in the embodiments shown in FIGS. 1A through 1Q. The details regarding the formation process and the materials of the components shown in FIGS. 2A through 2G may thus be found in the discussion of the embodiment shown in FIGS. 1A through 1Q.
The initial steps of these embodiments are essentially the same as shown in FIGS. 1A through 1I. Next, referring to FIG. 2A, low-viscosity spacer 38 is formed on the sidewalls of nano-wire 26, and encircles nano-wire 26. Low-viscosity spacer 38 may comprise BPSG or silicon germanium oxide, for example. Non-permeable layer 42 is also formed, which may be formed of silicon nitride, for example. In these embodiments, non-permeable layer 42 forms a ring that encircles low-viscosity spacer 38. Non-permeable layer 42 is hence referred to as non-permeable ring 42 hereinafter.
Referring to FIG. 2B, dielectric layer 40 is formed, followed by a CMP step, wherein hard mask 28 and non-permeable layer 42 may act as the CMP stop layer. Dielectric layer 40 is then recessed, as shown in FIG. 2C, followed by a local oxidation step to generate oxide regions 44, as shown in FIG. 2D. The local oxidation is performed by oxidizing a top portion (a top ring) of nano-wire 26. The top end of oxide ring 44 is substantially level with the top surface of nano-wire 26. Oxide ring 44 also extends beyond the respective sidewalls of nano-wire 26. Again, due to the expansion in the volume of the oxidized portion of nano-wire 26, tensile stress may be generated in nano-wire 26, wherein low-viscosity spacer 38 makes the generation of the tensile stress easier. The formation of low-viscosity spacer 38 can be omitted if the strained is desirable to be concentrated in the upper part (drain side) of the respective transistor.
FIG. 2E illustrates the replenishment of dielectric layer 40. Next, as shown in FIG. 2F, an implantation is performed to form source/drain region 48. Source/drain region 48 may be heavily doped to an n-type impurity concentration between about 1×1019/cm3 and about 1×1021/cm3. Contact plugs 52, 54, and 56 are then formed to finish the formation of vertical MOS transistor 50, as shown in FIG. 2G. Alternatively, the doping of the top portion of the transistor can be achieved prior to the growth of the strain-generating oxide.
FIGS. 3A through 3G illustrate cross-sectional views of intermediate stages in the formation of a vertical PMOS transistor in accordance with alternative embodiments. Unless specified otherwise, the materials and formation methods of the components in these embodiments are similar to the like components, which are denoted by like reference numerals in the embodiments shown in FIGS. 1A through 2G. The details regarding the formation process and the materials of the components shown in FIGS. 3A through 3G may thus be found in the discussion of the embodiment shown in FIGS. 1A through 2G.
The initial steps of these embodiments are similar to shown in FIGS. 1A through 1I. Source/drain region 22 is of p-type in these embodiments. Next, referring to FIG. 3A, dielectric layer 40 is formed, followed by an etch back of dielectric layer 40. After the etch back, A top portion of nano-wire 26 is above the top surface of dielectric layer 40. Hard mask layer 60 is then formed over hard mask 28 and dielectric layer 40. Hard mask layer 60 may comprise silicon nitride in accordance with some embodiments, although different materials that are difficult for oxygen to penetrate may be used. Next, as shown in FIG. 3B, hard mask 28 and the portion of hard mask layer 60 overlapping hard mask 28 are removed, for example, in a CMP step. The top surface of nano-wire 26 is exposed through hard mask 60. Similar to the embodiments shown in FIGS. 1A through 2G, for vertical PMOS transistors, low-viscosity spacer 38 may be formed to encircle nano-wire 26, as schematically illustrated in FIG. 3B.
FIG. 3C illustrates the recess of nano-wire 26, which includes etching nano-wire 26. Opening 62 is thus formed in hard mask 60. The top surface of the remaining nano-wire 26 may be substantially level with or lower than the bottom surface of hard mask 60. Hard mask layer 64 is then formed as a substantially conformal layer over hard mask 60 and dielectric layer 40, and extends into opening 62. Hard mask layer 64 has a thickness smaller than one half, and may be smaller than about 25 percent, the thickness of hard mask 60. Hard mask layer 64 may comprise silicon nitride in accordance with some embodiments, although other materials that are difficult for oxygen to penetrate may also be used.
FIG. 3E illustrates the removal of the horizontal portions of hard mask layer 64 (FIG. 3D), which may be achieved, for example, through an anisotropic etch step. The remaining portion of hard mask layer 64 in opening 62 forms spacer 66, which is a ring on the sidewall of hard mask 60. Although spacer ring 66 and hard mask 60 may be formed of the same material or different materials. Since they are formed in different processes, there may be a distinguishable interface between spacer ring 66 and hard mask 60, regardless of whether they are formed of the same material or not. A portion of nano-wire 26 is exposed through the center region of spacer ring 66.
Next, as shown in FIG. 3F, a local oxidation is performed to oxidize the top portion of nano-wire 26. In some embodiments, the process conditions are chosen, so that an entirety of a top layer of nano-wire 26 is oxidized, and hence the edge portion of the resulting oxide region 44 extends beyond the respective sidewalls of nano-wire 26, and extends directly under hard mask 60. The edge portion of oxide region 44, which edge portion is overlapped by hard mask 60, may also have a ring shape. Due to the expansion in the volume of the oxidized portion of nano-wire 26, and further due to the fact the hard mask 60 suppresses the expansion in the volume, a compressive stress 146 is generated in nano-wire 26. After the local oxidation, the remaining components such as contact plugs 52, 54, and 56 are formed to finish the formation of PMOS transistor 150, as shown in FIG. 3G. In the resulting PMOS transistor 150, the remaining portion of oxide region 44 may also form a ring, with source/drain contact plug 52 extending through the oxide ring 44 to electrically couple to source/drain region 48.
FIG. 4 illustrates a structure that is used to simulate the tensile stress generated in NMOS transistors 50 (FIGS. 1Q and 2G). In the simulated structure, semiconductor cap 164 is located over and connected to nano-wire 26. The oxidation of the outer portions of semiconductor cap 164 results in oxide region 166. Portions of oxide region 166 extend underlying and overlapped by the edge portions of semiconductor cap 164. The formation of oxide region 166 results in the expansion in volume of the oxidized portion of semiconductor cap 164, and hence a tensile stress is generated in nano-wire 26. The simulated result of the stress is shown as line 70 in FIG. 5, wherein the tensile stress in nano-wire 26 is illustrated as a function of distance D1 (FIG. 4), wherein distance D1 is measured from the bottom of semiconductor cap 164. The results indicated that the tensile stress may be as high as 8G Pascal, and the stress may remain high when distance D1 is smaller than about 0.02 μm. This means that the high tensile stress may be formed in the channel of vertical NMOS transistors as long as the distance of the channel from the bottom of semiconductor cap 164 is smaller than about 0.02 μm. Line 70 is simulated with low-viscosity layer 38 (FIG. 6) surrounding nano-wire 26. If low-viscosity layer 38 is replaced by hard silicon oxide, then the respective simulated result is shown as line 72. Compared to line 70, line 72 drops much faster than line 70 when distance D1 increases. This means that it is more difficult to generate a high tensile stress in the channel if low-viscosity layer 38 is not formed, unless the channel is formed very close to the bottom of semiconductor cap 164.
FIG. 6 illustrates a structure that is used to simulate the compressive stress generated in vertical PMOS transistor 150 (FIG. 3G). In the simulated structure, a semiconductor cap 164 is located over and connected to nano-wire 26. The oxidation of semiconductor cap 164 results in oxide region 166. Hard mask 160 is formed to suppress the volume expansion caused by the formation of oxide region 166, and hence a compressive stress is generated in nano-wire 26. The simulated result of the stress is shown as line 170 in FIG. 7, wherein the compressive stress in nano-wire 26 is illustrated as a function of the distance D1 (FIG. 6) from the bottom of semiconductor cap 164. The results also indicated that the compressive stress may be as high as −8G Pascal, and the stress may remain high when distance D1 is smaller than about 0.02 μm. This means that the high compress stress may be formed in the channel of the vertical PMOS transistor as long as the distance of the channel from the bottom of semiconductor cap 164 is smaller than about 0.02 μm. Furthermore, line 170 is simulated with low-viscosity layer 38 (FIG. 6) surrounding nano-wire 26. If low-viscosity layer 38 is replaced by silicon oxide, then the respective result is shown as line 172. Compared to line 170, line 172 drops much faster than line 170 when distance D1 increases. This means that it is more difficult to generate a high compressive stress in channel if low-viscosity layer 38 is not formed, unless the channel is formed very close to the bottom of semiconductor cap 164.
In accordance with some embodiments, the generated stress may be concentrated in the channel region by adopting a semiconductor material that has a low Young's modulus to form the channel region. For example, as shown in FIGS. 1Q, 2G, and 3G, the channel region may include portion 26C formed of substantially pure germanium or silicon germanium. The overlying portion 26A and underlying portion 26B of nano-wire 26 may be formed of silicon with no germanium comprised therein, or may be formed of silicon germanium, with the germanium concentration lower than in portion 26C.
FIG. 8 illustrates a structure for simulating the concentration of the compressive stresses, wherein nano- wire portions 26A and 26B are silicon nano-wire portions, and portion 26C is a germanium nano-wire portion. The simulated stress is shown in FIG. 9. It is shown by line 80 that the stress in portion 26C is significantly greater than in neighboring portions 26A and 26B. As a comparison, if portions 26A, 26B, and 26C are all formed of silicon, then the simulated stress will be shown as line 82, which shows that the compressive stress in portion 26C is not greater than in portions 26A and 26B.
In accordance with some embodiments, a vertical MOS transistor includes a substrate and a nano-wire over the substrate. The nano-wire comprises a semiconductor material. An oxide ring extends from an outer sidewall of the nano-wire into the nano-wire, with a center portion of the nano-wire encircled by the oxide ring. The vertical MOS transistor further includes a gate dielectric encircling a portion of the nano-wire, a gate electrode encircling the gate dielectric, a first source/drain region underlying the gate electrode, and a second source/drain region overlying the gate electrode. The second source/drain region extends into the center portion of the nano-wire.
In accordance with other embodiments, a vertical MOS transistor includes a substrate, and a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material. A gate dielectric encircles a middle portion of the nano-wire, wherein the nano-wire has an upper portion over the middle portion. A gate electrode encircles the gate dielectric. An oxide ring extends from an outer sidewall of the upper portion of the nano-wire into the nano-wire, wherein the upper portion of the nano-wire further comprises a first portion over the oxide ring, a second portion underlying the oxide ring, and a third portion encircled by the oxide ring. A first source/drain region is underlying the gate electrode. A second source/drain region is overlying the gate electrode, wherein the second source/drain region extends into the center portion of the nano-wire.
In accordance with yet other embodiments, a vertical MOS transistor includes a substrate and a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material. A gate dielectric encircles a middle portion of the nano-wire, with an upper portion of the nano-wire over the middle portion. A gate electrode encircles the gate dielectric. An oxide region has a portion overlapping the nano-wire. A hard mask is over the oxide region, with an opening in the hard mask and overlapping the nano-wire, wherein at least an edge portion of the oxide region is overlapped by the hard mask. A first source/drain region is underlying the gate electrode. A second source/drain region is overlying the gate electrode, wherein the second source/drain region has a part in the nano-wire.
Although the embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the embodiments as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the disclosure.

Claims (19)

What is claimed is:
1. A vertical Metal-Oxide-Semiconductor (MOS) transistor comprising:
a substrate;
a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material;
an oxide ring penetrating from an outer sidewall of the nano-wire into the nano-wire, with a center portion of the nano-wire being located between two portions of the oxide ring;
a gate dielectric comprising portions on opposite sides of a portion of the nano-wire;
a gate electrode comprising portions on opposite sides of the gate dielectric;
a first source/drain region lower than the gate electrode; and
a second source/drain region higher than the gate electrode.
2. The MOS transistor of claim 1 further comprising a low-viscosity dielectric material forming a ring comprising portions on opposite sides of a portion of the nano-wire, wherein the low-viscosity dielectric material has a softening temperature lower than a softening temperature of silicon dioxide.
3. The MOS transistor of claim 2, wherein the low-viscosity dielectric material is selected from the group consisting essentially of Boron-Doped Phospho-Silicate Glass (BPSG) and silicon germanium oxide.
4. The MOS transistor of claim 1, wherein the nano-wire comprises a top portion over the oxide ring, wherein a bottom surface of the top portion of the nano-wire is in contact with a top surface of the oxide ring, and wherein the second source/drain region comprises a top portion of the nano-wire.
5. The MOS transistor of claim 1, wherein the oxide ring has a top surface substantially level with a top surface of the nano-wire, and wherein the MOS transistor further comprises a non-permeable ring encircling a portion of the nano-wire over the gate electrode.
6. The MOS transistor of claim 1, wherein the oxide ring has a top surface substantially level with a top surface of the nano-wire, wherein the MOS transistor further comprises a hard mask layer, with an opening in the hard mask layer and overlapping the nano-wire, and wherein outer portions of the oxide ring is overlapped by a portion of the hard mask.
7. The MOS transistor of claim 1, wherein the nano-wire comprises:
a first semiconductor layer between two portions of the gate dielectric, wherein the first semiconductor layer has a first Young's modulus;
a second semiconductor layer over the first semiconductor layer; and
a third semiconductor layer under the first semiconductor layer, wherein the second and the third semiconductor layers have second Young's modulus higher than the first Young's modulus.
8. A vertical Metal-Oxide-Semiconductor (MOS) transistor comprising:
a substrate;
a nano-wire over the substrate, wherein the nano-wire comprises a semiconductor material;
a gate dielectric comprising portions on opposite sides of a middle portion of the nano-wire, wherein the nano-wire comprises an upper portion over the middle portion;
a gate electrode encircling the gate dielectric;
an oxide ring penetrating from an outer sidewall of the upper portion of the nano-wire into the nano-wire, wherein the upper portion of the nano-wire further comprises a first portion higher than the oxide ring, a second portion lower than the oxide ring, and a third portion between two opposite portions of the oxide ring;
a first source/drain region lower than the gate electrode; and
a second source/drain region higher than the gate electrode, wherein the second source/drain region extends into the upper portion of the nano-wire.
9. The MOS transistor of claim 8, wherein the middle portion of the nano-wire has a tensile stress, with the stress in a longitudinal direction of the nano-wire.
10. The MOS transistor of claim 8 further comprising a low-viscosity dielectric ring over the gate electrode and under the oxide ring, wherein the low-viscosity dielectric ring comprises portions on opposite sides of the nano-wire, and has a top end contacting the oxide ring, and wherein the low-viscosity dielectric ring has a melting temperature lower than a melting temperature of silicon dioxide.
11. The MOS transistor of claim 10, wherein the low-viscosity dielectric ring comprises a material selected from the group consisting essentially of Boron-Doped Phospho-Silicate Glass (BPSG) and silicon germanium oxide.
12. The MOS transistor of claim 10, wherein the low-viscosity dielectric ring comprises a bottom end in contact with at least one of the gate dielectric and the gate electrode.
13. The MOS transistor of claim 8 further comprising a non-permeable ring over and contacting the oxide ring, wherein the non-permeable ring comprises portions on opposite sides of the nano-wire, and has a top end substantially level with a top surface of the nano-wire.
14. The MOS transistor of claim 8, wherein the nano-wire comprises:
a first semiconductor layer between two portions of the gate dielectric, wherein the first semiconductor layer has a first Young's modulus;
a second semiconductor layer over the first semiconductor layer; and
a third semiconductor layer under the first semiconductor layer, wherein the second and the third semiconductor layers have second Young's modulus higher than the first Young's modulus.
15. The MOS transistor of claim 14, wherein the first semiconductor layer comprises a germanium layer, and the second and the third semiconductor layers comprise silicon and have a smaller germanium percentage than the first semiconductor layer.
16. The MOS transistor of claim 8, wherein the third portion of the upper portion of the nano-wire has a horizontal width smaller than horizontal widths of the first portion the second portion of the upper portion of the nano-wire.
17. A vertical Metal-Oxide-Semiconductor (MOS) transistor comprising:
a substrate;
a first source/drain region having a portion in the substrate;
a nano-wire over the substrate, wherein the nano-wire forms a channel region of the MOS transistor;
a gate dielectric encircling the nano-wire;
a gate electrode encircling the gate dielectric;
a second source/drain region comprising a top portion of the nano-wire; and
a dielectric region extending from an outer sidewall of the second source/drain region into the second source/drain region, wherein the second source/drain region comprises:
a first portion underlying the dielectric region;
a second portion level with the dielectric region; and
a third portion overlying the dielectric region, wherein a first horizontal dimension of the second portion is smaller than second horizontal dimensions of the first portion and the third portion.
18. The MOS transistor of claim 17, wherein the dielectric region forms a ring encircling the second portion.
19. The MOS transistor of claim 17, wherein portions of the dielectric region overlapped by the first portion form a ring.
US13/763,110 2013-02-08 2013-02-08 Method for inducing strain in vertical semiconductor columns Active 2033-05-23 US9368619B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/763,110 US9368619B2 (en) 2013-02-08 2013-02-08 Method for inducing strain in vertical semiconductor columns
KR1020130088684A KR101423429B1 (en) 2013-02-08 2013-07-26 Method for inducing strain in vertical semiconductor columns
US14/599,247 US9466668B2 (en) 2013-02-08 2015-01-16 Inducing localized strain in vertical nanowire transistors
US15/164,441 US9935198B2 (en) 2013-02-08 2016-05-25 Method for inducing strain in vertical semiconductor columns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/763,110 US9368619B2 (en) 2013-02-08 2013-02-08 Method for inducing strain in vertical semiconductor columns

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/599,247 Continuation-In-Part US9466668B2 (en) 2013-02-08 2015-01-16 Inducing localized strain in vertical nanowire transistors
US15/164,441 Continuation US9935198B2 (en) 2013-02-08 2016-05-25 Method for inducing strain in vertical semiconductor columns

Publications (2)

Publication Number Publication Date
US20140225184A1 US20140225184A1 (en) 2014-08-14
US9368619B2 true US9368619B2 (en) 2016-06-14

Family

ID=51296916

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/763,110 Active 2033-05-23 US9368619B2 (en) 2013-02-08 2013-02-08 Method for inducing strain in vertical semiconductor columns
US15/164,441 Active US9935198B2 (en) 2013-02-08 2016-05-25 Method for inducing strain in vertical semiconductor columns

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/164,441 Active US9935198B2 (en) 2013-02-08 2016-05-25 Method for inducing strain in vertical semiconductor columns

Country Status (2)

Country Link
US (2) US9368619B2 (en)
KR (1) KR101423429B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170012126A1 (en) * 2014-03-28 2017-01-12 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US10347745B2 (en) 2016-09-19 2019-07-09 Globalfoundries Inc. Methods of forming bottom and top source/drain regions on a vertical transistor device
US10714601B2 (en) 2017-08-07 2020-07-14 Commissariat A L'energie Atomique Et Aux Energies Alternatives Fabrication of a transistor with a channel structure and semimetal source and drain regions

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9978863B2 (en) 2013-08-16 2018-05-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9356020B2 (en) 2013-09-12 2016-05-31 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement
US9633907B2 (en) * 2014-05-28 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning
US9196730B1 (en) 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
US9614091B2 (en) * 2014-06-20 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure and method for fabricating the same
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9620607B2 (en) * 2014-12-04 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device structure and Fin field effect transistor (FinFET) device structure
US9472551B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical CMOS structure and method
US9899489B2 (en) * 2015-02-13 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
KR20160103607A (en) * 2015-02-24 2016-09-02 한국과학기술원 Method for enhancing performance of plasma-wave transistor
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
JP6378826B2 (en) * 2015-04-06 2018-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor device having SGT and manufacturing method thereof
US9755013B2 (en) * 2015-04-22 2017-09-05 Globalfoundries Inc. High density capacitor structure and method
DE102015110490A1 (en) * 2015-06-30 2017-01-05 Infineon Technologies Austria Ag Semiconductor devices and a method of forming a semiconductor device
US10121858B2 (en) * 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
EP3185299B1 (en) 2015-12-21 2023-05-24 IMEC vzw Self-alligned nanostructures for semi-conductor device
KR20170086844A (en) * 2016-01-19 2017-07-27 에스케이하이닉스 주식회사 Semiconductor Integrated Circuit Device with planar surface and Method of Manufacturing The Same
JP2019508899A (en) * 2016-03-11 2019-03-28 アイメック・ヴェーゼットウェーImec Vzw Method of disposing a target layer surrounding vertical nanostructures
US9570552B1 (en) 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10026827B2 (en) 2016-04-10 2018-07-17 United Microelectronics Corp. Method for fabricating semiconductor device
CN109494151B (en) 2017-09-12 2021-03-30 联华电子股份有限公司 Vertical metal oxide semiconductor transistor and manufacturing method thereof
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US11688775B2 (en) 2018-08-13 2023-06-27 International Business Machines Corporation Method of forming first and second contacts self-aligned top source/drain region of a vertical field-effect transistor
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
EP4010930A4 (en) 2019-08-09 2023-03-01 Micron Technology, Inc. Transistor and methods of forming transistors
CN113299555B (en) * 2020-02-24 2022-11-22 芯恩(青岛)集成电路有限公司 Surrounding gate transistor structure and preparation method thereof
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors
CN114242780A (en) * 2021-12-21 2022-03-25 北京超弦存储器研究院 Indium tin oxide vertical ring grid field effect transistor and preparation method thereof

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227425A1 (en) * 2003-11-18 2005-10-13 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050255667A1 (en) * 2004-05-14 2005-11-17 Applied Materials, Inc., A Delaware Corporation Method of inducing stresses in the channel region of a transistor
KR20060012724A (en) 2004-08-04 2006-02-09 학교법인 한양학원 Vertical semiconductor devices using nanowires and method of manufacturing the same
KR100712552B1 (en) 2006-02-13 2007-05-02 삼성전자주식회사 Semiconductor device with vertical channel transistor and method of manufacturing the same
KR100771871B1 (en) 2006-05-24 2007-11-01 삼성전자주식회사 Semiconductor device including vertical channel transistor
US20080197418A1 (en) 2007-02-16 2008-08-21 Power Integrations, Inc. Gate pullback at ends of high-voltage vertical transistor structure
US20090020764A1 (en) 2007-07-16 2009-01-22 Anderson Brent A Graphene-based transistor
US20090215277A1 (en) * 2008-02-26 2009-08-27 Tung-Hsing Lee Dual contact etch stop layer process
US20090242990A1 (en) 2008-03-28 2009-10-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of same
US20100019276A1 (en) 2008-07-25 2010-01-28 Hynix Semiconductor Inc. All around gate type semiconductor device and method of manufacturing the same
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7736979B2 (en) 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US20100176459A1 (en) 2006-09-19 2010-07-15 Qunano Ab Assembly of nanoscaled field effect transistors
US7759729B2 (en) 2008-02-07 2010-07-20 International Business Machines Corporation Metal-oxide-semiconductor device including an energy filter
US20100210096A1 (en) 2008-01-29 2010-08-19 Fujio Masuoka Production method for semiconductor device
US20100301402A1 (en) 2009-05-29 2010-12-02 Fujio Masuoka Semiconductor device
US20110294295A1 (en) 2010-05-27 2011-12-01 Hon Hai Precision Industry Co., Ltd. Method for making three-dimensional nano-structure array
US20110303985A1 (en) 2010-06-09 2011-12-15 Fujio Masuoka Semiconductor device and fabrication method therefor
US8093664B2 (en) 2008-02-29 2012-01-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and depletion-type MOS transistor
US20120018704A1 (en) * 2009-04-14 2012-01-26 Qinetiq Limited Uniaxial tensile strain in semiconductor devices
US20120025169A1 (en) 2010-08-02 2012-02-02 Sundiode Inc. Nanostructure array transistor
US20120061838A1 (en) * 2010-09-15 2012-03-15 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8164146B2 (en) * 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US20120223288A1 (en) * 2011-03-04 2012-09-06 Samsung Electronics Co., Ltd. Semiconductor device, method of manufacturing the same, and electronic device including the semiconductor device
US20120295074A1 (en) 2010-11-19 2012-11-22 Alphabet Energy, Inc. Arrays of long nanostructures in semiconductor materials and methods thereof
US8319316B2 (en) 2009-05-29 2012-11-27 Kabushiki Kaisha Toshiba Depletion MOS transistor and enhancement MOS transistor
US20130011983A1 (en) 2011-07-07 2013-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Doping of Arsenic for Source and Drain Epitaxy
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8395197B2 (en) 2009-12-15 2013-03-12 Elpida Memory, Inc. Semiconductor device and method of forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US20130341702A1 (en) 2011-01-24 2013-12-26 Imec Vertical Memory Device and Method for Making Thereof
US8633075B2 (en) 2009-11-09 2014-01-21 Fujitsu Semiconductor Limited Semiconductor device with high voltage transistor
US8653570B2 (en) 2009-04-10 2014-02-18 Sharp Kabushiki Kaisha Solid-state image capturing element and driving method for the same, method for manufacturing solid-state image capturing element, and electronic information device
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8716774B2 (en) 2011-05-27 2014-05-06 Noriaki Mikasa Semiconductor device having a buried gate type MOS transistor and method of manufacturing same
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US20140166981A1 (en) 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8772771B2 (en) 2012-04-30 2014-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8828823B2 (en) 2011-10-13 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US20140252412A1 (en) 2013-03-06 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and Uniform Doping Technique for FINFETs
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US20140332859A1 (en) 2013-05-10 2014-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Wrapped-Around Structure
US20150048441A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US20150069475A1 (en) 2013-09-12 2015-03-12 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with reduced electrical resistance and capacitance
US8999805B1 (en) 2013-10-05 2015-04-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with reduced gate length

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009096002A1 (en) 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. Manufacturing method of semiconductor storage device
CN101483192B (en) 2009-02-11 2011-01-05 西安交通大学 Vertical fense MOSFET device and manufacturing method thereof
US8338280B2 (en) * 2010-07-08 2012-12-25 Globalfoundries Singapore Pte. Ltd. Method for fabricating nano devices
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same

Patent Citations (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227425A1 (en) * 2003-11-18 2005-10-13 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050255667A1 (en) * 2004-05-14 2005-11-17 Applied Materials, Inc., A Delaware Corporation Method of inducing stresses in the channel region of a transistor
KR20060012724A (en) 2004-08-04 2006-02-09 학교법인 한양학원 Vertical semiconductor devices using nanowires and method of manufacturing the same
KR100712552B1 (en) 2006-02-13 2007-05-02 삼성전자주식회사 Semiconductor device with vertical channel transistor and method of manufacturing the same
KR100771871B1 (en) 2006-05-24 2007-11-01 삼성전자주식회사 Semiconductor device including vertical channel transistor
US20070284623A1 (en) 2006-05-24 2007-12-13 Sang-Jin Kim Semiconductor device having vertical channel transistor
US20100176459A1 (en) 2006-09-19 2010-07-15 Qunano Ab Assembly of nanoscaled field effect transistors
US20080197418A1 (en) 2007-02-16 2008-08-21 Power Integrations, Inc. Gate pullback at ends of high-voltage vertical transistor structure
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7736979B2 (en) 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US20090020764A1 (en) 2007-07-16 2009-01-22 Anderson Brent A Graphene-based transistor
US20100210096A1 (en) 2008-01-29 2010-08-19 Fujio Masuoka Production method for semiconductor device
US7759729B2 (en) 2008-02-07 2010-07-20 International Business Machines Corporation Metal-oxide-semiconductor device including an energy filter
US20090215277A1 (en) * 2008-02-26 2009-08-27 Tung-Hsing Lee Dual contact etch stop layer process
US8093664B2 (en) 2008-02-29 2012-01-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and depletion-type MOS transistor
US20090242990A1 (en) 2008-03-28 2009-10-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of same
US8076231B2 (en) * 2008-03-28 2011-12-13 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of same
JP2009239167A (en) 2008-03-28 2009-10-15 Toshiba Corp Semiconductor device and method for manufacturing semiconductor device
US20100019276A1 (en) 2008-07-25 2010-01-28 Hynix Semiconductor Inc. All around gate type semiconductor device and method of manufacturing the same
US8653570B2 (en) 2009-04-10 2014-02-18 Sharp Kabushiki Kaisha Solid-state image capturing element and driving method for the same, method for manufacturing solid-state image capturing element, and electronic information device
US20120018704A1 (en) * 2009-04-14 2012-01-26 Qinetiq Limited Uniaxial tensile strain in semiconductor devices
US20100301402A1 (en) 2009-05-29 2010-12-02 Fujio Masuoka Semiconductor device
US8319316B2 (en) 2009-05-29 2012-11-27 Kabushiki Kaisha Toshiba Depletion MOS transistor and enhancement MOS transistor
US8164146B2 (en) * 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8633075B2 (en) 2009-11-09 2014-01-21 Fujitsu Semiconductor Limited Semiconductor device with high voltage transistor
US8395197B2 (en) 2009-12-15 2013-03-12 Elpida Memory, Inc. Semiconductor device and method of forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US20110294295A1 (en) 2010-05-27 2011-12-01 Hon Hai Precision Industry Co., Ltd. Method for making three-dimensional nano-structure array
US20110303985A1 (en) 2010-06-09 2011-12-15 Fujio Masuoka Semiconductor device and fabrication method therefor
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US20120025169A1 (en) 2010-08-02 2012-02-02 Sundiode Inc. Nanostructure array transistor
US20120061838A1 (en) * 2010-09-15 2012-03-15 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US20120295074A1 (en) 2010-11-19 2012-11-22 Alphabet Energy, Inc. Arrays of long nanostructures in semiconductor materials and methods thereof
US20130341702A1 (en) 2011-01-24 2013-12-26 Imec Vertical Memory Device and Method for Making Thereof
US20120223288A1 (en) * 2011-03-04 2012-09-06 Samsung Electronics Co., Ltd. Semiconductor device, method of manufacturing the same, and electronic device including the semiconductor device
US8716774B2 (en) 2011-05-27 2014-05-06 Noriaki Mikasa Semiconductor device having a buried gate type MOS transistor and method of manufacturing same
US20130011983A1 (en) 2011-07-07 2013-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Doping of Arsenic for Source and Drain Epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8828823B2 (en) 2011-10-13 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8772771B2 (en) 2012-04-30 2014-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US20140166981A1 (en) 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US20140252412A1 (en) 2013-03-06 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and Uniform Doping Technique for FINFETs
US20140332859A1 (en) 2013-05-10 2014-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Wrapped-Around Structure
US20150048441A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US20150069475A1 (en) 2013-09-12 2015-03-12 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with reduced electrical resistance and capacitance
US8999805B1 (en) 2013-10-05 2015-04-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with reduced gate length

Non-Patent Citations (58)

* Cited by examiner, † Cited by third party
Title
Antcliffe, G.A., et al., "Band Structure of Doped Bismuth Using the Shubnikov-de Haas Effect," Physics Review, vol. 160, No. 3, Aug. 15, 1967, pp. 531-537.
Baek, C.-K., et al., "Characteristics of Gate-all-Around Silicon Nanowire Field Effect Transistors with Asymmetric Channel Width and Source/Drain Doping Concentration," Journal of Applied Physics 112, Aug. 13, 2012, pp. 034513-1-034513-5.
Bindal, A., et al. "Exploratory Study on Power-Efficient Silicon Nano-Wire Dynamic NMOSFET/PMESFET Logic" IET Sci. Meas. Technol. 2007, vol. 1, No. 2, 2007, pp. 121-130.
Bindal, A., et al. "The Design of DualWork Function CMOS Transistors and Circuits Using Silicon Nanowire Technology" IEEE Transactions on Nanotechnology, May 2007, vol. 6, No. 3, pp. 291-302.
Cea S.M et al., "Process Modeling for Advanced Device Technologies," J. Comput. Electron, vol. 13, Issue 1, pp. 18-32, Aug. 6, 2013.
Cho, H.-J. et al., "Investigation of Gate Etch Damage at Metal/High-k Gate Dielectric Stack Through Random Telegraph Noise in Gate Edge Direct Tunneling Current," IEEE Electron Device Letters, vol. 32, No. 4, Apr. 2011, pp. 569-571.
Chu, M., et al., "Strain: A Solution for Higher Carrier Mobility in Nanoscale MOSFETs," Annual Reviews, vol. 39, May 2009, 12 pages.
Dresselhaus, M.S., et al., "Carbon Nanotubes and Bismuth Nanowires," Chapter 3, Nanoengineering of Structural, Functional and Smart Materials, M.J. Schulz, A.D. Kelkar and M.J. Sundaresan Eds, CRC Press, Taylor and Francis Group (2005), 41 pages.
Ferain, I. et al., "Multigate transistors as the future of classicla metal-oxide-semiconductor field-effect transistors," Nature, vol. 479, Nov. 17, 2011, pp. 2-8.
Flachowsky, S., et al., "Understanding Strain-Induced Drive-Current Enhancement in Strained-Silicon n-MOSFET and p-MOSFET," IEEE Transactions on Electron Devices, vol. 57, No. 6, Jun. 2010, pp. 1343-1354.
Gandhi, R. et al., "Vertical Si-Nanowire n-Type Tunneling FETs With Low Subthreshold Swing (<50 mV/decade) at Room Temperature," IEEE Electron Device Letters, vol. 32, No. 4, Apr. 2011, pp. 437-439.
Gundapaneni, et al., "Enhanced Electrostatic Integrity of Short-Channel Junctionless Transistor With High-K Spacers," IEEE Electron Device Letters, vol. 32, No. 10, Oct. 2011, pp. 1325-1327.
Hamedi-Hagh, S., et al. "Design of Next Generation Amplifiers Using Nanowire FETs," Journal of Electrical Engineering & Technology 2008, vol. 3, No. 4, pp. 566-570.
Hamedi-Hagh, S., et al., "Spice Modeling of Silicon Nanowire Field-Effect Transistors for High-Speed Analog Integrated Circuits," IEEE Transactions on Nanotechnology vol. 7, No. 6, Nov. 2008, pp. 766-775.
Hashemi, P., et al., "Gate-All-Around n-MOSFETs Wtih Uniaxial Tensile Strain-Induced Performance Enhancement Scalable to Sub-10-nm Nanowire Diameter," IEEE Electron Device Letters, vol. 30, Issue 4, Apr. 2009, pp. 401-403.
Ionescu, A.M. et al., "Tunnel field-effect transistors as energy-efficient electronic switches," Review, doi: 10.1038/nature10679, Nature, vol. 479 Nov. 17, 2011, pp. 329-337.
Jin, S., et al., "A three-dimensional simulation of quantum transport in silicon nanowire transistor in the presence of electron-phonon interactions," Journal of Applied Physics 99, 2006, pp. 123719-1-123719-10.
Kim, K., "Future Silicon Technology," 2012 Proceedings of the European Solid State Device Research Conference, pp. 1-6.
Kwong, D.-L. et al., "Vertical Silicon Nanowire Platform for Low Power Electronics and Clean Energy Applications," Hindawi Publishing Corporation, Jopurnal of Nanotechnology, vol. 2012, Article ID 492121, pp. 1-21.
Larrieu, G. et al., "Vertical nanowire array-based field effect transistors for ultimate scaling," The Royal Society of Chemistry, Jan. 2013, pp. 2437-2441.
Lee, et al., "Performance estimation of junctionless multigate transistors," Solid-State Electronics, vol. 54, Issue 2, Feb. 2010, pp. 97-103.
Lee, et al., "Short-Channel Junctionless Nanowire Transistors," 2010, http://www.sinano.eu/data/document/latenews.pdf, 2 pages.
Lee, S., "Direct observation of the semimetal-to-semiconductor transition of individual single-crystal bismuth nanowires grown by on-film formation of nanowires,"IOP Publishing, Nanotechnology 21 (2010) 405701, pp. 1-6.
Li, C., et al., "Bismuth nano-droplets for group-V based molecular-beam droplet epitaxy," AIP Applied Physics Letters, Applied Physics Letters 99, (2011) pp. 243113-1-243113-3.
Li, L., et al., "A route to fabricate single crystalline bismuth nanowire arrays with different diameters," Chemical Physics Letters 378 (2003), pp. 244-249.
Li, L., et al., "Synthetic control of large-area, ordered bismuth nanowire arrays," Science Direct, Materials Letters 59 (2005), pp. 1223-1226.
Liang, J. et al., "Relaxation of compressed eleastic islands on a viscous layer," Pergamon, www.actamat-journals.com, Acta Materialia 50 (2002) 2933-2944.
Limmer, S., "Recrystallized Arrays of Bismuth Nanowires with Trigonal Orientation," Nano Letters, 2014, vol. 14, pp. 1927-1931.
Lin, Y-M., et al, "Transport properties of Bi nanowire arrays," Applied Physics Letters, vol. 76, No. 26, Jun. 26, 2000, pp. 3944-3946.
Maheshwaram, S., et al., "Device Circuit Co-Design Issues in Vertical Nanowire CMOS Platform," IEEE Electron Device Letters, vol. 33, Issue 7, Jul. 2012, pp. 934-936.
Niquet, Y.-M. et al., "Carrier Mobility in strained Ge nanowires," Journal of Applied Physics 112, 084301 (2012), pp. 084301-1-084301-4.
Partin, D.L., et al., "Growth and characterization of epitaxial bismuth films," Physical Review B, vol. 38, No. 6, Aug. 15, 1988, pp. 3818-3825.
Peng, Y., et al., "Bismuth quantum-wires arrays fabricated by electrodeposition in nanoporous anodic aluminum oxide and its structural properties," Materials Science and Engineering B77 (2000), pp. 246-249.
Peterson, R.L. et al., "Comment on 'Fabrication of Strained Silicon on Insulator by Strain Transfer Process' [Appl. Phys. Lett. 87, 051921 (2005)]," Appl. Phys. Lett 88, 146101 (2006), 3 pages.
Pham-Nguyen, et al., "Mobility enhancement by CESL strain in short-channel ultrathin SOI MOSFETs," Solid-State Electronics 54, www.elesevier.com/locate/sse, pp. 123-130, Dec. 2009.
Sakui, K., et al., "A new vertical MOSFET Vertical Logic Circuit (VLC) MOSFET suppressing asymmetric characteristics and realizing an ultra compact and robust logic circuit," 2009 Semiconductor Device Research Symposium (ISDRS), Dec. 9-11, 2009, 2 pages.
Sato, S., et al., "Electrical characteristics of asymmetrical silicon nanowire field-effect transistors," Applied Physics Letters 99, Dec. 2, 2011, pp. 223518-1-223518-3.
Schmidt, V. et al., "Realization of a Silicon Nanowire Vertical Surround-Gate Field-Effect Transistor," Small, vol. 2, Issue 1, Jan. 2006, pp. 85-88.
Shen, N. et al., "Vertical GAA Silicon Nanowire Transistor with Impact of Temperature on Device Parameters," World Academy of Science, Engineering and Technology, Issue 48, Dec. 2010, pp. 976-979.
Shim, W., et al., "On-Film Formation of Bi Nanowires with Extraordinary Electron Mobility," Nano Lett., 2009, 9 (1), pp. 18-22.
Shim, W., et al., "Shubnikov-de Haas oscillations in an individual single-crystalline bismuth nanowire grown by on-film formation of nanowires," Applied Physics Letters 95, (2009), pp. 232107-1-232107-3.
Sigma-Aldrich, "Bismuth", http://www.sigmaaldrich.com/materials-science/material-science-products.html?TablePage=19927132, 1 page.
Sleight, J.W., et al. "Gate-All-Around Silicon Nanowire MOSFETs and Circuits," Device Research Conference (DRC), Jun. 21-23, 2010, pp. 269-272.
Sun, Y. et al. "Junction-Less Stackable SONOS Memory Realized on Vertical-Si-Nanowire for 3-D Application," 3rd IEEE International Memory Workshop (IMW), May 22-25, 2011, pp. 1-4.
Sun, Y. et al., "Junctionless Vertical-Si-Nanowire-Channel-Based SONOS Memory With 2-Bit Storage per Cell," IEEE Electron Device Letters, vol. 32, Issue 6, Jun. 2011, pp. 725-727.
Sun, Y., et al. "Demonstration of Memory String with Stacked Junction-Less SONOS Realized on Vertical Silicon Nanowire," 2011 IEEE International Electron Devices Meeting (IEDM, Dec. 5-7, 2011, pp. 9.7.1-9.7.4.
Thelander, C. et al., "Nanowire-based one-dimensional electronics," Materials Today, vol. 9, Issue 10, Oct. 2006, pp. 28-35.
Tomioka, K. et al., "A III-V nanowire channel on silicon for high-performance vertical transistors," Nature, vol. 488, Aug. 9, 2012, 14 pages.
Tsai, R-W., et al., "Voltammetric study and electrodeposition of tellurium, lead, and lead telluride in room-temperature ionic liquid 1-ethyl-3-methylimidazolium tetrafluoroborate," Electrochimica Acta 137 (2014) pp. 49-56.
van Hulst, J.A., et al., "Epitaxial growth of bismuth films and bismuth-antimony heterostructures," Physical Review B, vol. 52, No. 8, Aug. 15, 1995-II, pp. 5953-5964.
Vandooren, A., et al., "Impact of process and geometrical parameters on the electrical characteristics of vertical nanwire silicon n-TFETs," Solid-State Electronics 72 (2012) pp. 82-87.
Venugopalan, S., et al., "Modeling Intrinsic and Extrinsic Asymmetry of 3D Cylindrical Gate/ Gate-All-Around FETs for Circuit Simulations," 11th Annual Non-Volatile Memory Technology Symposium (NVMTS), Nov. 7-9, 2011, 4 pages.
Wikipedia, "Strain engineering," http://en.wikipedia.org/wiki/Strain-engineering, downloaded Jul. 5, 2013, 2 pages.
Windbacher, T., "2.2.2 Local Strain," http://www.iue.tuwien.ac.at/phd/windbacher/node19.html, downloaded Jul. 5, 2013, 4 pages.
Yin, H. et al., "Strain relaxation of SiGe islands on compliant oxide," Journal of Applied Physics, vol. 91, No. 12, Jun. 15, 2002, pp. 9716-9722.
Yin, H., "Strain Relaxation of SiGe on Compliant BPSG and Its Applications," Dissertaion Presented to the Faculty of Princeton University in Candidacy for the Degree of Doctor of Philosophy, Nov. 2004, 6 pages.
Zhou, L., et al., "Nucleation and Growth of Bismuth Electrodeposition from Alkaline Electrolyte," Nucleation and Growth of Bismuth Electrodeposition, Bull. Korean Chem. Soc. 2012, vol. 33, No. 5, pp. 1541-1546.
Zhu, Yong et al., "Mechanical Properties of Vapor-Liquid-Solid Synthesized Silicon Nanowires," Nano Letters, vol. 9, No. 11, pp. 3934-3939, Jul. 2009.

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170012126A1 (en) * 2014-03-28 2017-01-12 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US10727339B2 (en) * 2014-03-28 2020-07-28 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US10347745B2 (en) 2016-09-19 2019-07-09 Globalfoundries Inc. Methods of forming bottom and top source/drain regions on a vertical transistor device
US10714601B2 (en) 2017-08-07 2020-07-14 Commissariat A L'energie Atomique Et Aux Energies Alternatives Fabrication of a transistor with a channel structure and semimetal source and drain regions

Also Published As

Publication number Publication date
US20160268427A1 (en) 2016-09-15
US9935198B2 (en) 2018-04-03
US20140225184A1 (en) 2014-08-14
KR101423429B1 (en) 2014-07-24

Similar Documents

Publication Publication Date Title
US9935198B2 (en) Method for inducing strain in vertical semiconductor columns
US10978355B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US9941404B2 (en) Tuning strain in semiconductor devices
USRE45944E1 (en) Structure for a multiple-gate FET device and a method for its fabrication
US9871141B2 (en) Thermally tuning strain in semiconductor devices
US9466668B2 (en) Inducing localized strain in vertical nanowire transistors
KR101081066B1 (en) single gate inverter nanowire mesh
KR101589765B1 (en) Soi transistors having an embedded extension region to improve extension resistance and channel strain characteristics
CN102456691B (en) Semiconductor device and manufacturing method for semiconductor device
US8409947B2 (en) Method of manufacturing semiconductor device having stress creating layer
TW201818476A (en) Extension region for a semiconductor device
JP5728444B2 (en) Semiconductor device and manufacturing method thereof
US20080064173A1 (en) Semiconductor device, cmos device and fabricating methods of the same
EP3660891A1 (en) A method for forming a semiconductor device
US20120052646A1 (en) Semiconductor device and method of fabricating the same
US7825482B2 (en) Semiconductor device and method for fabricating the same
TWI614890B (en) Inducing localized strain in vertical nanowire transistors
JP2010118500A (en) Semiconductor device and its production process
WO2013139063A1 (en) Semiconductor structure and manufacturing method therefor
JP2008263114A (en) Manufacturing method of semiconductor device, and semiconductor device
US11056574B2 (en) Stacked semiconductor device and method of forming same
JP2005277172A (en) Semiconductor device, and its manufacturing method
JP2010050402A (en) Method for manufacturing semiconductor apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COLINGE, JEAN-PIERRE;CHANG, GWAN SIN;DIAZ, CARLOS H.;REEL/FRAME:029783/0531

Effective date: 20130204

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8