US6127273A - Process for anisotropic plasma etching of different substrates - Google Patents

Process for anisotropic plasma etching of different substrates Download PDF

Info

Publication number
US6127273A
US6127273A US09/091,031 US9103198A US6127273A US 6127273 A US6127273 A US 6127273A US 9103198 A US9103198 A US 9103198A US 6127273 A US6127273 A US 6127273A
Authority
US
United States
Prior art keywords
side wall
etching operation
wall passivation
isotropic etching
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/091,031
Inventor
Franz Laermer
Andrea Schilp
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Assigned to ROBERT BOSCH GMBH reassignment ROBERT BOSCH GMBH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHLIP, ANDREA, LEARMER, FRANZ
Application granted granted Critical
Publication of US6127273A publication Critical patent/US6127273A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Definitions

  • the present invention relates to a method of anisotropic plasma etching of substrates.
  • Ion-induced anisotropic plasma etching wherein the etching action of the etchant species is linked to a high-energy current of ions toward the substrate surface
  • spontaneous isotropic plasma etching wherein the etchant species require very little or no ion support.
  • Ion-induced plasma etching which is preferably performed with less reactive halogens such as chlorine or bromine when silicon is the material to be structured, has comparatively low erosion rates and is extremely susceptible to moisture and plasma impurities.
  • spontaneous plasma etching which is performed mainly with fluorine gases when silicon is the material to be structured, has high etching rates and, furthermore, highenergy ions are not needed.
  • a known possibility of combining the advantages of spontaneous and ion-induced plasma etching is to use side wall protection by a thin film deposited during the operation. Only a few ions strike the side wall of the structures to be etched, so it is coated by a film of inorganic or organic material, e.g., a polymer, from the plasma and is thus protected from attack by the spontaneously reacting etchant species.
  • the substrate remains free of this protective film due to the simultaneous action of a high current of low-energy ions, and therefore it can be etched at a high rate. This yields anisotropic profiles and prevents underetching of the edges of the mask.
  • a method according to the present invention has an advantage over the related art that it uses spontaneously reacting etchant species capable of anisotropic structuring of a number of materials, specifically polymers, metals or multicomponent systems, at high erosion rates.
  • anisotropic structuring of substances that are difficult to etch and form less volatile compounds at room temperature and therefore must be atomized by using high-energy ions in a conventional manner can be performed at elevated temperatures and with a low ion energy in an advantageous manner.
  • the present invention provides that some, but not all of the side wall passivation layer applied during a side wall passivation step be eroded during the etching operation.
  • the eroded material remains in front of or in the immediate vicinity of the side wall during the etching operation and is driven forward by the type of erosion in the direction of the etching operation, where it can be deposited again beneath the original side wall passivation edge.
  • the original side wall passivation layer thus becomes thinner and is pulled downward over the newly formed side wall surfaces as etching progresses, so that these surfaces are protected immediately upon exposure, rather than later, in the next side wall passivation step.
  • the original passivation layer and that over the newly formed side wall surface are again increased in thickness to approximately the original thickness before being etched again, and the layer is pulled slightly downward again. Due to this effect, an essentially isotropic etching effect becomes anisotropic locally, namely in the immediate vicinity of the lower edge of the passivation layer.
  • the forward advance of the side wall passivation layer is driven mainly by an accurately dimensioned dose or quantity of ions, which do not act on the ground of the structure exactly at a right angle but instead have a small angle of bombardment and therefore strike the side wall passivation layer.
  • a certain amount of obliquely incident ions is always present in plasma etching methods, but this is normally extremely undesirable because it leads to ion-induced side wall etching and thus to undercutting of the mask edges, which is equivalent to a loss of anisotropy and structural fidelity.
  • an accurately dimensioned oblique bombardment of ions is used to drive the protective film forward in a controlled manner.
  • An inherent interference effect is brought under control through a choice of suitable conditions and is utilized advantageously.
  • the method according to the present invention has proven to be especially advantageous when suitable mask materials are sought for substances that are difficult to etch, because the boundary conditions of mask selection in spontaneously reacting chemistry entail far fewer restrictions.
  • Only low-energy ions are used according to the present invention, so there is a high selectivity with respect to a wide range of mask materials. Since the low-energy ions do not damage the mask material, only their chemical properties need be taken into account when selecting mask materials. There is hardly any ion-induced mask erosion or atomization and redeposition of the mask.
  • anisotropic etching can be performed with the method according to the present invention, using nonspecific, spontaneously reacting etchant species with the greatest possible aggressiveness with respect to the material to be etched. It is possible, for example, to etch metals or polymers as substrates designed as multicomponent systems.
  • a multicomponent system is understood to be a substrate composed of different material ingredients, such as, for example, gallium/arsenic or gallium/aluminum/arsenic.
  • Many multicomponent systems have in common the fact that they are difficult to etch anisotropically with the ion-induced etchant species traditionally used for this purpose, and the erosion rates are low.
  • Anisotropic etching in multicomponent systems instead requires nonspecific etching of the various material ingredients, which is made possible through the present invention, where there is no accumulation of gallium, aluminum or arsenic, for example, on the base of the structure during the etching operation.
  • Substrates of gallium/arsenic or gallium/aluminum/arsenic, for example, can be structured anisotropically by using chlorine in an especially advantageous manner by the method according to the present invention.
  • passivation gases such as CCl 4 , CHCl 3 , C x H y Cl z or BCl 3 , for example, can be used in such an embodiment, possibly in combination with SiCl 4 for GaAs/AlGaAs etching.
  • passivation gases result in the formation of suitable stable side wall passivation layers including, for example, organic chlorocarbons, chlorohydrocarbons or the BCl 3 analog and are optionally crosslinked by a silicon structure (with the addition of SiCl 4 ).
  • the present invention advantageously also proposes anisotropic etching of polymer layers, preferably thick polymer layers such as thick enamels (photoresist, dry resist).
  • Dimensionally accurate structuring of thick polymers with a high aspect ratio, i.e., a great depth and small structural width, e.g., greater than 20:1, and perpendicular side walls is a key process for additive methods where such structures are produced first as a negative on IC wafers and then filled up again by electroplating. After removing the polymer mold, there ultimately remains a freely movable sensor element--the positive in molding--on active (IC) chip surface without necessitating intervention into the circuitry operation.
  • Such additive methods are becoming increasingly important in the manufacture of integrated sensors.
  • the side wall passivation layer preferably has a very different structure from the polymer layers to be etched, but it must nevertheless retain a "soft" character so that it can be driven forward during the etching steps. Therefore, in addition to having a carbon skeleton, the side wall passivation layer also contains additional elements such as silicon, which resist the etching plasma.
  • Polymer structures containing silicon, especially in oxide or azide bonds, are resistant to oxygen and fluorine and prevent any etching of the polymer side wall, but permit controlled erosion and forward movement of the protective film.
  • hydrocarbons containing metals or hydrocarbons with a high aromatic ring content are suitable side wall passivation gases.
  • monosilane (SiH 4 ), HMDS (hexamethyldisilane), HMDSO (hexamethyldisiloxane) or HMDSN (hexamethyldisilazane) are used, with SiF 4 optionally also being added as a crosslinking agent to create a silicon skeleton.
  • a mixture of fluorocarbons and SiF 4 or SiH 4 results in the development of an organic polymer material that contains silicon and conforms to the process claims (C x F y H z +w.SiF 4 ⁇ C x , F y , H z , Si w +HF, F, CF (silicon fluorocarbon)+F) (similarly for SiH 4 instead of SiF 4 ).
  • thin metal masks of aluminum, chromium, nickel, etc. or plasma CVD layers or oxide layers such as Al 2 O 3 , etc. can be used as masking layers.
  • FIG. 1 shows a schematic diagram of application of a side wall passivation layer.
  • FIG. 2 shows a schematic diagram of the etching operation with the side wall passivation layer driven forward at the same time.
  • FIG. 3 shows a schematic diagram of a suitable ion distribution according to the present invention.
  • FIG. 1 shows a gallium/arsenic substrate 2, which is coated with an etch resist 4 produced from a photoresist, for example, with etch resist 4 leaving exposed area 5 of substrate 2 which is to be etched anisotropically.
  • Side wall 7 is passivated by a passivating gas (x in a circle), which may be, for example, a mixture of BCl 3 and SiCl 4 or CCl 4 and BCl 3 , etc.
  • a microwave field or a high frequency field between 500 and 1000 W is applied through an injection device for electromagnetic fields, such as microwaves, thereby creating a high-density plasma.
  • low-energy ions (+ in a circle) may be made to act on the substrate at the same time. As a result, no passivation layer is applied to etching ground 5.
  • the passivating monomers accumulate preferentially on side walls 7, where they form wall side passivation layer 6.
  • the bombardment of low-energy ions on substrate 2 is controlled by a substrate electrode.
  • a high-frequency power of 10 W, for example is applied to the substrate electrode, thus yielding a substrate bias of 30 V, for example.
  • the ion energy is then approximately 40 eV, for example.
  • FIG. 2 illustrates the etching operation in a method according to the present invention.
  • substrate 2 Under the influence of a low-energy ion source (+ in a circle), substrate 2 is exposed to the etching plasma or etching chemistry.
  • the plasma is generated preferably with a high-frequency or microwave radiation between 300 and 1200 W.
  • a substrate bias is applied to the substrate electrode to accelerate the ions.
  • the substrate bias is preferably between 30 and 40 V and can be achieved with a high-frequency power supply of 10 to 15 W.
  • etching ground 5 is etched down by depth T, thus forming a surface 7' of side wall 7 which was not originally covered by side wall passivation layer 6.
  • the polymer clusters of side wall passivation layer 6 exposed by the primarily obliquely incident ions tend to be deposited in the immediate vicinity and then cover exposed surface 7' of side wall 7 as portions of passivation layer 8 containing original side wall passivation layer 6, so that it is protected immediately when it is exposed, not just later in the next passivation step. Isotropic etching of surface 7' and thus scoring of the side wall are prevented.
  • Controlled oblique bombardment of low-energy ions on the substrate surface is achieved by a combination of the process pressure, plasma density and thus the collision rate in the plasma (i.e., the level of incident HF (high-frequency) power or microwave power into the high density plasma source) and the ion accelerating voltage at the substrate electrode and its variation over time.
  • a divergent ionic current develops due to the mutual repulsion of the positively charged species of a very high density and due to a diffusion of ions out of regions of a high ion density into regions of a low ion density, such as those around the wafer.
  • This divergent ionic current to the substrate is bundled again by the accelerating voltage toward the substrate, so that a more or less narrowly directed ionic current strikes the substrate electrode.
  • the mechanism functions usefully (voltages between 10 and 100 V, preferably 30-50 V), and the influence on the result of the operation is a continuous function, which permits simple optimization on the basis of the profile shape.
  • the substrate bias is induced by HF power on the substrate electrode, as is generally the case, it is advantageous to take into account the ionic current in the range of the zero crossings of this HF voltage, within which very low-energy ions strike the wafer surface with a high divergence and discharge it (high-density plasma).
  • the etching step can be carried out, for example, until achieving an etching depth of approximately 2' to approximately 3 ⁇ m. At high erosion rates from high density plasmas, this requires a time of six seconds to one minute, for example, for the etching step.
  • etching operation and passivation are repeated alternately until reaching the predetermined etching depth of the structures in the substrate.
  • the duration of the individual etching operations is such that etching is continued by a depth of 2 to 3 ⁇ m per etching step.
  • Passivation is continued until a side wall passivation layer 6 approximately 5 to approximately 200 nm thick, preferably 50 nm thick, composed of the species described here is deposited. Generally, this requires a period of five seconds to one minute.
  • Anisotropic etching with very low ion energies can be achieved in an advantageous manner. If no passivation layer is to be applied to etching ground 5 during passivation, ion energies of only approximately 10 to approximately 20 eV are sufficient in principle. During the etching operation, ion bombardment with energies between 30 and 50 eV is provided according to the present invention to keep the base of the structure free of deposits from the plasma and to maintain the side wall film dynamics.
  • the method according to the present invention involves high etching rates, heating of the substrate may occur. Therefore, it is necessary to provide cooling for the substrates. This can be accomplished, for example, by cooling the back of the substrate with a stream of helium gas, with an elastomer or by gluing the substrate to a cooled electrode.
  • the plasma sources used to have high densities of reactive species and ions with a low but, at the same time, accurately controllable energy with which the ions generated reach the substrate.
  • the ion energy must be minimized for high mass selectivity. High ion energies also result in interfering reactive effects of atomized or eroded materials redeposited in an uncontrolled manner.
  • the energy of the ions acting on the substrate must of course be sufficient to keep the base of the structure free of deposits and thereby produce a smooth etching ground.

Abstract

A method of producing etched structures in substrates by anisotropic plasma etching, wherein an essentially isotropic etching operation and side wall passivation are performed separately and in alternation, with the substrate being a polymer, a metal or a multicomponent system, and portions of the side wall passivation layer applied during passivation of the side wall are transferred to the exposed side surfaces of the side wall during the subsequent etching operations, so the entire method is anisotropic as a whole.

Description

FIELD OF THE INVENTION
The present invention relates to a method of anisotropic plasma etching of substrates.
BACKGROUND OF THE INVENTION
Structuring of silicon by plasma etching methods is known. In plasma etching methods, chemically reactive etchant species and electrically charged particles, i.e., ions, are produced in a reactor with the help of an electric discharge in a reactive gas mixture. Positively charged ions generated in this way are accelerated toward the substrate by an electric bias applied to the silicon substrate, so they strike the substrate surface approximately perpendicularly, promoting the chemical reaction of the reactive etchant species with silicon on the substrate to be etched. A distinction is made between ion-induced anisotropic plasma etching, wherein the etching action of the etchant species is linked to a high-energy current of ions toward the substrate surface, and spontaneous isotropic plasma etching, wherein the etchant species require very little or no ion support. Ion-induced plasma etching, which is preferably performed with less reactive halogens such as chlorine or bromine when silicon is the material to be structured, has comparatively low erosion rates and is extremely susceptible to moisture and plasma impurities. However, spontaneous plasma etching, which is performed mainly with fluorine gases when silicon is the material to be structured, has high etching rates and, furthermore, highenergy ions are not needed. Therefore, in a search for suitable mask materials, only chemical factors regarding the selectivity between mask and substance to be etched need be taken into account, thus greatly expanding the selection options. In many cases, when materials other than silicon are to be structured, masking is possible, if at all, only with spontaneously reactive plasma chemistry. A very high mask selectivity can be achieved with this approach, because very little or no ion-induced or physical mask erosion occurs at low ion energies, and purely chemical reactions are extremely material-selective. Likewise, because of the low ion energies, no micromasking occurs on etching surfaces due to atomization and redeposition of mask material that has been vaporized or reacted to form a nonvolatile product, so that smooth etching surfaces without any unwanted structures are obtained. Nevertheless, there is little or no use for spontaneously reacting etchant species in plasma methods because they perform etching isotropically, i.e., they also etch under the edges of the mask, due to their spontaneous reaction properties. However, high anisotropy without underetching beneath the mask is advantageous for accurate transfer of structure.
A known possibility of combining the advantages of spontaneous and ion-induced plasma etching is to use side wall protection by a thin film deposited during the operation. Only a few ions strike the side wall of the structures to be etched, so it is coated by a film of inorganic or organic material, e.g., a polymer, from the plasma and is thus protected from attack by the spontaneously reacting etchant species. The substrate remains free of this protective film due to the simultaneous action of a high current of low-energy ions, and therefore it can be etched at a high rate. This yields anisotropic profiles and prevents underetching of the edges of the mask. However, when protective species, i.e., passivating species, are present in the plasma simultaneously with aggressive species, i.e., etchant species, this yields the disadvantage that strong recombination of the unsaturated passivation species with the spontaneously reacting etchant species eliminates these species in pairs as they form an inert reaction product, which greatly reduces the density of the available active species. To prevent this recombination of etchant species and passivation species, these species are separated from one another and used in alternation in a method according to the present invention. However, a new side wall area is exposed during each etching step and cannot be covered again and protected until the next passivation step, so a marked scoring of the side wall would normally result with this procedure. This effect is extremely undesirable and would make this method useless per se; according to this approach, this scoring could be avoided only by selecting a very small width and therefore a short duration of the individual etching step, but this in turn results in a very low etching speed or extremely frequent short-term alternations between etching and passivation, which would not be feasible because of the gas volumes in the supply lines and the plasma chamber, which also makes the latter method useless.
SUMMARY OF THE INVENTION
A method according to the present invention has an advantage over the related art that it uses spontaneously reacting etchant species capable of anisotropic structuring of a number of materials, specifically polymers, metals or multicomponent systems, at high erosion rates. Thus, anisotropic structuring of substances that are difficult to etch and form less volatile compounds at room temperature and therefore must be atomized by using high-energy ions in a conventional manner can be performed at elevated temperatures and with a low ion energy in an advantageous manner.
The present invention provides that some, but not all of the side wall passivation layer applied during a side wall passivation step be eroded during the etching operation. The eroded material remains in front of or in the immediate vicinity of the side wall during the etching operation and is driven forward by the type of erosion in the direction of the etching operation, where it can be deposited again beneath the original side wall passivation edge. The original side wall passivation layer thus becomes thinner and is pulled downward over the newly formed side wall surfaces as etching progresses, so that these surfaces are protected immediately upon exposure, rather than later, in the next side wall passivation step. Then, in the next side wall passivation, the original passivation layer and that over the newly formed side wall surface are again increased in thickness to approximately the original thickness before being etched again, and the layer is pulled slightly downward again. Due to this effect, an essentially isotropic etching effect becomes anisotropic locally, namely in the immediate vicinity of the lower edge of the passivation layer. The forward advance of the side wall passivation layer is driven mainly by an accurately dimensioned dose or quantity of ions, which do not act on the ground of the structure exactly at a right angle but instead have a small angle of bombardment and therefore strike the side wall passivation layer. A certain amount of obliquely incident ions is always present in plasma etching methods, but this is normally extremely undesirable because it leads to ion-induced side wall etching and thus to undercutting of the mask edges, which is equivalent to a loss of anisotropy and structural fidelity. With the method according to the present invention, an accurately dimensioned oblique bombardment of ions is used to drive the protective film forward in a controlled manner. An inherent interference effect is brought under control through a choice of suitable conditions and is utilized advantageously.
Thus, the advantages of isotropically, i.e., spontaneously, reacting etchant species are retained in an advantageous manner and at least the feature of anisotropy is added. Due to the separate use of etchant species and passivation species in different process steps, recombination and extinction of pairs of chemically active species are prevented. Since spontaneously reacting etchant species with very high etching rates can be used, the erosion rates of typical ion-induced processes are far exceeded.
The method according to the present invention has proven to be especially advantageous when suitable mask materials are sought for substances that are difficult to etch, because the boundary conditions of mask selection in spontaneously reacting chemistry entail far fewer restrictions. Only low-energy ions are used according to the present invention, so there is a high selectivity with respect to a wide range of mask materials. Since the low-energy ions do not damage the mask material, only their chemical properties need be taken into account when selecting mask materials. There is hardly any ion-induced mask erosion or atomization and redeposition of the mask.
In an especially advantageous manner, anisotropic etching can be performed with the method according to the present invention, using nonspecific, spontaneously reacting etchant species with the greatest possible aggressiveness with respect to the material to be etched. It is possible, for example, to etch metals or polymers as substrates designed as multicomponent systems. In conjunction with the present invention, a multicomponent system is understood to be a substrate composed of different material ingredients, such as, for example, gallium/arsenic or gallium/aluminum/arsenic. Many multicomponent systems have in common the fact that they are difficult to etch anisotropically with the ion-induced etchant species traditionally used for this purpose, and the erosion rates are low. Anisotropic etching in multicomponent systems instead requires nonspecific etching of the various material ingredients, which is made possible through the present invention, where there is no accumulation of gallium, aluminum or arsenic, for example, on the base of the structure during the etching operation. Substrates of gallium/arsenic or gallium/aluminum/arsenic, for example, can be structured anisotropically by using chlorine in an especially advantageous manner by the method according to the present invention. The present invention contemplates that passivation gases such as CCl4, CHCl3, Cx Hy Clz or BCl3, for example, can be used in such an embodiment, possibly in combination with SiCl4 for GaAs/AlGaAs etching. These passivation gases result in the formation of suitable stable side wall passivation layers including, for example, organic chlorocarbons, chlorohydrocarbons or the BCl3 analog and are optionally crosslinked by a silicon structure (with the addition of SiCl4).
The present invention advantageously also proposes anisotropic etching of polymer layers, preferably thick polymer layers such as thick enamels (photoresist, dry resist). Dimensionally accurate structuring of thick polymers with a high aspect ratio, i.e., a great depth and small structural width, e.g., greater than 20:1, and perpendicular side walls is a key process for additive methods where such structures are produced first as a negative on IC wafers and then filled up again by electroplating. After removing the polymer mold, there ultimately remains a freely movable sensor element--the positive in molding--on active (IC) chip surface without necessitating intervention into the circuitry operation. Such additive methods are becoming increasingly important in the manufacture of integrated sensors. To form the spontaneously reacting etchant species, preferably oxygen or oxygen combined with fluorine donor gases such as sulfur hexafluoride (SF6) or nitrogen trifluoride (NF3) is used. The actual etchant species are then fluorine and oxygen radicals. To protect it from the etching operation, the side wall passivation layer must have a very different structure from the polymer layers to be etched, but it must nevertheless retain a "soft" character so that it can be driven forward during the etching steps. Therefore, in addition to having a carbon skeleton, the side wall passivation layer also contains additional elements such as silicon, which resist the etching plasma. Polymer structures containing silicon, especially in oxide or azide bonds, are resistant to oxygen and fluorine and prevent any etching of the polymer side wall, but permit controlled erosion and forward movement of the protective film. According to the present invention, hydrocarbons containing metals or hydrocarbons with a high aromatic ring content (n-phenyls) are suitable side wall passivation gases. In an especially preferred manner, monosilane (SiH4), HMDS (hexamethyldisilane), HMDSO (hexamethyldisiloxane) or HMDSN (hexamethyldisilazane) are used, with SiF4 optionally also being added as a crosslinking agent to create a silicon skeleton. Accordingly, a mixture of fluorocarbons and SiF4 or SiH4 results in the development of an organic polymer material that contains silicon and conforms to the process claims (Cx Fy Hz +w.SiF4 →Cx, Fy, Hz, Siw +HF, F, CF (silicon fluorocarbon)+F) (similarly for SiH4 instead of SiF4). Then, for example, thin metal masks of aluminum, chromium, nickel, etc. or plasma CVD layers or oxide layers such as Al2 O3, etc. can be used as masking layers.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 shows a schematic diagram of application of a side wall passivation layer.
FIG. 2 shows a schematic diagram of the etching operation with the side wall passivation layer driven forward at the same time.
FIG. 3 shows a schematic diagram of a suitable ion distribution according to the present invention.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 shows a gallium/arsenic substrate 2, which is coated with an etch resist 4 produced from a photoresist, for example, with etch resist 4 leaving exposed area 5 of substrate 2 which is to be etched anisotropically. Side wall 7 is passivated by a passivating gas (x in a circle), which may be, for example, a mixture of BCl3 and SiCl4 or CCl4 and BCl3, etc. The mixture has a gas flow of 10-100 sccm and a process pressure of 20 μbar=2 Pa. A microwave field or a high frequency field between 500 and 1000 W is applied through an injection device for electromagnetic fields, such as microwaves, thereby creating a high-density plasma. During passivation, low-energy ions (+ in a circle) may be made to act on the substrate at the same time. As a result, no passivation layer is applied to etching ground 5. The passivating monomers accumulate preferentially on side walls 7, where they form wall side passivation layer 6. The bombardment of low-energy ions on substrate 2 is controlled by a substrate electrode. For this purpose, a high-frequency power of 10 W, for example, is applied to the substrate electrode, thus yielding a substrate bias of 30 V, for example. The ion energy is then approximately 40 eV, for example.
As an alternative, it is also possible to omit bombardment with low-energy ions during the passivation step. Thus, not only side wall 7 but also etching ground 5 are uniformly covered with a passivation layer during the passivation step. The passivation layer applied to etching ground 5 is broken through rapidly during the subsequent etching operation, because the passivation layer is eroded very rapidly with the ion support of the etching step. Side wall 7 of the structure to be etched is struck by ions only to a comparatively minor extent, so it remains protected by the side wall passivation layer during the etching operation. However, side wall bombardment is important in achieving the forward movement of the side wall film. It is controlled by the density of the ions, their acceleration toward the substrate and the pressure (collision rates).
FIG. 2 illustrates the etching operation in a method according to the present invention. Under the influence of a low-energy ion source (+ in a circle), substrate 2 is exposed to the etching plasma or etching chemistry. An etchant species such as chlorine (y in a circle) that reacts spontaneously with the substrate material is used, having a gas flow between 50 and 200 sccm and a process pressure between 10 and 30 μbar (=1-3 Pa). The plasma is generated preferably with a high-frequency or microwave radiation between 300 and 1200 W. A substrate bias is applied to the substrate electrode to accelerate the ions. The substrate bias is preferably between 30 and 40 V and can be achieved with a high-frequency power supply of 10 to 15 W. During the etching operation, chemically reactive species and electrically charged particles are produced in a high-density plasma with the help of the electric discharge. The positively charged ions generated in this way are accelerated toward substrate 2 by the electric bias applied to the substrate electrode, striking substrate surface 5, which has been left exposed by etch resist 4, approximately at right angles, and supporting the chemical reaction of the reactive plasma species with gallium/arsenic substrate 2. The reactive plasma species etches away the multicomponent system isotropically and nonspecifically. Side wall 7 of substrate 2 is protected by side wall passivation layer 6. Since chlorine is a spontaneously reacting etchant species with respect to AlGaAs (but not with respect to silicon, as described above), initiation or support by incident ions can essentially be omitted for the etching operation itself. However, incident ions are necessary to drive side wall passivation layer 6 forward, to keep etching ground 5 free of deposits from the plasma and, if ion support has been omitted during passivation, to erode and break through the passivation layer on etching ground 5. Ions incident at a small angle to the perpendicular (angle α in FIG. 3), which therefore strike side wall passivation layer 6, support the removal of partial side wall passivation layer 6 and cause it to become thinner 6'. During the etching operation, etching ground 5 is etched down by depth T, thus forming a surface 7' of side wall 7 which was not originally covered by side wall passivation layer 6. The polymer clusters of side wall passivation layer 6 exposed by the primarily obliquely incident ions tend to be deposited in the immediate vicinity and then cover exposed surface 7' of side wall 7 as portions of passivation layer 8 containing original side wall passivation layer 6, so that it is protected immediately when it is exposed, not just later in the next passivation step. Isotropic etching of surface 7' and thus scoring of the side wall are prevented.
Controlled oblique bombardment of low-energy ions on the substrate surface is achieved by a combination of the process pressure, plasma density and thus the collision rate in the plasma (i.e., the level of incident HF (high-frequency) power or microwave power into the high density plasma source) and the ion accelerating voltage at the substrate electrode and its variation over time. At a process pressure between 10 and 100 μbar, preferably 20 μbar, and at a high ion density of 2×1011 cm-3 to 5×1012 cm-3, preferably 1×1012 cm-3, a divergent ionic current develops due to the mutual repulsion of the positively charged species of a very high density and due to a diffusion of ions out of regions of a high ion density into regions of a low ion density, such as those around the wafer. This divergent ionic current to the substrate is bundled again by the accelerating voltage toward the substrate, so that a more or less narrowly directed ionic current strikes the substrate electrode. The higher the ion accelerating voltage selected in relation to the ion density, the more sharply bundled is the direction distribution (FIG. 3: n(α)) of the ions, i.e., the fewer obliquely incident ions are observed. The number of ions at a certain angle α is reduced and shifted in the direction of smaller angles (FIG. 3). With a higher energy, however, the erosion effect of the ions increases, i.e., their destructive power for the side wall film in oblique bombardment on the side wall increases, which partially compensates for their lower numbers at this angle. Consequently, a relatively wide process window is available in which the mechanism functions usefully (voltages between 10 and 100 V, preferably 30-50 V), and the influence on the result of the operation is a continuous function, which permits simple optimization on the basis of the profile shape. If the substrate bias is induced by HF power on the substrate electrode, as is generally the case, it is advantageous to take into account the ionic current in the range of the zero crossings of this HF voltage, within which very low-energy ions strike the wafer surface with a high divergence and discharge it (high-density plasma).
The etching step can be carried out, for example, until achieving an etching depth of approximately 2' to approximately 3 μm. At high erosion rates from high density plasmas, this requires a time of six seconds to one minute, for example, for the etching step.
In a subsequent passivation step, thinned side wall passivation layer 6' applied last and passivation layer 8 on newly formed side wall surface 7' are again increased to the original thickness of side wall passivation layer 6 before being etched again, and side wall passivation layer 6 is again shifted slightly downward. The etching operation and passivation are repeated alternately until reaching the predetermined etching depth of the structures in the substrate. With a microwave-supported method (to produce high-density plasmas), which permits an etching rate between 2 and 20 μm/min, for example, the duration of the individual etching operations is such that etching is continued by a depth of 2 to 3 μm per etching step. Passivation is continued until a side wall passivation layer 6 approximately 5 to approximately 200 nm thick, preferably 50 nm thick, composed of the species described here is deposited. Generally, this requires a period of five seconds to one minute.
Anisotropic etching with very low ion energies can be achieved in an advantageous manner. If no passivation layer is to be applied to etching ground 5 during passivation, ion energies of only approximately 10 to approximately 20 eV are sufficient in principle. During the etching operation, ion bombardment with energies between 30 and 50 eV is provided according to the present invention to keep the base of the structure free of deposits from the plasma and to maintain the side wall film dynamics.
Since the method according to the present invention involves high etching rates, heating of the substrate may occur. Therefore, it is necessary to provide cooling for the substrates. This can be accomplished, for example, by cooling the back of the substrate with a stream of helium gas, with an elastomer or by gluing the substrate to a cooled electrode.
It is advantageous according to the present invention for the plasma sources used to have high densities of reactive species and ions with a low but, at the same time, accurately controllable energy with which the ions generated reach the substrate. The ion energy must be minimized for high mass selectivity. High ion energies also result in interfering reactive effects of atomized or eroded materials redeposited in an uncontrolled manner. The energy of the ions acting on the substrate must of course be sufficient to keep the base of the structure free of deposits and thereby produce a smooth etching ground.

Claims (26)

What is claimed is:
1. A method for achieving anisotropic etching results in producing an etched structure in a substrate, comprising the steps of:
performing a side wall passivation to apply a side wall passivation layer to a side wall of the substrate, the substrate including at least one of a polymer material, a metal and a multicomponent system;
performing an isotropic etching operation separately from the side wall passivation step, the isotropic etching operation being alternatingly performed with the side wall passivation step,
wherein during the isotropic etching operation, a portion of the side wall passivation layer applied during the side wall passivation step is transferred to an exposed side face of the side wall.
2. The method according to claim 1, further comprising the step of:
controlling a bombardment of ions impinging on the side wall using a combination of a high plasma density, a low process pressure and a low ion acceleration,
wherein the ions impinge on the side wall at an oblique angle with respect to a plane including the side wall.
3. The method according to claim 1, wherein the step of performing the isotopic etching operation includes the step of exposing the substrate to low-energy incident ions.
4. The method according to claim 1, wherein the step of performing the side wall passivation includes the step of exposing the substrate to low-energy incident ions.
5. The method according to claim 2, wherein the step of performing the side wall passivation includes the step of lowering an ion energy, the ion energy not causing the ion acceleration.
6. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the steps of (a) partially eroding the side wall passivation layer using a small dose of incident ions, the small dose of the incident ions being accurately controlled, the incident ions impinging the side wall passivation layer at an oblique angle, and (b) shifting the portion of the side wall passivation layer in a direction of the isotropic etching operation to protect the exposed side face.
7. The method according to claim 6, wherein the small dose of the incident ion has a low energy.
8. The method according to claim 1, wherein the multicomponent system includes at least one of a gallium/arsenic material and an aluminum/gallium/arsenic material.
9. The method according to claim 1, wherein the polymer material includes at least one of a thick photoresist and a dry resist.
10. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation using spontaneous reacting etchant species.
11. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation using at least one of chlorine, oxygen, and a fluorine donor gas.
12. The method according to claim 11, wherein the fluorine donor gas includes one of a sulfur hexafluoride, a nitrogen trifluoride and a tetrafluoromethane.
13. The method according to claim 11, wherein the fluorine donor gas is mixed with one of an argon gas and a further inert gas.
14. The method according to claim 13, wherein the further inert gas includes at least one of a helium gas and a xenon gas.
15. The method according to claim 1, wherein the step of performing the side wall passivation includes the step of performing the side wall passivation using at least one of carbon tetrachloride, trichloromethane, Cx Hy Clz, BCl3, silicon tetrachloride trifluoromethane, silicon tetrafluoride, silane, and a mixture including at least one of halohydrocarbons and SiF4 /SiCl4, silane, hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, metallohydrocarbon, fluorohydrocarbons, hydrocarbon with at least one of a high aromatic ring content, perfluorinated aromatics, perfluorinated styrene derivatives and fluoroether compounds.
16. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation with an ion energy between 10 eV and 100 eV.
17. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation with an ion energy between 30 eV and 50 eV.
18. The method according to claim 1, wherein the step of performing the side wall passivation includes the step of performing the side wall passivation with an ion energy between 10 eV and 50 eV.
19. The method according to claim 1, wherein the step of performing the side wall passivation includes the step of performing the side wall passivation with an ion energy between 30 eV and 40 eV.
20. The method according to claim 1, wherein the step of performing the side wall passivation includes the step of performing the side wall passivation with an ion energy between 0 eV and 10 eV, without a bias voltage.
21. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation using an etching medium and the step of performing the side wall passivation includes the step of performing the side wall passivation using the etching medium, the etching medium having a gas flow of between 10 sccm and 200 sccm and a process pressure of between 10 μbar and 100 μbar during an oblique bombardment of ions, the process pressure being 0 μbar in an off state.
22. The method according to claim 1, wherein a plasma production is performed by one of a microwave injection and a high-frequency setting at powers of between 100 W and 1500 W.
23. The method according to claim 1, wherein a plasma production is performed by one of a microwave injection and a high-frequency setting at powers of between 300 W and 1200 W.
24. The method according to claim 1, wherein at least one of the step of performing the isotropic etching operation and the step of performing the side wall passivation includes the step of cooling the substrate.
25. The method according to claim 1, wherein the step of performing the isotropic etching operation includes the step of performing the isotropic etching operation using a high plasma density of reactive species and ions and the step of performing the side wall passivation includes the step of performing the side wall passivation using the high plasma density of reactive species and ions.
26. The method according to claim 1, wherein an ion density, an ion energy and a ratio of charged particles to uncharged particles are controlled independently of one another.
US09/091,031 1996-10-07 1997-10-06 Process for anisotropic plasma etching of different substrates Expired - Lifetime US6127273A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19641288A DE19641288A1 (en) 1996-10-07 1996-10-07 Process for anisotropic plasma etching of various substrates
DE19641288 1996-10-07
PCT/DE1997/002272 WO1998015972A1 (en) 1996-10-07 1997-10-06 Process for anisotropic plasma etching of different substrates

Publications (1)

Publication Number Publication Date
US6127273A true US6127273A (en) 2000-10-03

Family

ID=7808064

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/091,031 Expired - Lifetime US6127273A (en) 1996-10-07 1997-10-06 Process for anisotropic plasma etching of different substrates

Country Status (6)

Country Link
US (1) US6127273A (en)
EP (1) EP0865664B1 (en)
JP (1) JP4847635B2 (en)
KR (1) KR100515424B1 (en)
DE (2) DE19641288A1 (en)
WO (1) WO1998015972A1 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415198B1 (en) 1999-06-25 2002-07-02 Applied Materials, Inc. Plasma etching of silicon using a chlorine chemistry augmented with sulfur dioxide
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6489248B2 (en) 1999-10-06 2002-12-03 Applied Materials, Inc. Method and apparatus for etch passivating and etching a substrate
US6500348B2 (en) 2001-02-14 2002-12-31 Delphi Technologies, Inc. Deep reactive ion etching process and microelectromechanical devices formed thereby
US20030047308A1 (en) * 2000-03-02 2003-03-13 Hirsch John Michele Wireless downwhole measurement and control for optimizing gas lift well and field performance
US20030181067A1 (en) * 2002-03-20 2003-09-25 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device having low dielectric constant insulator film
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process
US6685844B2 (en) 2001-02-14 2004-02-03 Delphi Technologies, Inc. Deep reactive ion etching process and microelectromechanical devices formed thereby
US20040053505A1 (en) * 2002-09-18 2004-03-18 Chinn Jeffrey D. Method of etching variable depth features in a crystalline substrate
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US6756311B2 (en) * 1999-12-09 2004-06-29 Kawasaki Microelectronics, Inc. Methods and apparatus for producing semiconductor devices
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US20060131271A1 (en) * 2004-12-22 2006-06-22 Adrian Kiermasz Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US20060194439A1 (en) * 2005-03-08 2006-08-31 Lam Research Corporation Etch with striation control
US20060205220A1 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US20070065967A1 (en) * 2005-09-16 2007-03-22 Dalsa Semiconductor Inc. Micromachined structures using collimated DRIE
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US20070237959A1 (en) * 2005-09-06 2007-10-11 Lemaire Charles A Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
US20080018012A1 (en) * 2005-09-06 2008-01-24 Lemaire Alexander B Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
EP2105952A2 (en) 2008-03-27 2009-09-30 DALSA Semiconductor Inc. Deep reactive ion etching
US20190244827A1 (en) * 2016-10-17 2019-08-08 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Apparatus and method for anisotropic drie etching with fluorine gas mixture
WO2019180655A1 (en) 2018-03-21 2019-09-26 Ecole Polytechnique Federale De Lausanne (Epfl) Optical coupling device
WO2021257185A1 (en) * 2020-06-18 2021-12-23 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19919469A1 (en) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Process for plasma etching silicon
DE19919832A1 (en) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Process for anisotropic plasma etching of semiconductors
JP3376348B2 (en) * 2000-10-20 2003-02-10 株式会社半導体先端テクノロジーズ Semiconductor device manufacturing method and semiconductor device
US6743727B2 (en) 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
DE10130916B4 (en) * 2001-06-27 2004-08-26 Forschungsverbund Berlin E.V. Process for anisotropic structuring of materials
DE10204222B4 (en) * 2002-01-31 2005-12-01 Infineon Technologies Ag Method for sidewall passivation in plasma etching
DE10237249B4 (en) 2002-08-14 2014-12-18 Excelitas Technologies Singapore Pte Ltd Method for the selective removal of material from the surface of a substrate
JP5177997B2 (en) * 2006-11-22 2013-04-10 Sppテクノロジーズ株式会社 Silicon structure having high aspect ratio opening, manufacturing method thereof, manufacturing apparatus thereof, and manufacturing program thereof
JP5634313B2 (en) * 2011-03-29 2014-12-03 富士フイルム株式会社 Resist pattern forming method and patterned substrate manufacturing method using the same
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3992099A (en) * 1973-12-12 1976-11-16 Varo, Inc. Source discriminator for measuring angle of arrival and wavelength of radiant energy
US4037959A (en) * 1975-12-15 1977-07-26 The United States Of America As Represented By The Secretary Of The Navy Means for real-time laser source characterization
US4184767A (en) * 1975-07-21 1980-01-22 The United States Of America As Represented By The Secretary Of The Navy Frequency agile optical radar
US4674871A (en) * 1984-08-02 1987-06-23 Hughes Aircraft Company Spectral analyzer and direction indicator
US5043726A (en) * 1985-05-23 1991-08-27 Hughes Aircraft Company Spectral analyzer and direction indicator for laser guided aircraft landing system
US5453156A (en) * 1994-11-01 1995-09-26 Taiwan Semiconductor Manufactoring Company Ltd. Anisotropic polysilicon plasma etch using fluorine gases
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) * 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE3940083A1 (en) * 1989-12-04 1991-06-13 Siemens Ag Anisotropic dry etching of aluminium (alloy) circuits - using plasma of chlorine, nitrogen and argon
US5100505A (en) * 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
JPH04303929A (en) * 1991-01-29 1992-10-27 Micron Technol Inc Trench etching method for silicon substrate
DE4132564C2 (en) * 1991-09-30 1994-11-03 Siemens Ag Process for plasma etching with microwave energy-fed pre-excitation of the etching gases in the production of integrated semiconductor circuits and use of the process
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
DE4241453C2 (en) * 1992-12-09 1995-04-20 Daimler Benz Ag Process for plasma etching trenches in silicon
DE4317623C2 (en) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Method and device for anisotropic plasma etching of substrates and their use
DE4420962C2 (en) * 1994-06-16 1998-09-17 Bosch Gmbh Robert Process for processing silicon

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3992099A (en) * 1973-12-12 1976-11-16 Varo, Inc. Source discriminator for measuring angle of arrival and wavelength of radiant energy
US4184767A (en) * 1975-07-21 1980-01-22 The United States Of America As Represented By The Secretary Of The Navy Frequency agile optical radar
US4037959A (en) * 1975-12-15 1977-07-26 The United States Of America As Represented By The Secretary Of The Navy Means for real-time laser source characterization
US4674871A (en) * 1984-08-02 1987-06-23 Hughes Aircraft Company Spectral analyzer and direction indicator
US5043726A (en) * 1985-05-23 1991-08-27 Hughes Aircraft Company Spectral analyzer and direction indicator for laser guided aircraft landing system
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5453156A (en) * 1994-11-01 1995-09-26 Taiwan Semiconductor Manufactoring Company Ltd. Anisotropic polysilicon plasma etch using fluorine gases

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415198B1 (en) 1999-06-25 2002-07-02 Applied Materials, Inc. Plasma etching of silicon using a chlorine chemistry augmented with sulfur dioxide
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6489248B2 (en) 1999-10-06 2002-12-03 Applied Materials, Inc. Method and apparatus for etch passivating and etching a substrate
US6756311B2 (en) * 1999-12-09 2004-06-29 Kawasaki Microelectronics, Inc. Methods and apparatus for producing semiconductor devices
US20030047308A1 (en) * 2000-03-02 2003-03-13 Hirsch John Michele Wireless downwhole measurement and control for optimizing gas lift well and field performance
US6500348B2 (en) 2001-02-14 2002-12-31 Delphi Technologies, Inc. Deep reactive ion etching process and microelectromechanical devices formed thereby
US6685844B2 (en) 2001-02-14 2004-02-03 Delphi Technologies, Inc. Deep reactive ion etching process and microelectromechanical devices formed thereby
US20040099631A1 (en) * 2001-02-14 2004-05-27 Delco Electronics Corporation Deep reactive ion etching process and microelectromechanical devices formed thereby
US7077007B2 (en) 2001-02-14 2006-07-18 Delphi Technologies, Inc. Deep reactive ion etching process and microelectromechanical devices formed thereby
US20030181067A1 (en) * 2002-03-20 2003-09-25 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device having low dielectric constant insulator film
US6790766B2 (en) * 2002-03-20 2004-09-14 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device having low dielectric constant insulator film
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process
US6846746B2 (en) 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US7618548B2 (en) 2002-08-02 2009-11-17 Applied Materials, Inc. Silicon-containing structure with deep etched features, and method of manufacture
US20060205238A1 (en) * 2002-08-02 2006-09-14 Chinn Jeffrey D Silicon-containing structure with deep etched features, and method of manufacture
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US20040053505A1 (en) * 2002-09-18 2004-03-18 Chinn Jeffrey D. Method of etching variable depth features in a crystalline substrate
US6900133B2 (en) 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20050037624A1 (en) * 2002-10-11 2005-02-17 Lam Research Corporation Method for plasma etching performance enhancement
US20070026677A1 (en) * 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US20060131271A1 (en) * 2004-12-22 2006-06-22 Adrian Kiermasz Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20090121324A1 (en) * 2005-03-08 2009-05-14 Lam Research Corporation Etch with striation control
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20060205220A1 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process
US20060194439A1 (en) * 2005-03-08 2006-08-31 Lam Research Corporation Etch with striation control
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7744793B2 (en) 2005-09-06 2010-06-29 Lemaire Alexander B Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
US8162643B2 (en) 2005-09-06 2012-04-24 Lemaire Alexander B Method and apparatus for growing nanotube forests, and generating nanotube structures therefrom
US20080018012A1 (en) * 2005-09-06 2008-01-24 Lemaire Alexander B Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
US9815697B2 (en) 2005-09-06 2017-11-14 Grandnano, Llc Apparatus for growing carbon nanotube forests, and generating nanotube structures therefrom, and method
US8845941B2 (en) 2005-09-06 2014-09-30 Grandnano, Llc Apparatus for growing carbon nanotube forests, and generating nanotube structures therefrom, and method
US8551376B2 (en) 2005-09-06 2013-10-08 Grandnano, Llc Method for growing carbon nanotube forests, and generating nanotube structures therefrom, and apparatus
US20070237959A1 (en) * 2005-09-06 2007-10-11 Lemaire Charles A Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
US7850778B2 (en) 2005-09-06 2010-12-14 Lemaire Charles A Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
US20070065967A1 (en) * 2005-09-16 2007-03-22 Dalsa Semiconductor Inc. Micromachined structures using collimated DRIE
US7439093B2 (en) 2005-09-16 2008-10-21 Dalsa Semiconductor Inc. Method of making a MEMS device containing a cavity with isotropic etch followed by anisotropic etch
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20090242512A1 (en) * 2008-03-27 2009-10-01 Dalsa Semiconductor Inc. Deep reactive ion etching
EP2105952A2 (en) 2008-03-27 2009-09-30 DALSA Semiconductor Inc. Deep reactive ion etching
US20190244827A1 (en) * 2016-10-17 2019-08-08 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Apparatus and method for anisotropic drie etching with fluorine gas mixture
WO2019180655A1 (en) 2018-03-21 2019-09-26 Ecole Polytechnique Federale De Lausanne (Epfl) Optical coupling device
US11714232B2 (en) 2018-03-21 2023-08-01 Ecole polytechnique fédérale de Lausanne (EPFL) Optical coupling device
WO2021257185A1 (en) * 2020-06-18 2021-12-23 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
US11515166B2 (en) 2020-06-18 2022-11-29 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases

Also Published As

Publication number Publication date
KR100515424B1 (en) 2005-11-30
EP0865664A1 (en) 1998-09-23
EP0865664B1 (en) 2004-09-22
WO1998015972A1 (en) 1998-04-16
JP2000502512A (en) 2000-02-29
DE59711950D1 (en) 2004-10-28
JP4847635B2 (en) 2011-12-28
DE19641288A1 (en) 1998-04-09
KR19990071883A (en) 1999-09-27

Similar Documents

Publication Publication Date Title
US6127273A (en) Process for anisotropic plasma etching of different substrates
JP4090492B2 (en) Anisotropic etching of silicon
US5498312A (en) Method for anisotropic plasma etching of substrates
JP4783169B2 (en) Dry etching method, fine structure forming method, mold and manufacturing method thereof
US6303512B1 (en) Anisotropic, fluorine-based plasma etching method for silicon
JP4601113B2 (en) Anisotropic etching method for substrates
KR101083623B1 (en) Method for plasma etching using periodic modulation of gas chemistry
KR0179366B1 (en) Method of forming fine patterns
US7141504B1 (en) Method and apparatus for anisotropic etching
WO1999065065B1 (en) Etching process for producing substantially undercut free silicon on insulator structures
WO2005122226A1 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR100382720B1 (en) Semiconductor etching apparatus and etching method of semiconductor devices using the semiconductor etching apparatus
GB2290413A (en) Processing silicon in a plasma etch system
Sonek et al. Reactive ion etching of GaAs using BCl3
Wang et al. Lithography using electron beam induced etching of a carbon film
KR102316457B1 (en) Apparatus and method for anisotropic DRIE etching with fluorine gas mixture
Heath et al. Reactive ion-beam etching
EP0212585B1 (en) Selective and anisotropic dry etching
JP2602285B2 (en) Method for manufacturing semiconductor device
Fleddermann Plasma etching of PLZT: Review and future prospects
KR100263611B1 (en) Method for trench fabrication
Keil et al. PROFILE CONTROL OF SUB-0.3 µm CONTACT ETCH FEATURES IN A MEDIUM-DENSITY OXIDE ETCH REACTOR
Ortega Martinez et al. Broad‐area electron‐beam‐assisted etching of silicon in sulfur hexafluoride
Schmid Microwave etching device for reactive ion etching
JPH09181044A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROBERT BOSCH GMBH, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEARMER, FRANZ;SCHLIP, ANDREA;REEL/FRAME:009791/0868;SIGNING DATES FROM 19980617 TO 19980622

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12