US20220352388A1 - Semiconductor devices - Google Patents

Semiconductor devices Download PDF

Info

Publication number
US20220352388A1
US20220352388A1 US17/862,909 US202217862909A US2022352388A1 US 20220352388 A1 US20220352388 A1 US 20220352388A1 US 202217862909 A US202217862909 A US 202217862909A US 2022352388 A1 US2022352388 A1 US 2022352388A1
Authority
US
United States
Prior art keywords
substrate
gate structure
semiconductor
patterns
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/862,909
Inventor
Sujin JUNG
Junbeom PARK
Kihwan Kim
Sunguk JANG
Youngdae CHO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US17/862,909 priority Critical patent/US20220352388A1/en
Publication of US20220352388A1 publication Critical patent/US20220352388A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure

Definitions

  • inventive concepts relate to semiconductor devices. More particularly, the inventive concepts relate to semiconductor devices having a plurality of vertical channels.
  • an opening may be formed to form a source/drain layer, and thus an upper portion of the substrate may be exposed.
  • the substrate is a silicon on insulator (SOI) substrate, since a portion of an insulation layer on the substrate may also be exposed, it is difficult to use the substrate as a seed for a selective epitaxial growth (SEG) process to be subsequently performed.
  • SOI silicon on insulator
  • Example embodiments provide a semiconductor device having improved electrical characteristics.
  • a semiconductor device that includes a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other in a horizontal direction that is substantially parallel to an upper surface of the SOI substrate, a plurality of channels spaced apart from each other in a vertical direction substantially vertical to the upper surface of the SOI substrate, each of the channels extending through each of the gate structures in the horizontal direction, a seed layer on the SOI substrate, and a source/drain region on the seed layer, the source/drain region connected to the channels.
  • SOI silicon on insulator
  • Each sidewall of the source/drain region in the horizontal direction may have a concave-convex shape, and a protruding portion of the source/drain region formed between the gate structures may protrudee in the horizontal direction compared to a non-protruding portion of the source/drain region formed between the channels.
  • a semiconductor device may include a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other, a plurality of channels spaced apart from each other in a vertical direction substantially perpendicular to an upper surface of the SOI substrate, each of the channels extending through each of the gate structures in a horizontal direction substantially parallel to the upper surface of the SOI substrate, a seed layer having a lower surface that is downwardly convex and including silicon-germanium, and a source/drain region on the seed layer, the source/drain region being connected to the channels.
  • SOI silicon on insulator
  • a semiconductor device may include a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other in a horizontal direction substantially parallel to an upper surface of the SOI substrate, a plurality of channels spaced apart from each other in a vertical direction substantially vertical to the upper surface of the SOI substrate, each of the channels extending through each of the gate structures, a seed layer on the SOI substrate, the seed layer including silicon-germanium, and a source/drain region on the seed layer, the source/drain region being connected to the channels and having a variable width in the horizontal direction that varies along the vertical direction.
  • SOI silicon on insulator
  • a semiconductor device in accordance with example embodiments may include a seed layer under an opening that is formed on a SOI substrate, the seed layer may be used as a seed for a SEG process, and thus a source/drain layer may be effectively formed.
  • FIGS. 1 to 3 are a plan view and cross-sectional views illustrating a semiconductor device in accordance with example embodiments.
  • FIGS. 4 to 17 are plan views and cross-sectional views illustrating stages of a method of manufacturing a semiconductor device in accordance with example embodiments.
  • FIGS. 18 to 20 are cross-sectional views illustrating semiconductor devices in accordance with example embodiments.
  • FIGS. 1 to 3 are a plan view and cross-sectional views illustrating a semiconductor device in accordance with example embodiments.
  • FIG. 1 is the plan view
  • FIGS. 2 and 3 are the cross-sectional views.
  • FIG. 2 is a cross-sectional view taken along a line A-A′ of FIG. 1
  • FIG. 3 is a cross-sectional view taken along a line B-B′ of FIG. 1 .
  • first and second directions two directions intersecting with each other among horizontal directions substantially parallel to an upper surface of a substrate are defined as first and second directions, respectively, and a vertical direction substantially perpendicular to the upper surface of the substrate is defined as a third direction.
  • first and second directions may be orthogonal to each other.
  • the semiconductor device may include a semiconductor pattern structure 126 , a gate structure 300 , a seed layer 200 , a source/drain region 230 , and first and second spacers 185 and 220 disposed on or above a substrate 100 , e.g., a silicon on insulator substrate. Also, the semiconductor device may further include an active region 105 , an isolation pattern 130 , and an insulation layer 240 .
  • the active region 105 may protrude from the substrate 100 in the third direction, and may extend in the first direction.
  • FIG. 2 describes only two active regions 105 spaced apart from each other in the second direction, however, the inventive concept is not limited thereto, and three or more active regions 105 may be formed to be spaced apart from each other on the substrate 100 in the second direction.
  • the active region 105 may be formed by partially removing an upper portion of the substrate 100 . Additionally, the active region 105 may be integrally formed with the substrate 100 to include substantially the same material as the substrate 100 .
  • the sidewall surfaces of the active region 105 may be covered by the isolation pattern 130 .
  • the isolation pattern 130 may include an oxide, e.g., silicon oxide.
  • the semiconductor pattern structure may include first to third semiconductor patterns 126 a , 126 b , and 126 c , and the first to third semiconductor patterns 126 a , 126 b , and 126 c may be formed at a plurality of levels so as to be spaced apart from each other in the third direction from an upper surface of the active region 105 .
  • Each of the first to third semiconductor patterns 126 a , 126 b , and 126 c may serve as a channel of the semiconductor device, and thus may also be referred to as first to third channels.
  • FIGS. 2 and 3 describe that the first to third semiconductor patterns 126 a , 126 b , and 126 c are formed at three levels, however, the inventive concept is not limited thereto.
  • FIG. 3 describes that two semiconductor pattern structures 126 are formed to be spaced apart from each other in the first direction on the active region 105 extending in the first direction, however, the inventive concept is not limited thereto, and three or more semiconductor pattern structures 126 may also be formed to be spaced apart from each other in the first direction.
  • the substrate 100 may be a silicon-on-insulator (SOI) substrate, or a germanium-on-insulator (GOI) substrate, and each of the first to third semiconductor patterns may be a nano-sheet or a nano-wire including a semiconductor material, e.g., silicon, germanium, etc.
  • SOI silicon-on-insulator
  • GOI germanium-on-insulator
  • the source/drain region 230 may contact sidewalls of the first and second spacers 185 and 220 covering a sidewall of the gate structure 300 , and a sidewall of the semiconductor pattern structure 126 .
  • a sidewall of the source/drain region 230 in the first direction may have a concave-convex shape.
  • a portion (protruding portion) of the sidewall of the source/drain region 230 formed between the gate structures 300 neighboring each other in the first direction may protrude in the first direction compared to a portion (non-protruding portion) of the sidewall of the source/drain region 230 formed between semiconductor pattern structures 126 neighboring each other in the first direction at the same level.
  • the protruding portion may correspond to a portion of source/drain region 230 formed between horizontally adjacent sections of a first gate electrode structure 300 and a second gate electrode structure 300 and the non-protruding portion may correspond to a portion of source/drain region 230 formed between horizontally adjacent channels (e.g., a left channel 126 a and a right channel 126 a ).
  • the source/drain region 230 may have the greatest width in the first direction at a portion (widest portion) formed at a height between the first and second semiconductor patterns 126 a and 126 b.
  • the source/drain region 230 may include silicon-germanium doped with p-type impurities, and thus may serve as a source/drain layer of a P-type metal-oxide-semiconductor (PMOS) transistor.
  • PMOS metal-oxide-semiconductor
  • the gate structure 300 may be formed on the substrate 100 to surround central portions of each of the first to third semiconductor patterns 126 a , 126 b , and 126 c in the first direction.
  • FIG. 2 describes that the gate structure 300 covers only the semiconductor pattern structures 126 each formed on two active regions 105 spaced apart from each other in the second direction, however, the inventive concept is not limited thereto. That is, the gate structure 300 may extend in the second direction on the substrate 100 on which the isolation pattern 130 is formed, and may cover a plurality of semiconductor pattern structures 126 each formed on three or more active regions 105 spaced apart from each other in the second direction, or may also cover only one semiconductor pattern structure 126 formed on one active region 105 .
  • FIG. 3 describes that two gate structures 300 are formed to be spaced apart from each other in the first direction on the substrate 100 , however, the inventive concept is not limited thereto, and three or more gate structures 300 may also be formed to be spaced apart from each other in the first direction.
  • the gate structure 300 may include an interface pattern 260 , a gate insulation pattern 270 , a work function control pattern 280 , and a gate electrode 290 sequentially stacked from a surface of each of the semiconductor pattern structures 126 or the upper surface of the active region 105 .
  • the interface pattern 260 may be formed on the upper surface of the active region 105 and the surface of the semiconductor pattern structure 126
  • the gate insulation pattern 270 may be formed on a surface of the interface pattern 260 and inner sidewalls of the first and second spacers 185 and 220
  • the work function control pattern 280 may be formed on the gate insulation pattern 270
  • the gate electrode 290 may fill spaces between the semiconductor pattern structures 126 spaced apart from each other in the third direction and a space defined by the second spacers 220 spaced apart from each other in the first direction.
  • the interface pattern 260 may include an oxide, e.g., silicon oxide, and the gate insulation pattern 270 may include a metal oxide having a high dielectric constant, e.g., hafnium oxide, tantalum oxide, zirconium oxide, etc.
  • the work function control pattern 280 may include, e.g., titanium nitride, titanium oxynitride, titanium oxycarbonitride, titanium silicon oxide, titanium silicon oxynitride, titanium aluminum oxynitride, tantalum nitride, tantalum oxynitride, tantalum aluminum nitride, tantalum aluminum oxynitride, tungsten nitride, tungsten carbonitride, aluminum oxide, etc.
  • the gate electrode 290 may include a metal, e.g., titanium, aluminum, etc., an alloy thereof, or a nitride or a carbide thereof.
  • the gate structure 300 may form a transistor together with the source/drain region 230 serving as the source/drain layer and each of the first to third semiconductor patterns 126 a , 126 b , and 126 c serving as the channels, and the transistor may be a PMOS transistor according to the conductivity type of the impurities doped with the source/drain region 230 .
  • the transistor may include a plurality of channels stacked in the third direction, and thus may be a MBCFET.
  • an upper surface of a portion of the gate structure 300 formed between the active region 105 and the first semiconductor pattern 126 a may have a smaller length in the first direction than a lower surface thereof
  • an upper surface of a portion of the gate structure 300 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b may have substantially the same length in the first direction as a lower surface thereof
  • an upper surface of a portion of the gate structure 300 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c may have a greater length in the first direction than a lower surface thereof.
  • the length in the first direction of the gate structure 300 may be the smallest at a central portion in the third direction of the gate structure 300 between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b.
  • the gate structure 300 may be electrically insulated from the source/drain region 230 by the first and second spacers 185 and 220 .
  • the first spacer 185 may cover both sidewalls in the first direction of an upper portion of the gate structure 300 .
  • the second spacer 220 may cover both sidewalls in the first direction of a lower portion of the gate structure 300 .
  • the second spacer 220 may have a concave shape.
  • the first spacer 185 may have a nitride, e.g., silicon nitride
  • the second spacer 220 may have a nitride, e.g., silicon nitride, silicon carbonitride, silicon boron nitride, silicon oxycarbonitride, etc.
  • the insulation layer 240 may surround a sidewall of the first spacer 185 to cover the source/drain region 230 .
  • the insulation layer 240 may include an oxide, e.g., silicon oxide.
  • the seed layer 200 may be formed on the active region 105 and may include, e.g., silicon-germanium.
  • the seed layer 200 may be formed on a portion of the active region 105 between the gate structures 300 spaced apart from each other in the first direction.
  • an upper surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100 .
  • the upper surface of the seed layer 200 may have a flat shape or a concave shape as a whole.
  • the term “flat” shall have its plain and ordinary meaning which shall be understood by context unless clearly indicated otherwise. For example, when stating that the seed layer 200 is “flat” an exemplary meaning may be smooth and even; or without marked lumps or indentations while also taking into account slight variations due to manufacturing tolerances.
  • a lower surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100 .
  • a lower surface of the seed layer 200 may also have a downwardly convex shape.
  • the semiconductor device may further include contact plugs, wirings, etc., (not illustrated) which may be electrically connected to the source/drain region 230 and/or the gate structure 300 .
  • the semiconductor device may include the seed layer 200 between the active region 105 and the source/drain region 230 , the seed layer 200 may be used as a seed for a SEG process for forming the source/drain region 230 , so that the source/drain region 230 may have improved characteristics. This will be described later.
  • FIGS. 4 to 17 are plan views and cross-sectional views illustrating stages of a method of manufacturing a semiconductor device in accordance with example embodiments.
  • FIGS. 4, 7, 10, and 12 are the plan views
  • FIGS. 5, 6, 8, 9, 11, and 13-17 are the cross-sectional views.
  • FIGS. 5, 6, and 8 are cross-sectional views taken along lines A-A′ of corresponding plan views, respectively;
  • FIGS. 9, 11, and 13-17 are cross-sectional views taken along lines B-B′ of corresponding plan views, respectively.
  • a sacrificial layer 110 and a semiconductor layer 120 may be alternately and repeatedly stacked on a substrate 100 .
  • FIG. 5 describes that the sacrificial layers 110 and the semiconductor layers 120 are formed at each of three levels, however, the inventive concept is not limited thereto.
  • the substrate 100 may be a silicon-on-insulator (SOI) substrate, or a germanium-on-insulator (GOI) substrate.
  • SOI silicon-on-insulator
  • GOI germanium-on-insulator
  • semiconductor on insulator may be used herein to refer to both a silicon-on-insulator substrate, and a germanium-on-insulator substrate.
  • the semiconductor layer 120 may be a nano-sheet or a nano-wire including a semiconductor material, e.g., silicon, germanium, etc., and the sacrificial layer 110 may include a material having an etching selectivity with respect to the substrate 100 and the semiconductor layer 120 , e.g., silicon-germanium.
  • the substrate 100 is a SOI substrate
  • the semiconductor layer 120 is a nano-sheet or a nano-wire including silicon
  • the sacrificial layer 110 includes silicon-germanium
  • the inventive concept is not limited thereto. That is, the substrate 100 may be a GOI substrate, the semiconductor layer 120 may be a nano-sheet or a nano-wire including germanium, and the sacrificial layer 110 may include a silicon-germanium.
  • a hard mask (not shown) may be formed to extend in the first direction on an uppermost semiconductor layer 120 , and the semiconductor layers 120 , the sacrificial layers 110 , and an upper portion of the substrate 100 may be etched using the hard mask as an etching mask.
  • an active region 105 may be formed to extend in the first direction on the substrate 100 , and a fin structure including sacrificial lines 112 and semiconductor lines 122 alternately and repeatedly stacked on the active region 105 may be formed.
  • a plurality of fin structures may be formed to be spaced apart from each other in the second direction on the substrate 100 .
  • an isolation pattern 130 may be formed to cover a sidewall of the active region 105 on the substrate 100 .
  • a dummy gate structure 175 may be formed to partially cover the fin structure and the isolation pattern 130 on the substrate 100 .
  • a dummy gate insulation layer, a dummy gate electrode layer, and a dummy gate mask layer may be sequentially formed on the substrate 100 on which the fin structure and the isolation pattern 130 may be formed, a photoresist pattern (not shown) may be formed on the dummy gate mask layer to extend in the second direction, and the dummy gate mask layer may be etched using the photoresist pattern as an etching mask to form a dummy gate mask 165 on the substrate 100 .
  • the dummy gate insulation layer may include an oxide, e.g., silicon oxide
  • the dummy gate electrode layer may include, e.g., polysilicon
  • the dummy gate mask layer may include a nitride, e.g., silicon nitride.
  • the dummy gate electrode layer and the dummy gate insulation layer may be etched using the dummy gate mask 165 as an etching mask to form a dummy gate electrode 155 and a dummy gate insulation pattern 145 , respectively, on the substrate 100 .
  • the dummy gate insulation pattern 145 , the dummy gate electrode 155 , and the dummy gate mask 165 sequentially stacked on the active region 105 and a portion of the isolation pattern 130 adjacent thereto may form a dummy gate structure 175 .
  • the dummy gate structure 175 may extend in the second direction on the fin structure and the isolation pattern 130 , and may cover an upper surface and both sidewalls in the second direction of the fin structure.
  • a first spacer 185 may be formed on a sidewall of the dummy gate structure 175 , and the fin structure may be etched using the dummy gate structure 175 and the first spacer 185 as an etching mask to expose the upper surface of the active region 105 on the substrate 100 .
  • the first spacer layer may be anisotropically etched to form the first spacer 185 covering both sidewalls in the first direction of each of the dummy gate structure 175 .
  • the sacrificial lines 112 and the semiconductor lines 122 under the dummy gate structure 175 and the first spacer 185 may be converted into preliminary sacrificial patterns 114 and preliminary semiconductor patterns 124 , respectively, and the fin structure extending in the second direction may be separated into a plurality of parts to be spaced apart from each other along the first direction.
  • Each of the dummy gate structures 175 , the first spacer 185 on both sidewalls of each of the dummy gate structures 175 , and the fin structure under the dummy gate structure 175 and the first spacer 185 may extend in the second direction, and may be formed in a plural number to be spaced apart from each other along the first direction.
  • a first opening 190 may be formed to expose the active region 105 and the isolation pattern 130 between adjacent dummy gate structures 175 , the first spacers 185 on both sidewalls of each dummy gate structure 175 , and the fin structures thereunder.
  • the upper surface of the active region 105 exposed by the first opening 190 may be lower than a lower surface of the fin structure.
  • a cleaning process and a baking process may be performed on the fin structures exposed by the first opening 190 .
  • each of the preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 exposed by the first opening 190 may be partially melted by heat to migrate downwardly, each migrated portion of the sidewall of the preliminary sacrificial pattern 114 and migrated portion of the sidewall of the preliminary semiconductor pattern 124 may be merged on the exposed upper surface of the active region 105 to form a seed layer 200 , and the seed layer 200 may partially fill a lower portion of the first opening 190 .
  • the preliminary sacrificial patterns 114 may be converted into sacrificial patterns 116 , and the preliminary semiconductor patterns 124 may form a semiconductor pattern structure 126 , and the semiconductor pattern structure 126 may include first to third semiconductor patterns 126 a , 126 b , and 126 c sequentially stacked.
  • an upper surface of the seed layer 200 may be lower than a lower surface of the sacrificial pattern 116 formed between the active region 105 and the semiconductor pattern structure 126 .
  • the seed layer 200 may include substantially the same material as the sacrificial pattern 116 , e.g., silicon-germanium. However, since the seed layer 200 is formed by melting not only the preliminary sacrificial pattern 114 , but also the preliminary semiconductor pattern 124 to migrate downwardly, the seed layer 200 may further include substantially the same material as the semiconductor pattern structure 126 , e.g., silicon. Accordingly, the seed layer 200 may include silicon-germanium having a lower germanium concentration than the preliminary sacrificial pattern 114 .
  • the seed layer 200 may be used as a seed for a selective epitaxial growth (SEG) process to be subsequently performed.
  • SEG selective epitaxial growth
  • an upper surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100 .
  • the upper surface of the seed layer 200 may also have a flat shape or a concave shape as a whole.
  • a portion of the sacrificial pattern 116 between the active region 105 and the first semiconductor pattern 126 a , and the upper surface of the seed layer 200 may be connected to each other, however, the inventive concept is not limited thereto, and they may not be connected to each other.
  • a lower surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100 .
  • a lower surface of the seed layer 200 may also have a downwardly convex shape.
  • the preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 may migrate downwardly by melting different amounts by the cleaning process and the baking process.
  • the preliminary semiconductor pattern 124 may be a nano-sheet or a nano-wire including silicon
  • the preliminary sacrificial pattern 114 may include silicon-germanium
  • each silicon and silicon-germanium may have different melting points.
  • each of the preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 may be melted by different amounts by the cleaning process and the baking process.
  • the first opening 190 may partially extend in the first direction, and sidewalls of the first opening 190 opposite to each of the sacrificial patterns 116 may have a concave-convex shape as a whole. A portion of the sidewall of the first opening 190 formed between neighboring semiconductor pattern structures 126 adjacent to each other in the first direction may protrude compared to a portion of the sidewall of the first opening 190 formed between neighboring sacrificial patterns 116 adjacent to each other in the first direction.
  • a central portion in the third direction of the first opening 190 may be more extended in the first direction than a lower portion or an upper portion thereof.
  • the first opening 190 may have first to third widths W 1 , W 2 , and W 3 in the first direction according to the heights thereof.
  • the second width W 2 may be greater than the first width W 1 and/or the third width W 3 , however, the first and third widths W 1 and W 3 may be substantially the same as one another.
  • the second width W 2 may be greater than the first width W 1 and/or the third width W 3 , and however, the first and third widths W 1 and W 3 may be different to each other.
  • the first width W 1 may be greater than the third width W 3
  • the third width W 3 may also be greater than the first width W 1 .
  • an upper surface of the first semiconductor pattern 126 a may have a length in the first direction smaller than a lower surface thereof
  • an upper surface of the second semiconductor pattern 126 b may have the same length in the first direction as a lower surface thereof
  • an upper surface of the third semiconductor pattern 126 c may have a length in the first direction greater than a lower surface thereof.
  • both sidewalls in the first direction of the sacrificial patterns 116 exposed by the first opening 190 may be etched to form first recesses 210 , and thus the first to third widths W 1 , W 2 , and W 3 of the first opening 190 may extend to fourth to sixth widths W 4 , W 5 , and W 6 .
  • the fifth width W 5 may be greater than the fourth width W 4 and/or the sixth width W 6 , however, the fourth and sixth widths may be the same as each other.
  • the first recesses 210 may be formed by performing a wet etching process on the sacrificial patterns 116 . Accordingly, each of the first recesses 210 may have a concave shape.
  • sacrificial pattern 116 formed between the active region 105 and the first semiconductor pattern 126 a among the sacrificial patterns 116 on which the first recesses 210 are formed may have a width in the first direction gradually decreasing from top to bottom
  • sacrificial pattern 116 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b among the sacrificial patterns 116 may have a width in the first direction that increases from top to center and then decreases from center to bottom
  • sacrificial pattern 116 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c among the sacrificial patterns 116 may have a width in the first direction gradually increasing from top to bottom.
  • the upper surface of the seed layer 200 may be partially removed when the wet etching process is performed.
  • a second spacer 220 may be formed to fill each of the first recesses 210 .
  • the second spacer 220 may be formed by forming a second spacer layer to fill the first recesses 210 on the dummy gate structure 175 , the first spacer 185 , the fin structure, the active region 105 of the substrate 100 , and the isolation pattern 130 , and anisotropically etching the second spacer layer.
  • the second spacer layer may be formed by a deposition process, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, etc.
  • a source/drain region 230 may be formed on the upper surface of the seed layer 200 exposed by the first opening 190 .
  • the source/drain region 230 may be formed by performing a SEG process using the upper surface of the seed layer 200 exposed by the first opening 190 as a seed.
  • the source/drain region 230 may contact sidewalls of the semiconductor pattern structures 126 , and outer sidewalls of the second spacer 220 covering sidewalls of the sacrificial patterns 116 .
  • the source/drain region 230 may further grow in the third direction to contact sidewalls of the first spacer 185 .
  • a sidewall in the first direction of the source/drain region 230 may have a concave-convex shape (e.g., a vertical sidewall that has a concave-convex shape with respect to the horizontal direction that is undulating).
  • a portion (protruding portion) of the sidewall of the source/drain region 230 that is formed between the gate structures 300 neighboring each other in the first direction may protrude in the first direction compared to a portion (non-protruding portion) of the sidewall of the source/drain region 230 formed between corresponding semiconductor patterns 126 a , 126 b , and/or 126 c of semiconductor pattern structures 126 neighboring each other in the first direction (e.g., a first semiconductor pattern 126 a and a different semiconductor pattern 126 a that are horizontally adjacent to one another on opposite sides of recess 210 and thus may be considered “corresponding”).
  • the source/drain region 230 may have the greatest width in the first direction at a portion (wide portion) formed at a height between the first and second semiconductor patterns 126 a and 126 b.
  • the SEG process may be performed using, e.g., a silicon source gas such as dichlorosilane (SiH 2 Cl 2 ) gas, a germanium source gas such as germanium tetrahydride (GeH 4 ) gas, and a p-type impurity source gas together, and thus a single crystal silicon-germanium (SiGe) layer doped with p-type impurities may be formed.
  • a silicon source gas such as dichlorosilane (SiH 2 Cl 2 ) gas
  • a germanium source gas such as germanium tetrahydride (GeH 4 ) gas
  • a p-type impurity source gas e.g., silicon-germanium (SiH 2 Cl 2 ) gas, and a p-type impurity source gas together, and thus a single crystal silicon-germanium (SiGe) layer doped with p-type impurities may be formed.
  • the source/drain region 230 may serve
  • the insulation layer 240 may be planarized until an upper surface of the dummy gate electrode 155 may be exposed.
  • the dummy gate mask 165 may also be removed, and an upper portion of the first spacer 185 may also be partially removed.
  • the planarization process may be performed by a chemical mechanical polishing (CMP) process and/or an etch back process.
  • CMP chemical mechanical polishing
  • the exposed dummy gate electrode 155 , the dummy gate insulation pattern 145 thereunder, and the sacrificial patterns 116 may be removed by, e.g., a wet etching process and/or a dry etching process, and a second opening 250 may be formed to expose an inner sidewall of the first spacer 185 , an inner sidewall of the second spacer 220 , a surface of the semiconductor pattern structure 126 , and the upper surface of the active region 105 .
  • a gate structure 300 may be formed to fill the second opening 250 on the substrate 100 .
  • a gate insulation layer and a work function control layer may be conformally formed on a surface of the interface pattern 260 , the inner sidewalls of the first and second spacers 185 and 220 , and an upper surface of the insulation layer 240 , and a gate electrode layer may be formed to sufficiently fill a remaining portion of the second opening 250 .
  • the gate insulation layer, the work function control layer, and the gate electrode layer may be formed by, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, etc.
  • the interface pattern 260 may also be formed by a CVD process, an ALD process, etc., instead of the thermal oxidation process, in this case, the interface pattern 260 may also be formed on the inner sidewalls of the first and second spacers 182 , 220 .
  • the gate electrode layer, the work function control layer, and the gate insulation layer may be planarized until the upper surface of the insulation layer 240 may be exposed to form a gate electrode 290 , a work function control pattern 280 , and a gate insulation pattern 270 , respectively.
  • the interface pattern 260 , the gate insulation pattern 270 , the work function control pattern 280 , and the gate electrode 290 may form a gate structure 300 .
  • an upper surface of a portion of the gate structure 300 formed between the active region 105 and the first semiconductor pattern 126 a may have a smaller length in the first direction than a lower surface thereof
  • an upper surface of a portion of the gate structure 300 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b may have substantially the same length in the first direction as a lower surface thereof
  • an upper surface of a portion of the gate structure 300 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c may have a greater length in the first direction than a lower surface thereof.
  • the length in the first direction of the gate structure 300 may be the smallest at a central portion in the third direction of the gate structure 300 between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b.
  • the fabrication of the semiconductor device may be completed by the processes described above.
  • FIGS. 18 to 20 are cross-sectional views illustrating semiconductor devices in accordance with example embodiments.
  • FIGS. 18 to 20 are cross-sectional views each taken along a line B-B′ of FIG. 1 .
  • an upper surface and a lower surface of the seed layer 200 may have a concave shape as a whole.
  • a lower surface of the source/drain region 230 may also have a downwardly convex shape, corresponding to the shape of the upper surface of the seed layer 200 .
  • the second spacer 220 may have an inner sidewall and an outer sidewall each vertical to the upper surface of the substrate 100 .
  • each of the second spacers 220 may have an inner sidewall and an outer sidewall on opposite sides of a respective gate structure 300 with each sidewall being vertical with respect to the upper surface of the substrate 100 .
  • a sidewall of the gate structure 300 contacting the inner sidewall of the second spacer 220 and a sidewall of the gate structure 300 contacting the outer sidewall of the second spacer 220 may also be vertical to the upper surface of the substrate 100 .
  • a sidewall of the respective gate structure 300 contacting the inner sidewall of the corresponding second spacer 220 and a sidewall of the respective gate structure 300 contacting the outer sidewall of the corresponding second spacer 220 may also be vertical with respect to the upper surface of the substrate 100 .
  • an inner sidewall of the second spacer 220 may have a concave shape toward a central portion in the third direction of the second spacer 220 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b , and an outer sidewall of the second spacer 220 may also have a shape similar to the inner sidewall of the second spacer, however, the outer sidewall of the second spacer 220 may have a shape in which a central portion in the third direction may be concave toward each of the gate structures 300 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A semiconductor device, including a silicon on insulator (SOI) substrate is disclosed. The device may include gate structures formed on the SOI substrate and being spaced apart from each other in a horizontal direction, and a plurality of channels spaced apart from each other in a vertical direction. Each of the channels may extend through each of the gate structures in the horizontal direction. The device may include a seed layer and a source/drain region. The source/drain region may be connected to the channels, and each sidewall of the source/drain region in the horizontal direction may have a concave-convex shape. The device may include a protruding portion of the source/drain region formed between the gate structures that protrudes in the horizontal direction compared to a non-protruding portion of the source/drain region formed between the channels.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority under 35 USC § 119 to Korean Patent Application No. 10-2019-0063727, filed on May 30, 2019 in the Korean Intellectual Property Office (KIPO), the contents of which are herein incorporated by reference in their entirety.
  • BACKGROUND 1. Field
  • The inventive concepts relate to semiconductor devices. More particularly, the inventive concepts relate to semiconductor devices having a plurality of vertical channels.
  • 2. Description of the Related Art
  • In a method of manufacturing a Multi Bridge Channel Field Effect Transistor (MBCFET) or the like, an opening may be formed to form a source/drain layer, and thus an upper portion of the substrate may be exposed. When the substrate is a silicon on insulator (SOI) substrate, since a portion of an insulation layer on the substrate may also be exposed, it is difficult to use the substrate as a seed for a selective epitaxial growth (SEG) process to be subsequently performed.
  • SUMMARY
  • Example embodiments provide a semiconductor device having improved electrical characteristics. According to example embodiments, there is provided a semiconductor device that includes a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other in a horizontal direction that is substantially parallel to an upper surface of the SOI substrate, a plurality of channels spaced apart from each other in a vertical direction substantially vertical to the upper surface of the SOI substrate, each of the channels extending through each of the gate structures in the horizontal direction, a seed layer on the SOI substrate, and a source/drain region on the seed layer, the source/drain region connected to the channels. Each sidewall of the source/drain region in the horizontal direction may have a concave-convex shape, and a protruding portion of the source/drain region formed between the gate structures may protrudee in the horizontal direction compared to a non-protruding portion of the source/drain region formed between the channels.
  • According to example embodiments, there is provided a semiconductor device. The device may include a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other, a plurality of channels spaced apart from each other in a vertical direction substantially perpendicular to an upper surface of the SOI substrate, each of the channels extending through each of the gate structures in a horizontal direction substantially parallel to the upper surface of the SOI substrate, a seed layer having a lower surface that is downwardly convex and including silicon-germanium, and a source/drain region on the seed layer, the source/drain region being connected to the channels.
  • According to example embodiments, there is provided a semiconductor device. The device may include a silicon on insulator (SOI) substrate, gate structures formed on the SOI substrate and being spaced apart from each other in a horizontal direction substantially parallel to an upper surface of the SOI substrate, a plurality of channels spaced apart from each other in a vertical direction substantially vertical to the upper surface of the SOI substrate, each of the channels extending through each of the gate structures, a seed layer on the SOI substrate, the seed layer including silicon-germanium, and a source/drain region on the seed layer, the source/drain region being connected to the channels and having a variable width in the horizontal direction that varies along the vertical direction.
  • A semiconductor device in accordance with example embodiments may include a seed layer under an opening that is formed on a SOI substrate, the seed layer may be used as a seed for a SEG process, and thus a source/drain layer may be effectively formed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 to 3 are a plan view and cross-sectional views illustrating a semiconductor device in accordance with example embodiments.
  • FIGS. 4 to 17 are plan views and cross-sectional views illustrating stages of a method of manufacturing a semiconductor device in accordance with example embodiments.
  • FIGS. 18 to 20 are cross-sectional views illustrating semiconductor devices in accordance with example embodiments.
  • DESCRIPTION OF EMBODIMENTS
  • Semiconductor devices and methods of manufacturing the same in accordance with example embodiments will be described more fully hereinafter with reference to the accompanying drawings.
  • FIGS. 1 to 3 are a plan view and cross-sectional views illustrating a semiconductor device in accordance with example embodiments. FIG. 1 is the plan view, and FIGS. 2 and 3 are the cross-sectional views. FIG. 2 is a cross-sectional view taken along a line A-A′ of FIG. 1, and FIG. 3 is a cross-sectional view taken along a line B-B′ of FIG. 1.
  • Hereinafter, two directions intersecting with each other among horizontal directions substantially parallel to an upper surface of a substrate are defined as first and second directions, respectively, and a vertical direction substantially perpendicular to the upper surface of the substrate is defined as a third direction. In example embodiments, the first and second directions may be orthogonal to each other.
  • Directions described as parallel or perpendicular shall be understood as encompassing acceptable variations that may occur, for example, due to manufacturing processes, tools of measurement, and/or surfaces that are not 100% consistently level throughout. The term “substantially” used with “parallel” or “perpendicular” may encompass an exactly parallel or perpendicular orientation or an orientation with these acceptable variations. The term “substantial” shall have a meaning understood by context unless clearly intended to have an alternate meaning.
  • Referring to FIGS. 1 to 3, the semiconductor device may include a semiconductor pattern structure 126, a gate structure 300, a seed layer 200, a source/drain region 230, and first and second spacers 185 and 220 disposed on or above a substrate 100, e.g., a silicon on insulator substrate. Also, the semiconductor device may further include an active region 105, an isolation pattern 130, and an insulation layer 240.
  • The active region 105 may protrude from the substrate 100 in the third direction, and may extend in the first direction. FIG. 2 describes only two active regions 105 spaced apart from each other in the second direction, however, the inventive concept is not limited thereto, and three or more active regions 105 may be formed to be spaced apart from each other on the substrate 100 in the second direction. The active region 105 may be formed by partially removing an upper portion of the substrate 100. Additionally, the active region 105 may be integrally formed with the substrate 100 to include substantially the same material as the substrate 100.
  • The sidewall surfaces of the active region 105 may be covered by the isolation pattern 130. The isolation pattern 130 may include an oxide, e.g., silicon oxide.
  • The semiconductor pattern structure may include first to third semiconductor patterns 126 a, 126 b, and 126 c, and the first to third semiconductor patterns 126 a, 126 b, and 126 c may be formed at a plurality of levels so as to be spaced apart from each other in the third direction from an upper surface of the active region 105. Each of the first to third semiconductor patterns 126 a, 126 b, and 126 c may serve as a channel of the semiconductor device, and thus may also be referred to as first to third channels. FIGS. 2 and 3 describe that the first to third semiconductor patterns 126 a, 126 b, and 126 c are formed at three levels, however, the inventive concept is not limited thereto.
  • Also, FIG. 3 describes that two semiconductor pattern structures 126 are formed to be spaced apart from each other in the first direction on the active region 105 extending in the first direction, however, the inventive concept is not limited thereto, and three or more semiconductor pattern structures 126 may also be formed to be spaced apart from each other in the first direction.
  • In example embodiments, the substrate 100 may be a silicon-on-insulator (SOI) substrate, or a germanium-on-insulator (GOI) substrate, and each of the first to third semiconductor patterns may be a nano-sheet or a nano-wire including a semiconductor material, e.g., silicon, germanium, etc.
  • The source/drain region 230 may contact sidewalls of the first and second spacers 185 and 220 covering a sidewall of the gate structure 300, and a sidewall of the semiconductor pattern structure 126.
  • In example embodiments, a sidewall of the source/drain region 230 in the first direction may have a concave-convex shape. In example embodiments, a portion (protruding portion) of the sidewall of the source/drain region 230 formed between the gate structures 300 neighboring each other in the first direction may protrude in the first direction compared to a portion (non-protruding portion) of the sidewall of the source/drain region 230 formed between semiconductor pattern structures 126 neighboring each other in the first direction at the same level. For example, the protruding portion may correspond to a portion of source/drain region 230 formed between horizontally adjacent sections of a first gate electrode structure 300 and a second gate electrode structure 300 and the non-protruding portion may correspond to a portion of source/drain region 230 formed between horizontally adjacent channels (e.g., a left channel 126 a and a right channel 126 a). In one embodiment, the source/drain region 230 may have the greatest width in the first direction at a portion (widest portion) formed at a height between the first and second semiconductor patterns 126 a and 126 b.
  • In example embodiments, the source/drain region 230 may include silicon-germanium doped with p-type impurities, and thus may serve as a source/drain layer of a P-type metal-oxide-semiconductor (PMOS) transistor.
  • The gate structure 300 may be formed on the substrate 100 to surround central portions of each of the first to third semiconductor patterns 126 a, 126 b, and 126 c in the first direction. FIG. 2 describes that the gate structure 300 covers only the semiconductor pattern structures 126 each formed on two active regions 105 spaced apart from each other in the second direction, however, the inventive concept is not limited thereto. That is, the gate structure 300 may extend in the second direction on the substrate 100 on which the isolation pattern 130 is formed, and may cover a plurality of semiconductor pattern structures 126 each formed on three or more active regions 105 spaced apart from each other in the second direction, or may also cover only one semiconductor pattern structure 126 formed on one active region 105.
  • Also, FIG. 3 describes that two gate structures 300 are formed to be spaced apart from each other in the first direction on the substrate 100, however, the inventive concept is not limited thereto, and three or more gate structures 300 may also be formed to be spaced apart from each other in the first direction.
  • The gate structure 300 may include an interface pattern 260, a gate insulation pattern 270, a work function control pattern 280, and a gate electrode 290 sequentially stacked from a surface of each of the semiconductor pattern structures 126 or the upper surface of the active region 105.
  • The interface pattern 260 may be formed on the upper surface of the active region 105 and the surface of the semiconductor pattern structure 126, and the gate insulation pattern 270 may be formed on a surface of the interface pattern 260 and inner sidewalls of the first and second spacers 185 and 220. The work function control pattern 280 may be formed on the gate insulation pattern 270, and the gate electrode 290 may fill spaces between the semiconductor pattern structures 126 spaced apart from each other in the third direction and a space defined by the second spacers 220 spaced apart from each other in the first direction.
  • The interface pattern 260 may include an oxide, e.g., silicon oxide, and the gate insulation pattern 270 may include a metal oxide having a high dielectric constant, e.g., hafnium oxide, tantalum oxide, zirconium oxide, etc.
  • The work function control pattern 280 may include, e.g., titanium nitride, titanium oxynitride, titanium oxycarbonitride, titanium silicon oxide, titanium silicon oxynitride, titanium aluminum oxynitride, tantalum nitride, tantalum oxynitride, tantalum aluminum nitride, tantalum aluminum oxynitride, tungsten nitride, tungsten carbonitride, aluminum oxide, etc. The gate electrode 290 may include a metal, e.g., titanium, aluminum, etc., an alloy thereof, or a nitride or a carbide thereof.
  • The gate structure 300 may form a transistor together with the source/drain region 230 serving as the source/drain layer and each of the first to third semiconductor patterns 126 a, 126 b, and 126 c serving as the channels, and the transistor may be a PMOS transistor according to the conductivity type of the impurities doped with the source/drain region 230. The transistor may include a plurality of channels stacked in the third direction, and thus may be a MBCFET.
  • In example embodiments, an upper surface of a portion of the gate structure 300 formed between the active region 105 and the first semiconductor pattern 126 a may have a smaller length in the first direction than a lower surface thereof, an upper surface of a portion of the gate structure 300 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b may have substantially the same length in the first direction as a lower surface thereof, and an upper surface of a portion of the gate structure 300 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c may have a greater length in the first direction than a lower surface thereof. In one embodiment, the length in the first direction of the gate structure 300 may be the smallest at a central portion in the third direction of the gate structure 300 between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b.
  • The gate structure 300 may be electrically insulated from the source/drain region 230 by the first and second spacers 185 and 220.
  • The first spacer 185 may cover both sidewalls in the first direction of an upper portion of the gate structure 300.
  • The second spacer 220 may cover both sidewalls in the first direction of a lower portion of the gate structure 300. In example embodiments, the second spacer 220 may have a concave shape.
  • The first spacer 185 may have a nitride, e.g., silicon nitride, and the second spacer 220 may have a nitride, e.g., silicon nitride, silicon carbonitride, silicon boron nitride, silicon oxycarbonitride, etc.
  • The insulation layer 240 may surround a sidewall of the first spacer 185 to cover the source/drain region 230. The insulation layer 240 may include an oxide, e.g., silicon oxide.
  • The seed layer 200 may be formed on the active region 105 and may include, e.g., silicon-germanium. For example, the seed layer 200 may be formed on a portion of the active region 105 between the gate structures 300 spaced apart from each other in the first direction.
  • In example embodiments, an upper surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100. Alternatively, the upper surface of the seed layer 200 may have a flat shape or a concave shape as a whole. As used herein, the term “flat” shall have its plain and ordinary meaning which shall be understood by context unless clearly indicated otherwise. For example, when stating that the seed layer 200 is “flat” an exemplary meaning may be smooth and even; or without marked lumps or indentations while also taking into account slight variations due to manufacturing tolerances.
  • In example embodiments, similar to the upper surface of the seed layer 200, a lower surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100. Alternatively, a lower surface of the seed layer 200 may also have a downwardly convex shape.
  • The semiconductor device may further include contact plugs, wirings, etc., (not illustrated) which may be electrically connected to the source/drain region 230 and/or the gate structure 300.
  • As described above, the semiconductor device may include the seed layer 200 between the active region 105 and the source/drain region 230, the seed layer 200 may be used as a seed for a SEG process for forming the source/drain region 230, so that the source/drain region 230 may have improved characteristics. This will be described later.
  • FIGS. 4 to 17 are plan views and cross-sectional views illustrating stages of a method of manufacturing a semiconductor device in accordance with example embodiments.
  • Specifically, FIGS. 4, 7, 10, and 12 are the plan views, FIGS. 5, 6, 8, 9, 11, and 13-17 are the cross-sectional views. FIGS. 5, 6, and 8 are cross-sectional views taken along lines A-A′ of corresponding plan views, respectively; FIGS. 9, 11, and 13-17 are cross-sectional views taken along lines B-B′ of corresponding plan views, respectively.
  • Referring to FIGS. 4 and 5, a sacrificial layer 110 and a semiconductor layer 120 may be alternately and repeatedly stacked on a substrate 100.
  • FIG. 5 describes that the sacrificial layers 110 and the semiconductor layers 120 are formed at each of three levels, however, the inventive concept is not limited thereto.
  • The substrate 100 may be a silicon-on-insulator (SOI) substrate, or a germanium-on-insulator (GOI) substrate. The term, “semiconductor on insulator” may be used herein to refer to both a silicon-on-insulator substrate, and a germanium-on-insulator substrate.
  • The semiconductor layer 120 may be a nano-sheet or a nano-wire including a semiconductor material, e.g., silicon, germanium, etc., and the sacrificial layer 110 may include a material having an etching selectivity with respect to the substrate 100 and the semiconductor layer 120, e.g., silicon-germanium.
  • Hereinafter, only the case that the substrate 100 is a SOI substrate, the semiconductor layer 120 is a nano-sheet or a nano-wire including silicon, and the sacrificial layer 110 includes silicon-germanium will be described, however, the inventive concept is not limited thereto. That is, the substrate 100 may be a GOI substrate, the semiconductor layer 120 may be a nano-sheet or a nano-wire including germanium, and the sacrificial layer 110 may include a silicon-germanium.
  • Referring to FIG. 6, a hard mask (not shown) may be formed to extend in the first direction on an uppermost semiconductor layer 120, and the semiconductor layers 120, the sacrificial layers 110, and an upper portion of the substrate 100 may be etched using the hard mask as an etching mask.
  • Accordingly, an active region 105 may be formed to extend in the first direction on the substrate 100, and a fin structure including sacrificial lines 112 and semiconductor lines 122 alternately and repeatedly stacked on the active region 105 may be formed. In example embodiments, a plurality of fin structures may be formed to be spaced apart from each other in the second direction on the substrate 100.
  • After removing the hard mask, an isolation pattern 130 may be formed to cover a sidewall of the active region 105 on the substrate 100.
  • Referring to FIGS. 7 to 9, a dummy gate structure 175 may be formed to partially cover the fin structure and the isolation pattern 130 on the substrate 100.
  • For example, a dummy gate insulation layer, a dummy gate electrode layer, and a dummy gate mask layer may be sequentially formed on the substrate 100 on which the fin structure and the isolation pattern 130 may be formed, a photoresist pattern (not shown) may be formed on the dummy gate mask layer to extend in the second direction, and the dummy gate mask layer may be etched using the photoresist pattern as an etching mask to form a dummy gate mask 165 on the substrate 100.
  • The dummy gate insulation layer may include an oxide, e.g., silicon oxide, the dummy gate electrode layer may include, e.g., polysilicon, and the dummy gate mask layer may include a nitride, e.g., silicon nitride.
  • The dummy gate electrode layer and the dummy gate insulation layer may be etched using the dummy gate mask 165 as an etching mask to form a dummy gate electrode 155 and a dummy gate insulation pattern 145, respectively, on the substrate 100.
  • The dummy gate insulation pattern 145, the dummy gate electrode 155, and the dummy gate mask 165 sequentially stacked on the active region 105 and a portion of the isolation pattern 130 adjacent thereto may form a dummy gate structure 175. In example embodiments, the dummy gate structure 175 may extend in the second direction on the fin structure and the isolation pattern 130, and may cover an upper surface and both sidewalls in the second direction of the fin structure.
  • Referring to FIGS. 10 and 11, a first spacer 185 may be formed on a sidewall of the dummy gate structure 175, and the fin structure may be etched using the dummy gate structure 175 and the first spacer 185 as an etching mask to expose the upper surface of the active region 105 on the substrate 100.
  • For example, after forming a first spacer layer on the substrate 100 on which the fin structure, the isolation pattern 130, and the dummy gate structure 175 may be formed, the first spacer layer may be anisotropically etched to form the first spacer 185 covering both sidewalls in the first direction of each of the dummy gate structure 175.
  • The sacrificial lines 112 and the semiconductor lines 122 under the dummy gate structure 175 and the first spacer 185 may be converted into preliminary sacrificial patterns 114 and preliminary semiconductor patterns 124, respectively, and the fin structure extending in the second direction may be separated into a plurality of parts to be spaced apart from each other along the first direction.
  • Each of the dummy gate structures 175, the first spacer 185 on both sidewalls of each of the dummy gate structures 175, and the fin structure under the dummy gate structure 175 and the first spacer 185 may extend in the second direction, and may be formed in a plural number to be spaced apart from each other along the first direction. A first opening 190 may be formed to expose the active region 105 and the isolation pattern 130 between adjacent dummy gate structures 175, the first spacers 185 on both sidewalls of each dummy gate structure 175, and the fin structures thereunder.
  • In example embodiments, the upper surface of the active region 105 exposed by the first opening 190 may be lower than a lower surface of the fin structure.
  • Referring to FIGS. 12 and 13, a cleaning process and a baking process may be performed on the fin structures exposed by the first opening 190.
  • Accordingly, sidewalls of each of the preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 exposed by the first opening 190 may be partially melted by heat to migrate downwardly, each migrated portion of the sidewall of the preliminary sacrificial pattern 114 and migrated portion of the sidewall of the preliminary semiconductor pattern 124 may be merged on the exposed upper surface of the active region 105 to form a seed layer 200, and the seed layer 200 may partially fill a lower portion of the first opening 190.
  • By the cleaning process and the baking process, the preliminary sacrificial patterns 114 may be converted into sacrificial patterns 116, and the preliminary semiconductor patterns 124 may form a semiconductor pattern structure 126, and the semiconductor pattern structure 126 may include first to third semiconductor patterns 126 a, 126 b, and 126 c sequentially stacked.
  • In one example embodiment, an upper surface of the seed layer 200 may be lower than a lower surface of the sacrificial pattern 116 formed between the active region 105 and the semiconductor pattern structure 126.
  • Since the seed layer 200 is formed by melting the preliminary sacrificial pattern 114 to migrate downwardly, the seed layer 200 may include substantially the same material as the sacrificial pattern 116, e.g., silicon-germanium. However, since the seed layer 200 is formed by melting not only the preliminary sacrificial pattern 114, but also the preliminary semiconductor pattern 124 to migrate downwardly, the seed layer 200 may further include substantially the same material as the semiconductor pattern structure 126, e.g., silicon. Accordingly, the seed layer 200 may include silicon-germanium having a lower germanium concentration than the preliminary sacrificial pattern 114.
  • The seed layer 200 may be used as a seed for a selective epitaxial growth (SEG) process to be subsequently performed.
  • In example embodiments, an upper surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100. Alternatively, the upper surface of the seed layer 200 may also have a flat shape or a concave shape as a whole.
  • A portion of the sacrificial pattern 116 between the active region 105 and the first semiconductor pattern 126 a, and the upper surface of the seed layer 200 may be connected to each other, however, the inventive concept is not limited thereto, and they may not be connected to each other.
  • In example embodiments, similar to the upper surface of the seed layer 200, a lower surface of the seed layer 200 may include an edge portion that is not flat with respect to the upper surface of the substrate 100 and a center portion that is flat on the upper surface of the substrate 100. Alternatively, a lower surface of the seed layer 200 may also have a downwardly convex shape.
  • The preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 may migrate downwardly by melting different amounts by the cleaning process and the baking process.
  • That is, the preliminary semiconductor pattern 124 may be a nano-sheet or a nano-wire including silicon, the preliminary sacrificial pattern 114 may include silicon-germanium, and each silicon and silicon-germanium may have different melting points. Thus, each of the preliminary sacrificial patterns 114 and the preliminary semiconductor patterns 124 may be melted by different amounts by the cleaning process and the baking process.
  • In example embodiments, as the cleaning process and the baking process are performed, the first opening 190 may partially extend in the first direction, and sidewalls of the first opening 190 opposite to each of the sacrificial patterns 116 may have a concave-convex shape as a whole. A portion of the sidewall of the first opening 190 formed between neighboring semiconductor pattern structures 126 adjacent to each other in the first direction may protrude compared to a portion of the sidewall of the first opening 190 formed between neighboring sacrificial patterns 116 adjacent to each other in the first direction.
  • As the cleaning process and the baking process are performed, a central portion in the third direction of the first opening 190 may be more extended in the first direction than a lower portion or an upper portion thereof. Thus, the first opening 190 may have first to third widths W1, W2, and W3 in the first direction according to the heights thereof.
  • The first width W1 may be a width in the first direction between neighboring sacrificial patterns 116 between the active region 105 and the first semiconductor pattern 126 a, the second width W2 may be a width in the first direction between neighboring sacrificial patterns 116 between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b, and the third width W3 may be a width in the first direction between neighboring sacrificial patterns 116 between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c.
  • In example embodiments, the second width W2 may be greater than the first width W1 and/or the third width W3, however, the first and third widths W1 and W3 may be substantially the same as one another. Alternatively, the second width W2 may be greater than the first width W1 and/or the third width W3, and however, the first and third widths W1 and W3 may be different to each other. In this case, the first width W1 may be greater than the third width W3, but the third width W3 may also be greater than the first width W1.
  • After the cleaning process and the baking process, lengths in the first direction of each of the first to third semiconductor patterns 126 a, 126 b, and 126 c of the semiconductor pattern structure 126 may vary along the third direction.
  • In example embodiments, an upper surface of the first semiconductor pattern 126 a may have a length in the first direction smaller than a lower surface thereof, an upper surface of the second semiconductor pattern 126 b may have the same length in the first direction as a lower surface thereof, and an upper surface of the third semiconductor pattern 126 c may have a length in the first direction greater than a lower surface thereof.
  • The cleaning process may include an ashing process and/or a stripping process, and the baking process may include a heat treatment process, and the cleaning process and the baking process may be performed in-situ.
  • Referring to FIG. 14, both sidewalls in the first direction of the sacrificial patterns 116 exposed by the first opening 190 may be etched to form first recesses 210, and thus the first to third widths W1, W2, and W3 of the first opening 190 may extend to fourth to sixth widths W4, W5, and W6.
  • In example embodiments, the fifth width W5 may be greater than the fourth width W4 and/or the sixth width W6, however, the fourth and sixth widths may be the same as each other.
  • The first recesses 210 may be formed by performing a wet etching process on the sacrificial patterns 116. Accordingly, each of the first recesses 210 may have a concave shape.
  • That is, sacrificial pattern 116 formed between the active region 105 and the first semiconductor pattern 126 a among the sacrificial patterns 116 on which the first recesses 210 are formed may have a width in the first direction gradually decreasing from top to bottom, sacrificial pattern 116 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b among the sacrificial patterns 116 may have a width in the first direction that increases from top to center and then decreases from center to bottom, and sacrificial pattern 116 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c among the sacrificial patterns 116 may have a width in the first direction gradually increasing from top to bottom.
  • In one embodiment, as the seed layer 200 includes silicon-germanium, the upper surface of the seed layer 200 may be partially removed when the wet etching process is performed.
  • Referring to FIG. 15, a second spacer 220 may be formed to fill each of the first recesses 210.
  • The second spacer 220 may be formed by forming a second spacer layer to fill the first recesses 210 on the dummy gate structure 175, the first spacer 185, the fin structure, the active region 105 of the substrate 100, and the isolation pattern 130, and anisotropically etching the second spacer layer. The second spacer layer may be formed by a deposition process, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, etc.
  • In example embodiments, similar to the shape of each of the first recesses 210, the second spacer 220 may also have a concave shape as a whole.
  • In example embodiments, as the second spacer 220 is formed, the fourth to sixth widths W4, W5, and W6 of the first opening 190 may be reduced to seventh to ninth widths W7, W8, and W9, respectively. The eighth width W8 may be greater than the seventh width W7 and/or the ninth width W9, however, the seventh and ninth widths W7 and W9 may be substantially the same as each other.
  • Referring to FIG. 16, a source/drain region 230 may be formed on the upper surface of the seed layer 200 exposed by the first opening 190.
  • The source/drain region 230 may be formed by performing a SEG process using the upper surface of the seed layer 200 exposed by the first opening 190 as a seed.
  • The source/drain region 230 may contact sidewalls of the semiconductor pattern structures 126, and outer sidewalls of the second spacer 220 covering sidewalls of the sacrificial patterns 116. The source/drain region 230 may further grow in the third direction to contact sidewalls of the first spacer 185.
  • In example embodiments, a sidewall in the first direction of the source/drain region 230, that is, a sidewall opposite to each of the sacrificial patterns 116 may have a concave-convex shape (e.g., a vertical sidewall that has a concave-convex shape with respect to the horizontal direction that is undulating). A portion (protruding portion) of the sidewall of the source/drain region 230 that is formed between the gate structures 300 neighboring each other in the first direction (e.g., being horizontally adjacent) may protrude in the first direction compared to a portion (non-protruding portion) of the sidewall of the source/drain region 230 formed between corresponding semiconductor patterns 126 a, 126 b, and/or 126 c of semiconductor pattern structures 126 neighboring each other in the first direction (e.g., a first semiconductor pattern 126 a and a different semiconductor pattern 126 a that are horizontally adjacent to one another on opposite sides of recess 210 and thus may be considered “corresponding”). In one embodiment, the source/drain region 230 may have the greatest width in the first direction at a portion (wide portion) formed at a height between the first and second semiconductor patterns 126 a and 126 b.
  • The SEG process may be performed using, e.g., a silicon source gas such as dichlorosilane (SiH2Cl2) gas, a germanium source gas such as germanium tetrahydride (GeH4) gas, and a p-type impurity source gas together, and thus a single crystal silicon-germanium (SiGe) layer doped with p-type impurities may be formed. The source/drain region 230 may serve as a source/drain layer of a PMOS transistor.
  • Referring to FIG. 17, after forming an insulation layer 240 to cover the dummy gate structure 175, the first spacer 185, and the source/drain region 230 on the substrate 100, the insulation layer 240 may be planarized until an upper surface of the dummy gate electrode 155 may be exposed. The dummy gate mask 165 may also be removed, and an upper portion of the first spacer 185 may also be partially removed.
  • The planarization process may be performed by a chemical mechanical polishing (CMP) process and/or an etch back process.
  • The exposed dummy gate electrode 155, the dummy gate insulation pattern 145 thereunder, and the sacrificial patterns 116 may be removed by, e.g., a wet etching process and/or a dry etching process, and a second opening 250 may be formed to expose an inner sidewall of the first spacer 185, an inner sidewall of the second spacer 220, a surface of the semiconductor pattern structure 126, and the upper surface of the active region 105.
  • Referring to FIGS. 1 to 3 again, a gate structure 300 may be formed to fill the second opening 250 on the substrate 100.
  • For example, after performing a thermal oxidation process on the upper surface of the active region 105 and the surface of the semiconductor pattern structure 126 exposed by the second opening 250 to form an interface pattern 260, a gate insulation layer and a work function control layer may be conformally formed on a surface of the interface pattern 260, the inner sidewalls of the first and second spacers 185 and 220, and an upper surface of the insulation layer 240, and a gate electrode layer may be formed to sufficiently fill a remaining portion of the second opening 250.
  • The gate insulation layer, the work function control layer, and the gate electrode layer may be formed by, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, etc. The interface pattern 260 may also be formed by a CVD process, an ALD process, etc., instead of the thermal oxidation process, in this case, the interface pattern 260 may also be formed on the inner sidewalls of the first and second spacers 182, 220.
  • The gate electrode layer, the work function control layer, and the gate insulation layer may be planarized until the upper surface of the insulation layer 240 may be exposed to form a gate electrode 290, a work function control pattern 280, and a gate insulation pattern 270, respectively. The interface pattern 260, the gate insulation pattern 270, the work function control pattern 280, and the gate electrode 290 may form a gate structure 300.
  • In example embodiments, an upper surface of a portion of the gate structure 300 formed between the active region 105 and the first semiconductor pattern 126 a may have a smaller length in the first direction than a lower surface thereof, an upper surface of a portion of the gate structure 300 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b may have substantially the same length in the first direction as a lower surface thereof, and an upper surface of a portion of the gate structure 300 formed between the second semiconductor pattern 126 b and the third semiconductor pattern 126 c may have a greater length in the first direction than a lower surface thereof. In one embodiment, the length in the first direction of the gate structure 300 may be the smallest at a central portion in the third direction of the gate structure 300 between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b.
  • The fabrication of the semiconductor device may be completed by the processes described above.
  • FIGS. 18 to 20 are cross-sectional views illustrating semiconductor devices in accordance with example embodiments. FIGS. 18 to 20 are cross-sectional views each taken along a line B-B′ of FIG. 1.
  • The semiconductor devices are substantially the same as or similar to the semiconductor device described in FIGS. 1 to 3, except for shapes of the seed layer and the second spacer. Thus, like reference numerals refer to like elements, and detailed descriptions thereof are omitted herein.
  • Referring to FIG. 18, an upper surface and a lower surface of the seed layer 200 may have a concave shape as a whole.
  • Thus, a lower surface of the source/drain region 230 may also have a downwardly convex shape, corresponding to the shape of the upper surface of the seed layer 200.
  • Referring to FIG. 19, the second spacer 220 may have an inner sidewall and an outer sidewall each vertical to the upper surface of the substrate 100. For example, each of the second spacers 220 may have an inner sidewall and an outer sidewall on opposite sides of a respective gate structure 300 with each sidewall being vertical with respect to the upper surface of the substrate 100.
  • Accordingly, a sidewall of the gate structure 300 contacting the inner sidewall of the second spacer 220 and a sidewall of the gate structure 300 contacting the outer sidewall of the second spacer 220 may also be vertical to the upper surface of the substrate 100. For example, a sidewall of the respective gate structure 300 contacting the inner sidewall of the corresponding second spacer 220 and a sidewall of the respective gate structure 300 contacting the outer sidewall of the corresponding second spacer 220 may also be vertical with respect to the upper surface of the substrate 100.
  • Referring to FIG. 20, an inner sidewall of the second spacer 220 may have a concave shape toward a central portion in the third direction of the second spacer 220 formed between the first semiconductor pattern 126 a and the second semiconductor pattern 126 b, and an outer sidewall of the second spacer 220 may also have a shape similar to the inner sidewall of the second spacer, however, the outer sidewall of the second spacer 220 may have a shape in which a central portion in the third direction may be concave toward each of the gate structures 300.
  • Accordingly, a sidewall of the gate structure 300 contacting the inner sidewall of the second spacer 220 and a sidewall of the gate structure 300 contacting the outer sidewall of the second spacer 220 may also have shapes similar to the shapes of the inner sidewall and the outer sidewall, respectively, of the second spacer 220.
  • As described above, although the present invention has been described with reference to example embodiments, those skilled in the art will readily appreciate that many modifications are possible in the example embodiments without materially departing from the novel teachings and advantages of the present inventive concept.

Claims (21)

1-20. (canceled)
21. A method of manufacturing a semiconductor device, the method comprising:
forming a fin structure including sacrificial lines and semiconductor lines alternately and repeatedly stacked on a substrate in a vertical direction perpendicular to an upper surface of the substrate;
forming a dummy gate structure on the substrate to partially cover the fin structure;
partially etching the fin structure using the dummy gate structure as an etching mask to form a first opening exposing the upper surface of the substrate, the upper surface of the substrate exposed by the first opening being lower than a lower surface of the fin structure;
performing a cleaning process and a baking process on the fin structure so that portions of the sacrificial lines and the semiconductor lines are melted to migrate downwardly to form a seed layer in a lower portion of the first opening;
performing a selective epitaxial growth (SEG) process using the seed layer to form a source/drain layer on the substrate; and
replacing the dummy gate structure with a gate structure.
22. The method of claim 21, wherein the cleaning process includes an ashing process and/or a stripping process.
23. The method of claim 21, wherein the baking process includes a heat treatment process.
24. The method of claim 21, wherein each of the sacrificial lines includes silicon-germanium (Si—Ge), each of the semiconductor lines includes silicon, and the seed layer includes silicon-germanium (Si—Ge).
25. The method of claim 24, wherein a concentration of silicon-germanium (Si—Ge) of the seed layer is lower than a concentration of silicon-germanium (Si—Ge) of the sacrificial lines.
26. The method of claim 21, further comprising forming gate spacers on opposite sidewalls of the dummy gate structure,
wherein partially etching the fin structure using the dummy gate structure as the etching mask includes partially etching the fin structure using the dummy gate structure and the gate spacers as the etching mask.
27. The method of claim 21, wherein:
partially etching the fin structure includes transforming the sacrificial lines and the semiconductor lines into preliminary sacrificial patterns and preliminary semiconductor patterns, respectively,
performing the cleaning process and the baking process on the fin structure includes melting portions of the preliminary sacrificial patterns and the preliminary semiconductor patterns so as to migrate downwardly to form the seed layer, the preliminary sacrificial patterns and the preliminary semiconductor patterns being transformed into sacrificial patterns and semiconductor patterns, respectively, and
the method further comprises:
removing opposite sidewalls of the sacrificial patterns to form recesses; and
forming inner spacers in the recesses, respectively.
28. The method of claim 27, wherein replacing the dummy gate structure with the gate structure includes:
forming an insulating interlayer on the substrate to cover sidewalls of the dummy gate structure and an upper surface of the source/drain layer;
removing the dummy gate structure to form a second opening, the second opening exposing the sacrificial patterns and the semiconductor patterns;
removing the sacrificial patterns exposed by the second opening to enlarge the second opening; and
forming the gate structure in the enlarged second opening.
29. A method of manufacturing a semiconductor device, the method comprising:
forming a fin structure including sacrificial lines and semiconductor lines alternately and repeatedly stacked on a substrate in a vertical direction perpendicular to an upper surface of the substrate;
forming a dummy gate structure on the substrate to partially cover the fin structure;
partially etching the fin structure using the dummy gate structure as an etching mask so that the sacrificial lines and the semiconductor lines are transformed into preliminary sacrificial patterns and preliminary semiconductor patterns, respectively, to form a first opening exposing the upper surface of the substrate, the upper surface of the substrate exposed by the first opening being lower than a lower surface of the fin structure;
performing a cleaning process and a baking process on the fin structure so that the preliminary sacrificial patterns and the preliminary semiconductor patterns are transformed into sacrificial patterns and semiconductor patterns, respectively, to form a seed layer in a lower portion of the first opening, the seed layer not contacting the sacrificial patterns;
performing a selective epitaxial growth (SEG) process using the seed layer to form a source/drain layer on the substrate; and
replacing the dummy gate structure with a gate structure.
30. The method of claim 29, wherein a central portion of an upper surface of the seed layer is lower than the lower surface of the fin structure.
31. The method of claim 30, wherein an edge portion of the upper surface of the seed layer is higher than the central portion of the upper surface of the seed layer.
32. The method of claim 29, wherein the cleaning process includes an ashing process and/or a stripping process.
33. The method of claim 29, wherein the baking process includes a heat treatment process.
34. The method of claim 33, wherein portions of the preliminary sacrificial patterns and the preliminary semiconductor patterns are melted by the heat treatment process to migrate downwardly to form the seed layer.
35. The method of claim 34, wherein:
each of the preliminary sacrificial patterns includes silicon-germanium (Si—Ge), each of the preliminary semiconductor patterns includes silicon, and the seed layer includes silicon-germanium (Si—Ge), and
a concentration of silicon-germanium (Si—Ge) of the seed layer is lower than a concentration of silicon-germanium (Si—Ge) of the preliminary sacrificial patterns.
36. The method of claim 29, wherein replacing the dummy gate structure with the gate structure includes:
forming an insulating interlayer on the substrate to cover sidewalls of the dummy gate structure and an upper surface of the source/drain layer;
removing the dummy gate structure to form a second opening, the second opening exposing the sacrificial patterns and the semiconductor patterns;
removing the sacrificial patterns exposed by the second opening to enlarge the second opening; and
forming the gate structure in the enlarged second opening.
37. A method of manufacturing a semiconductor device, the method comprising:
forming a fin structure including sacrificial lines and semiconductor lines alternately and repeatedly stacked on a substrate in a vertical direction perpendicular to an upper surface of the substrate;
forming a dummy gate structure on the substrate to partially cover the fin structure;
partially etching the fin structure using the dummy gate structure as an etching mask so that the sacrificial lines and the semiconductor lines are transformed into preliminary sacrificial patterns and preliminary semiconductor patterns, respectively, to form an opening exposing the upper surface of the substrate, the upper surface of the substrate exposed by the opening being lower than a lower surface of the fin structure;
performing a cleaning process and a baking process on the fin structure so that the preliminary sacrificial patterns and the preliminary semiconductor patterns are transformed into sacrificial patterns and channels, respectively, to form a seed layer in a lower portion of the opening;
performing a selective epitaxial growth (SEG) process using the seed layer to form a source/drain layer on the substrate; and
replacing the dummy gate structure with a gate structure,
wherein the channels include first, second and third channels at lowermost, middle and uppermost levels, respectively, and
wherein an upper surface of the third channel has a length in a horizontal direction greater than a length of a lower surface of the third channel in the horizontal direction, the horizontal direction being parallel to the upper surface of the substrate.
38. The method of claim 37, wherein the cleaning process includes an ashing process and/or a stripping process.
39. The method of claim 37, wherein the baking process includes a heat treatment process.
40. The method of claim 37, wherein each of the sacrificial patterns includes silicon-germanium (Si—Ge), each of the channels includes silicon, and the seed layer includes silicon-germanium (Si—Ge).
US17/862,909 2019-05-30 2022-07-12 Semiconductor devices Pending US20220352388A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/862,909 US20220352388A1 (en) 2019-05-30 2022-07-12 Semiconductor devices

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2019-0063727 2019-05-30
KR1020190063727A KR20200137405A (en) 2019-05-30 2019-05-30 Semiconductor devices
US16/715,431 US11417776B2 (en) 2019-05-30 2019-12-16 Semiconductor devices
US17/862,909 US20220352388A1 (en) 2019-05-30 2022-07-12 Semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/715,431 Continuation US11417776B2 (en) 2019-05-30 2019-12-16 Semiconductor devices

Publications (1)

Publication Number Publication Date
US20220352388A1 true US20220352388A1 (en) 2022-11-03

Family

ID=73550454

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/715,431 Active 2039-12-22 US11417776B2 (en) 2019-05-30 2019-12-16 Semiconductor devices
US17/862,909 Pending US20220352388A1 (en) 2019-05-30 2022-07-12 Semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/715,431 Active 2039-12-22 US11417776B2 (en) 2019-05-30 2019-12-16 Semiconductor devices

Country Status (2)

Country Link
US (2) US11417776B2 (en)
KR (1) KR20200137405A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417764B2 (en) * 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120264267A1 (en) * 2011-04-12 2012-10-18 Tsuo-Wen Lu Method for fabricating mos transistor
US20180315831A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet device and method of forming same
US20200286992A1 (en) * 2019-03-04 2020-09-10 International Business Machines Corporation Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
US20210375685A1 (en) * 2020-05-27 2021-12-02 International Business Machines Corporation Transistor having source or drain formation assistance regions with improved bottom isolation

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399879B2 (en) * 2008-06-09 2013-03-19 National Institute Of Advanced Industrial Science And Technology Nano-wire field effect transistor, method for manufacturing the transistor, and integrated circuit including the transistor
US9105741B2 (en) * 2012-09-13 2015-08-11 International Business Machines Corporation Method of replacement source/drain for 3D CMOS transistors
US9184269B2 (en) 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9741810B2 (en) 2014-07-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel of gate-all-around transistor
US9620607B2 (en) 2014-12-04 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device structure and Fin field effect transistor (FinFET) device structure
US9601569B1 (en) 2015-12-07 2017-03-21 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure
US9570550B1 (en) * 2016-01-05 2017-02-14 International Business Machines Corporation Stacked nanowire semiconductor device
KR102343470B1 (en) * 2016-01-28 2021-12-24 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102413782B1 (en) * 2016-03-02 2022-06-28 삼성전자주식회사 Semiconductor devices
KR102527382B1 (en) * 2016-06-21 2023-04-28 삼성전자주식회사 Semiconductor devices
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
FR3060840B1 (en) * 2016-12-15 2019-05-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR MAKING A SEMICONDUCTOR DEVICE HAVING SELF-ALIGNED INTERNAL SPACERS
KR102400558B1 (en) 2017-04-05 2022-05-20 삼성전자주식회사 semiconductor device
US10008583B1 (en) 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US10651291B2 (en) 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11342411B2 (en) * 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120264267A1 (en) * 2011-04-12 2012-10-18 Tsuo-Wen Lu Method for fabricating mos transistor
US20180315831A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet device and method of forming same
US20200286992A1 (en) * 2019-03-04 2020-09-10 International Business Machines Corporation Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
US20210375685A1 (en) * 2020-05-27 2021-12-02 International Business Machines Corporation Transistor having source or drain formation assistance regions with improved bottom isolation

Also Published As

Publication number Publication date
KR20200137405A (en) 2020-12-09
US11417776B2 (en) 2022-08-16
US20200381562A1 (en) 2020-12-03

Similar Documents

Publication Publication Date Title
US11923456B2 (en) Semiconductor devices
US11670680B2 (en) Semiconductor devices
US11784260B2 (en) Semiconductor devices
US11735629B2 (en) Semiconductor devices and methods of manufacturing the same
CN110660802A (en) Semiconductor device with a plurality of transistors
US11171136B2 (en) Semiconductor devices
KR102328564B1 (en) Semiconductor devices and methods of manufacturing the same
US11038018B2 (en) Semiconductor devices
US20220352388A1 (en) Semiconductor devices
US10790282B2 (en) Semiconductor devices
KR20220170009A (en) Semiconductor structures
TW202040697A (en) A method for forming a semiconductor device
US20240154017A1 (en) Semiconductor devices
US20230006052A1 (en) Semiconductor devices including source/drain layers and methods of manufacturing the same
US20230402377A1 (en) Semiconductor devices
US20240006485A1 (en) Semiconductor devices having different impurity regions in active pattern
TW202308104A (en) Semiconductor devices
TW202410395A (en) Semiconductor devices
CN117995889A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS