US20200035612A1 - Semiconductor structure and manufacturing method thereof - Google Patents

Semiconductor structure and manufacturing method thereof Download PDF

Info

Publication number
US20200035612A1
US20200035612A1 US16/254,007 US201916254007A US2020035612A1 US 20200035612 A1 US20200035612 A1 US 20200035612A1 US 201916254007 A US201916254007 A US 201916254007A US 2020035612 A1 US2020035612 A1 US 2020035612A1
Authority
US
United States
Prior art keywords
conductive member
dielectric layer
protective layer
layer
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/254,007
Inventor
Pokuan Ho
Chia-Tien Wu
Cheng-Chi Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/254,007 priority Critical patent/US20200035612A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUANG, CHENG-CHI, HO, POKUAN, WU, CHIA-TIEN
Publication of US20200035612A1 publication Critical patent/US20200035612A1/en
Priority to US18/059,439 priority patent/US20230088037A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Definitions

  • the manufacturing operations of the semiconductor device involve many steps and operations on such a small and thin semiconductor device.
  • the manufacturing of the semiconductor device in a miniaturized scale becomes more complicated.
  • An increase in a complexity of manufacturing the semiconductor device may cause deficiencies such as poor electrical interconnection, misalignment of components or other issues, resulting in a high yield loss of the semiconductor device.
  • the semiconductor device is produced in an undesired configuration, which would further exacerbate materials wastage and thus increase the manufacturing cost.
  • FIG. 1 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram of a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6-19 are schematic views of manufacturing a semiconductor structure by a method of FIG. 5 in accordance with some embodiments of the present disclosure.
  • FIG. 20 is a flow diagram of a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6-17 and 21-23 are schematic views of manufacturing a semiconductor structure by a method of FIG. 20 in accordance with some embodiments of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the interconnect structure includes several conductive vias extended through one or more layers of the semiconductor structure and connected with conductive lines extended over one of layers of the semiconductor structure.
  • the conductive vias extended through different layers and connects the conductive lines at different layers of the semiconductor structure.
  • an overall size of the semiconductor structure becomes smaller and smaller.
  • an overlay issue may occur between two conductive vias disposed over each other.
  • the conductive vias may not be disposed accurately and thus may not vertically align with each other.
  • a space between neighboring conductive vias also becomes smaller and smaller. Therefore, the neighboring conductive vias at the same layer may be easily bridged by the conductive via disposed at the above layer, which leads to failure of electrical connection. As a result, reliability of the semiconductor structure would be adversely affected.
  • a semiconductor structure in the present disclosure, includes a first conductive member surrounded by a first dielectric layer, and a second conductive member disposed over the first conductive member and surrounded by a second dielectric layer and a third dielectric layer.
  • the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion. The first portion is extended through and surrounded by the second dielectric layer, and the second portion is surrounded by the third dielectric layer.
  • a contour of the second conductive member with a step is formed by the first portion and the second portion of the second conductive member.
  • a width of the first portion is substantially less than a width of the second portion.
  • the second conductive member with the step contour can increase a clearance between the second conductive member and a conductive member neigbouring with the first conductive member. Therefore, undesirable contact with the conductive member neigbouring with the first conductive member can be prevented.
  • a method of manufacturing a semiconductor structure includes providing a first dielectric layer and a first conductive member surrounded by the first dielectric layer; disposing a first protective layer over the first dielectric layer and the first conductive member; disposing a second dielectric layer over the first protective layer; disposing a second protective layer over the second dielectric layer; disposing a third dielectric layer over the second protective layer; removing a portion of the second dielectric layer; removing a portion of the third dielectric layer; and disposing a conductive material to form a second conductive member.
  • the second conductive member includes a first portion surrounded by the second dielectric layer and a second portion surrounded by the third dielectric layer.
  • a width of the first portion is substantially less than a width of the second portion.
  • a step contour of the second dielectric layer and the third dielectric layer is formed by the removal of the portion of the second dielectric layer and the portion of the third dielectric layer.
  • a clearance between the second conductive member and a conductive member neigbouring with the first conductive member can be increased.
  • FIG. 1 is a schematic cross sectional view of a semiconductor structure 100 in accordance with various embodiments of the present disclosure.
  • the semiconductor structure 100 includes a first dielectric layer 101 , a first conductive member 102 , a first protective layer 103 , a second dielectric layer 104 , a second protective layer 105 , a third dielectric layer 106 and a second conductive member 107 .
  • the semiconductor structure 100 is a part of a wafer, a die or a package.
  • the semiconductor structure 100 is configured to connect with another semiconductor structure such as a die, a package, a printed circuit board (PCB) or the like.
  • PCB printed circuit board
  • the semiconductor structure 100 includes a substrate under the first dielectric layer 101 and the first conductive member 101 .
  • the substrate includes semiconductive materials such as silicon or other suitable materials.
  • the substrate is a silicon substrate or silicon wafer.
  • the first dielectric layer 101 surrounds the first conductive member 102 .
  • the first dielectric layer 101 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • the first conductive member 102 is extended through and surrounded by the first dielectric layer 101 .
  • the first conductive member 102 includes a first surface 102 a and a second surface 102 b opposite to the first surface 102 a.
  • the first conductive member 102 is extended between the first surface 102 a and the second surface 102 b.
  • the first conductive member 102 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru), molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • the second surface 102 b of the first conductive member 102 is exposed. In some embodiments, the second surface 102 b is configured to receive a conductive line or a conductive structure to connect a circuitry of the semiconductor structure 100 with a circuitry of another semiconductor structure. In some embodiments, a height of the first conductive member 102 is substantially the same as a thickness of the first dielectric layer 101 . In some embodiments, the height of the first conductive member 102 and the thickness of the first dielectric layer 101 are respectively about 50 ⁇ to about 500 ⁇ .
  • a first barrier layer 102 c surrounds the first conductive member 102 .
  • the first barrier layer 102 c is a diffusion barrier layer.
  • the first barrier layer 102 c is configured to prevent diffusion from the first conductive member 102 to the first dielectric layer 101 and provide adhesion between the first conductive member 102 and the first dielectric layer 101 .
  • the first barrier layer 102 c is disposed between the first conductive member 102 and the first dielectric layer 101 .
  • the first barrier layer 102 c includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • a capping layer 101 d is disposed over the first conductive member 102 . In some embodiments, the capping layer 101 d is disposed over the first surface 102 a of the first conductive member 102 . In some embodiments, the capping layer 101 d is surrounded by the first dielectric layer 101 . In some embodiments, the capping layer 101 d is disposed over the first barrier layer 102 c. In some embodiments, the capping layer 102 d includes cobalt (Co), tantalum (Ta), tungsten (W), platinum (Pt) or the like.
  • the first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102 . In some embodiments, the first protective layer 103 is in contact with the first dielectric layer 101 . In some embodiments, the first protective layer 103 is disposed over and in contact with the capping layer 102 d. In some embodiments, the first protective layer 103 is an etch stop layer configured to stop etching operations. In some embodiments, the first protective layer 103 includes nitride. In some embodiments, the first protective layer 103 includes dielectric material such as silicon nitride (SiN), silicon oxide (SiO), aluminum oxynitride (AlON), silicon carbon nitride (SiCN) or the like.
  • the second dielectric layer 104 is disposed over the first protective layer 103 . In some embodiments, the second dielectric layer 104 is disposed over the first dielectric layer 101 and the first conductive member 102 . In some embodiments, the second dielectric layer 104 includes material same as or different from the first dielectric layer 101 . In some embodiments, the first dielectric layer 101 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • k low dielectric constant
  • the second protective layer 105 is disposed over the second dielectric layer 104 . In some embodiments, the second protective layer 105 is disposed over the first protective layer 103 , the first conductive member 102 and the first dielectric layer 101 . In some embodiments, the second protective layer 105 is an etch stop layer configured to stop etching operations. In some embodiments, the second protective layer 106 includes material same as or different from the first protective layer 103 . In some embodiments, the second protective layer 105 includes nitride. In some embodiments, the second protective layer 105 includes dielectric material such as silicon nitride (SiN), silicon oxide (SiO), aluminum oxynitride (AlON), silicon carbon nitride (SiCN) or the like.
  • the third dielectric layer 106 is disposed over the second dielectric layer 104 . In some embodiments, the third dielectric layer 106 is disposed over the second protective layer 105 , the first protective layer 103 , the first conductive member 102 and the first dielectric layer 101 . In some embodiments, the second protective layer 105 is disposed between the second dielectric layer 104 and the third dielectric layer 106 . In some embodiments, the third dielectric layer 106 surrounds the second conductive member 107 . In some embodiments, the third dielectric layer 106 includes material same as or different from the first dielectric layer 101 and the second dielectric layer 104 .
  • the third dielectric layer 106 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • k low dielectric constant
  • the second conductive member 107 is disposed over the first dielectric layer 101 and the first conductive member 102 . In some embodiments, the second conductive member 107 is extended through and surrounded by the third dielectric layer 106 , the second protective layer 105 , the second dielectric layer 104 and the first protective layer 103 . In some embodiments, the second conductive member 107 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru), molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • the second conductive member 107 includes a first portion 107 a and a second portion 107 b. In some embodiments, the first portion 107 a is extended through and surrounded by the first protective layer 103 and the second dielectric layer 104 . In some embodiments, the first portion 107 is disposed over the first conductive member 102 and the first dielectric layer 101 . In some embodiments, the first portion 107 a covers a portion of the first conductive member 102 and a portion of the first dielectric layer 101 .
  • the second portion 107 b is disposed over and coupled with the first portion 107 a. In some embodiments, the second portion 107 b is surrounded by the third dielectric layer 106 and the second dielectric layer 105 . In some embodiments, a first width W 1 of the first portion 107 a of the second conductive member 107 is substantially less than a second width W 2 of the second portion 107 b of the second conductive member 107 . In some embodiments, the first width W 1 is about 10 ⁇ to about 300 ⁇ . In some embodiments, the second width W 2 is about 16 ⁇ to about 600 ⁇ .
  • At least a portion of the second portion 107 b of the second conductive member 107 is protruded from the first portion 107 a of the second conductive member 107 . In some embodiments, the portion of the second portion 107 b is protruded from the first portion 107 a in a third width W 3 of about 3 ⁇ to about 150 ⁇ . In some embodiments, at least a portion 104 a of the second dielectric layer 104 is disposed between the first protective layer 103 and the second portion 107 b of the second conductive member 107 . In some embodiments, the portion 104 a of the second dielectric layer 104 is protruded into the second conductive member 107 . In some embodiments, an outer surface of the first portion 107 a and the second portion 107 b includes a step contour.
  • the first portion 107 a includes a third surface 107 d interfacing with the first protective layer 103 and the second dielectric layer 104 .
  • the second portion 107 b includes a fourth surface 107 e interfacing with the second protective layer 105 and the third dielectric layer 106 .
  • the third surface 107 d is substantially parallel to the fourth surface 107 e.
  • the third surface 107 d and the fourth surface 107 e are substantially orthogonal to the first surface 102 a of the first conductive member 102 .
  • the third surface 107 d and the fourth surface 107 e are vertical surfaces.
  • the fourth surface 107 e is protruded from the third surface 107 d.
  • the fourth surface 107 e is protruded from the third surface 107 d in the third width W 3 .
  • the second conductive member 107 includes a third portion 107 c disposed above the second portion 107 b and the first portion 107 a. In some embodiments, the third portion 107 c is coupled with the second portion 107 b. In some embodiments, the third portion 107 c is surrounded by the third dielectric layer 106 . In some embodiments, a fourth width W 4 of the third portion 107 c is substantially greater than the first width W 1 of the first portion 107 a and the second width W 2 of the second portion 107 b.
  • a second barrier layer 107 f surrounds the second conductive member 107 .
  • the second barrier layer 107 f is a diffusion barrier layer.
  • the second barrier layer 107 f is configured to prevent diffusion from the second conductive member 107 to the third dielectric layer 106 and the second dielectric layer 104 , and provide adhesion between the second conductive member 107 and the third dielectric layer 106 and between the second conductive member 107 and the second dielectric layer 104 .
  • the second barrier layer 107 f is disposed between the second conductive member 107 and the third dielectric layer 106 .
  • the second barrier layer 107 f is disposed between the second conductive member 107 and the second dielectric layer 104 . In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the first protective layer 103 . In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the second protective layer 105 . In some embodiments, the second barrier layer 107 f includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • the first portion 107 a of the second conductive member 107 is disposed above the first conductive member 102 and the first dielectric layer 101 . In some embodiments as shown in FIG. 1 , the second conductive member 107 is not vertically aligned with the first conductive member 102 . In some embodiments, a central axis of the second conductive member 107 is deviated from a central axis of the first conductive member 102 . In some embodiments, the first portion 107 a of the second conductive member 107 is not vertically aligned with the first conductive member 102 . In some embodiments, a central axis of the first portion 107 a is deviated from the central axis of the first conductive member 102 . In some embodiments, the first portion 107 is disposed above the first conductive member 102 and the first dielectric layer 101 . In some embodiments, the first portion 107 covers or is in contact with a portion of the first dielectric layer 101 .
  • the first portion 107 a of the second conductive member 107 is disposed above the first conductive member 102 .
  • the second conductive member 107 is vertically aligned with the first conductive member 102 .
  • the central axis of the second conductive member 107 is overlapped and common with the central axis of the first conductive member 102 .
  • the first portion 107 a of the second conductive member 107 is vertically aligned with the first conductive member 102 .
  • the central axis of the first portion 107 a is overlapped and common with the central axis of the first conductive member 102 .
  • the first portion 107 is disposed above the first conductive member 102 .
  • the first portion 107 does not cover and is not in contact with the first dielectric layer 101 .
  • the second portion 107 b of the second conductive member 107 is tapered towards the first portion 107 a of the second conductive member 107 .
  • the first portion 107 of the second conductive member 107 is tapered towards the first conductive member 102 .
  • a first angle ⁇ of the third surface 107 d relative to the first surface 102 a of the first conductive member 102 is about 90° to about 160°.
  • a second angle ⁇ of the fourth surface 107 e relative to the first surface 102 a of the first conductive member 102 is about 90° to about 160°.
  • the first angle ⁇ is substantially the same as the second angle ⁇ .
  • the third surface 107 d of the first portion 107 a and the fourth surface 107 e of the second portion 107 b are tilted surfaces.
  • the second angle ⁇ is substantially greater than the first angle ⁇ .
  • the third surface 107 d is a vertical surface and the fourth surface 107 e is a tilted surface.
  • a third conductive member 108 is disposed over the second conductive member 107 .
  • the third conductive member 108 is surrounded by the third dielectric layer 106 .
  • the second portion 107 b of the second conductive member 107 is protruded into the third conductive member 108 .
  • the second portion 107 b includes a dome shaped contour.
  • the second portion 107 b includes a convex surface 107 g curving towards the third conductive member 108 .
  • the convex surface 107 g is surrounded by the third dielectric layer 106 .
  • the third conductive member 108 includes a concave surface 108 a curving away from the second conductive member 107 . In some embodiments, a curved interface is disposed between the second portion 107 b of the second conductive member 107 and the third conductive member 108 .
  • the third conductive member 108 includes a fifth width W 5 and a sixth width W 6 .
  • the fifth width W 5 is a shortest width of the third conductive member 108 .
  • the sixth width W 6 is a longest width of the third conductive member 108 .
  • the fifth width W 5 is substantially less than the sixth width W 6 .
  • the third conductive member 108 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru) , molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • the second portion 107 b of the second conductive member 107 is tapered towards the first portion 107 a of the second conductive member 107 .
  • the third conductive member 108 is tapered towards the second conductive member 107 .
  • the third conductive member 108 includes a fifth surface 108 c interfacing with the third dielectric layer 106 .
  • the fourth surface 107 is substantially parallel to the fifth surface 108 c.
  • the fourth surface 107 e of the second portion 107 b and the fifth surface 108 c of the third conductive member 108 are tilted surfaces.
  • the fourth surface 107 e and the fifth surface 108 c have substantially the same gradient.
  • a third barrier layer 108 b surrounds the third conductive member 108 .
  • the third barrier layer 108 b is a diffusion barrier layer.
  • the third barrier layer 108 b is configured to prevent diffusion from the third conductive member 108 to the third dielectric layer 106 and provide adhesion between the third conductive member 108 and the third dielectric layer 106 .
  • the third barrier layer 108 b is disposed over the second portion 107 b of the second conductive member 107 .
  • the second conductive member 107 is separated from the third conductive member 108 by the third barrier layer 108 .
  • the third barrier layer 108 b is disposed between the third conductive member 108 and the third dielectric layer 106 . In some embodiments, the third barrier layer 108 b is disposed between the third conductive member 108 and the second conductive member 107 . In some embodiments, the third barrier layer 108 b includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • a method of manufacturing a semiconductor structure is also disclosed.
  • a semiconductor structure 100 , 200 or 300 is formed by a method 500 .
  • the method 500 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations.
  • FIG. 5 is an embodiment of the method 500 of manufacturing the semiconductor structure 100 , 200 or 300 .
  • the method 500 includes a number of operations ( 501 , 502 , 503 , 504 , 505 , 506 , 507 , 508 , 509 , 510 and 511 ).
  • a first dielectric layer 101 and a first conductive member 102 are provided as shown in FIG. 6 .
  • the first conductive member 102 is surrounded by the first dielectric layer 101 .
  • the first conductive member 102 is extended through the first dielectric layer 101 .
  • the first conductive member 102 includes a first surface 102 a and a second surface 102 b opposite to the first surface 102 a.
  • the first dielectric layer 101 is formed by spin coating, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD) or any other suitable operations.
  • the first conductive member 102 is formed by removing a portion of the first dielectric layer 101 to form a hole and disposing a conductive material into the hole.
  • the removal of the portion of the first dielectric layer 101 includes photolithography, etching or any other suitable operations.
  • the disposing of the conductive material includes sputtering, electroplating or any other suitable operations.
  • a substrate is provided before the disposing of the first dielectric layer 101 and the first conductive member 102 .
  • the first dielectric layer 101 and the first conductive member 102 are disposed over the substrate.
  • the substrate is configured to support components of the semiconductor structure during the method 500 .
  • the components of the semiconductor structure are formed over the substrate during the method 500 .
  • a first barrier layer 102 c is formed before the formation of the first conductive member 102 . In some embodiments, the first barrier layer 102 c surrounds the first conductive member 102 . In some embodiments, the first barrier layer 102 c is disposed conformal to the hole of the first dielectric layer 101 , and then the first conductive member 102 is disposed over the first barrier layer 102 c. In some embodiments, the first barrier layer 102 c is disposed by deposition, electroless plating or any other suitable operations.
  • a capping layer 102 d is formed over the first conductive member 102 and the first barrier layer 102 c. In some embodiments, the capping layer 102 d is surrounded by the first dielectric layer 101 . In some embodiments, the capping layer 102 d is formed by CVD, sputtering or any other suitable operations. In some embodiments, the first dielectric layer 101 , the first conductive member 102 , the first barrier layer 102 c and the capping layer 102 d are in configurations as described above or shown in FIGS. 1-4 .
  • a first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102 as shown in FIG. 7 .
  • the first protective layer 103 is disposed over the capping layer 102 d.
  • the first protective layer 103 is an etch stop layer configured to stop etching operations.
  • the first protective layer 103 is formed by CVD, PECVD or any other suitable operations.
  • the first protective layer 103 is in configuration as described above or shown in FIGS. 1-4 .
  • a second dielectric layer 104 is disposed over the first protective layer 103 as shown in FIG. 8 .
  • the second dielectric layer 104 is disposed conformal to the first protective layer 103 .
  • the second dielectric layer 104 is formed by spin coating, CVD, PECVD or any other suitable operations.
  • the second dielectric layer 104 is in configuration as described above or shown in FIGS. 1-4 .
  • a second protective layer 105 is disposed over the second dielectric layer 104 as shown in FIG. 9 .
  • the second protective layer 105 is disposed conformal to the second dielectric layer 104 .
  • the second protective layer 105 is an etch stop layer configured to stop etching operations.
  • the second protective layer 105 is formed by CVD, PECVD or any other suitable operations.
  • the second protective layer 105 is in configuration as described above or shown in FIGS. 1-4 .
  • a third dielectric layer 106 is disposed over the second protective layer 105 as shown in FIG. 10 .
  • the third dielectric layer 106 is formed by spin coating, CVD, PECVD or any other suitable operations.
  • the third dielectric layer 106 is in configuration as described above or shown in FIGS. 1-4 .
  • a dielectric mask 109 is disposed over the third dielectric layer 106 , and a hard mask 110 is formed over the dielectric mask 109 as shown in FIG. 11 .
  • the dielectric mask 109 is configured to protect the third dielectric layer 106 from removal.
  • the dielectric mask 109 includes silicon oxide or any other suitable materials.
  • the dielectric mask 109 is formed by CVD or any other suitable operations.
  • a portion of the hard mask 110 is removed to pattern the hard mask 110 .
  • the hard mask 110 is patterned by disposing a first photoresist over the hard mask 110 , patterning the first photoresist and removing the portion of the hard mask 110 exposed from the patterned first photoresist.
  • the first photoresist is disposed by spin coating or any other suitable operations.
  • the first photoresist is patterned by exposing a portion of the first photoresist from a photomask, projecting a predetermined electromagnetic radiation towards the photomask and the exposed portion of the first photoresist, and removing the exposed portion of the first photoresist.
  • the patterned hard mask 110 is formed as shown in FIG. 11 .
  • the dielectric mask 109 covers the third dielectric layer 106 after the patterning of the hard mask 110 .
  • the first photoresist is removed after the patterning of the hard mask 110 .
  • the first photoresist is removed by wet etching, stripping or any other suitable operations.
  • a first portion 106 a of the third dielectric layer 106 is removed to form a first opening 106 b as shown in FIGS. 12-14 .
  • a second photoresist 111 is disposed over the hard mask 110 and the dielectric mask 109 .
  • the second photoresist 111 is disposed by spin coating or any other suitable operations.
  • the second photoresist 111 is patterned by exposing a portion of the second photoresist 111 from a photomask, projecting a predetermined electromagnetic radiation towards the photomask and the exposed portion of the second photoresist 111 , and removing the exposed portion of the second photoresist 111 .
  • a patterned second photoresist 111 is formed as shown in FIG. 12 .
  • a portion of the dielectric mask 109 is exposed from the patterned second photoresist 111 .
  • the exposed portion of the dielectric mask 109 and the first portion 106 a of the third dielectric layer 106 under the exposed portion of the dielectric mask 109 are removed as shown in FIG. 13 .
  • the exposed portion of the dielectric mask 109 and the first portion 106 a of the third dielectric layer 106 are removed by dry etching or any other suitable operations.
  • the first opening 106 b is formed after the removal of the first portion 106 a of the third dielectric layer 106 .
  • the first opening 106 b is extended through the third dielectric layer 106 .
  • a first width W 1 the first opening 106 b is about 10 ⁇ to about 300 ⁇ . In some embodiments, the first opening 106 b is not aligned with the first conductive member 102 as shown in FIG. 13 . In some embodiments, the first opening 106 b is disposed above and aligned with the first conductive member 102 . In some embodiments, a first portion 105 a of the second protective layer 105 is exposed after the formation of the first opening 106 b. In some embodiments, the second photoresist 111 is removed after the formation of the first opening 106 b as shown in FIG. 14 . In some embodiments, the second photoresist 111 is removed by wet etching, stripping or any other suitable operations.
  • the first portion 105 a of the second protective layer 105 exposed by the first opening 106 b is removed as shown in FIG. 15 .
  • the first portion 105 a of the second protective layer 105 is removed by wet etching or any other suitable operations.
  • a first portion 104 b of the second dielectric layer 104 is exposed from the second protective layer 105 after the removal of the first portion 105 a of the second protective layer 105 .
  • a second portion 106 c of the third dielectric layer 106 is uncovered by the hard mask 110 .
  • the second portion 106 c of the third dielectric layer 106 is removed to form a second opening 106 d as shown in FIG. 16 .
  • the second portion 106 c of the third dielectric layer 106 is removed by dry etching or any other suitable operations.
  • more of the third dielectric layer 106 adjacent to the hard mask 109 is etched compared with the third dielectric layer 106 away from the hard mask 109 and close to the first dielectric layer 101 .
  • the second opening 106 d has a step contour after the removal of the second portion 106 c of the third dielectric layer 106 .
  • the second opening 106 d is tapered towards the first conductive member 102 and the second dielectric layer 104 .
  • a second portion 105 b of the second protective layer 105 is exposed by the second opening 106 d after the removal of the second portion 106 c of the third dielectric layer 106 .
  • the dielectric mask 109 uncovered by the hard mask 110 is removed before or upon the removal of the second portion 106 c of the third dielectric layer 106 .
  • the first portion 104 b of the second dielectric layer 104 exposed from the second protective layer 105 is removed to form a third opening 104 c as shown in FIG. 16 .
  • the first portion 104 b of the second dielectric layer 104 is removed by dry etching or any other suitable operations.
  • a portion 103 a of the first protective layer 103 is exposed by the third opening 104 c after removal of the first portion 104 b of the second dielectric layer 104 .
  • the second portion 106 c of the third dielectric layer 106 and the first portion 104 b of the second dielectric layer 104 are removed separately or simultaneously.
  • the operation 508 and the operation 509 are performed separately or simultaneously.
  • a width of the third opening 104 c is substantially the same as the first width W 1 of the first opening 106 b.
  • a second width W 2 of the second opening 106 d is substantially greater than the width of the third opening 104 c.
  • the second opening 106 d is tapered towards the third opening 104 c.
  • the portion 103 a of the first protective layer 103 exposed by the third opening 104 c and a second portion 105 b of the second protective layer 105 exposed by the second opening 106 d are removed as shown in FIG. 17 .
  • the portion 103 a of the first protective layer 103 and the second portion 105 b of the second protective layer 105 are removed by wet etching or any other suitable operations.
  • the removal of the portion 103 a of the first protective layer 103 and the removal of the second portion 105 b of the second protective layer 105 are performed separately or simultaneously.
  • a portion 104 a of the second dielectric layer 104 is exposed by the second opening 106 d after the removal of the second portion 105 b of the second protective layer 105 . In some embodiments, the portion 104 a of the second dielectric layer 104 is laterally protruded. In some embodiments, the first conductive member 102 or the capping layer 102 d is exposed after the removal of the portion 103 a of the first protective layer 103 .
  • a conductive material 112 is disposed into the second opening 106 d and the third opening 104 c to form a second conductive member 107 as shown in FIGS. 18-19 .
  • the conductive material 112 is disposed over the third dielectric layer 106 .
  • the conductive material 112 is disposed over the dielectric mask 109 and the hard mask 110 .
  • the conductive material 112 is formed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations.
  • a second barrier layer 107 f is disposed conformal to the second opening 106 d and the third opening 104 c before the disposing of the conductive material 112 .
  • the second barrier layer 107 f is formed, and then the conductive material 112 is disposed over the second barrier layer 107 f.
  • the second barrier layer 107 f is disposed over the third dielectric layer 106 .
  • the second barrier layer 107 f is disposed over the dielectric mask 109 and the hard mask 110 .
  • the second barrier layer 107 f is disposed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations.
  • the second conductive member 107 is formed after planarization of the conductive material 112 . In some embodiments as shown in FIG. 19 , some of the conductive material 112 are removed to form the second conductive member 107 . In some embodiments, some of the second barrier layer 107 f disposed over the third dielectric layer 106 , some of the conductive material 112 disposed over the third dielectric layer 106 , the dielectric mask 109 and the hard mask 110 are removed by chemical mechanical planarization (CMP) or any other suitable operations. In some embodiments, the second conductive member 107 is in configurations as described above or shown in FIGS. 1-3 . In some embodiments, a semiconductor structure 100 described above and shown in FIG. 1 is formed.
  • CMP chemical mechanical planarization
  • a semiconductor structure 200 having the second conductive member 107 aligned with the first conductive member 102 as described above or shown in FIG. 2 is formed.
  • a semiconductor structure 300 having tapered second conductive member 107 as described above or shown in FIG. 3 is formed.
  • a method of manufacturing a semiconductor structure is also disclosed.
  • a semiconductor structure is formed by a method 600 .
  • the method 600 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations.
  • FIG. 20 is an embodiment of the method 600 of manufacturing a semiconductor structure.
  • the method 600 includes a number of operations ( 601 , 602 , 603 , 604 , 605 , 606 , 607 , 608 , 609 , 610 , 611 and 612 ).
  • a first dielectric layer 101 and a first conductive member 102 are provided as shown in FIG. 6 .
  • the operation 601 is substantially the same as the operation 501 .
  • a first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102 as shown in FIG. 7 .
  • the operation 602 is substantially the same as the operation 502 .
  • a second dielectric layer 104 is disposed over the first protective layer 103 as shown in FIG. 8 .
  • the operation 603 is substantially the same as the operation 503 .
  • a second protective layer 105 is disposed over the second dielectric layer 104 as shown in FIG. 9 .
  • the operation 604 is substantially the same as the operation 504 .
  • a third dielectric layer 106 is disposed over the second protective layer 105 as shown in FIG. 10 .
  • the operation 605 is substantially the same as the operation 505 .
  • a hard mask 110 is formed over the third dielectric layer 106 as shown in FIG. 11
  • a first portion 106 a of the third dielectric layer 106 is removed to form a first opening 106 b as shown in FIGS. 12-14 .
  • the operation 606 is substantially the same as the operation 506 .
  • operation 607 the first portion 105 a of the second protective layer 105 exposed by the first opening 106 b is removed as shown in FIG. 15 .
  • the operation 607 is substantially the same as the operation 507 .
  • the second portion 106 c of the third dielectric layer 106 is removed to form a second opening 106 d as shown in FIG. 16 .
  • the operation 608 is substantially the same as the operation 508 .
  • the first portion 104 b of the second dielectric layer 104 exposed from the second protective layer 105 is removed to form a third opening 104 c as shown in FIG. 16 .
  • the operation 609 is substantially the same as the operation 509 .
  • a first conductive material is disposed to form a second conductive member 107 as shown in FIG. 21 .
  • the first conductive material is disposed into the second opening 106 d and the third opening 104 c to form the second conductive member 107 .
  • the first conductive material is disposed by electroless plating, CVD or any other suitable operations.
  • the first conductive material is grown within the third opening 104 c and a portion of the second opening 106 d to form the second conductive member 107 .
  • the second conductive member 107 is surrounded by the first protective layer 103 , the second dielectric layer 104 , the second protective layer 105 and the third dielectric layer 106 .
  • the first conductive material is grown to form the second conductive member 107 having a step contour.
  • the second conductive member 107 includes a first portion 107 a and a second portion 107 b over the first portion 107 a.
  • the first portion 107 a is surrounded by the first protective layer 103 and the second dielectric layer 104 .
  • the second portion 107 b is surrounded by the second protective layer 105 and the third dielectric layer 106 .
  • the first conductive material is grown to form the second portion 107 b of the second conductive member 107 having a dome shaped contour.
  • the second portion 107 b includes a convex surface 107 g curving towards the second opening 106 d.
  • the first portion 107 a includes a third surface 107 d interfacing with the first protective layer 103 and the second dielectric layer 104 .
  • the second portion 107 b includes a fourth surface 107 e interfacing with the second protective layer 105 and the third dielectric layer 106 .
  • the third surface 107 d is substantially parallel to the fourth surface 107 e.
  • the fourth surface 107 e is tapered towards the first conductive member 102 .
  • the second conductive member 107 is in configuration as described above or shown in FIG. 4 .
  • a second conductive material 112 is disposed to form a third conductive member 108 as shown in FIGS. 22-23 .
  • the second conductive member 107 and the third conductive member 108 are separately formed.
  • the second conductive material 112 is disposed into the second opening 106 d and over the third dielectric layer 106 .
  • the second conductive material 112 is disposed over the dielectric mask 109 and the hard mask 110 .
  • the second conductive material 112 is formed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations.
  • the third conductive member 108 includes a concave surface 108 a curving away from the second conductive member 107 .
  • a third barrier layer 108 b is disposed conformal to the convex surface 107 g of the second conductive member 107 and the second opening 106 d before the disposing of the second conductive material 112 .
  • the third barrier layer 108 b is formed, and then the second conductive material 112 is disposed over the second barrier layer 107 f.
  • the third barrier layer 108 b is disposed over the third dielectric layer 106 .
  • the third barrier layer 108 b is disposed over the dielectric mask 109 and the hard mask 110 .
  • the third barrier layer 108 b is disposed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations. Since an interface between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is curved, a contacting surface between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is increased. As such, adhesion between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is improved.
  • the third conductive member 108 is formed after planarization of the second conductive material 112 . In some embodiments as shown in FIG. 23 , some of the second conductive material 112 are removed to form the third conductive member 108 . In some embodiments, some of the third barrier layer 108 b disposed over the third dielectric layer 106 , some of the second conductive material 112 disposed over the third dielectric layer 106 , the dielectric mask 109 and the hard mask 110 are removed by chemical mechanical planarization (CMP) or any other suitable operations. In some embodiments, the third conductive member 108 is in configurations as described above or shown in FIG. 4 . In some embodiments, a semiconductor structure 400 described above and shown in FIG. 4 is formed.
  • CMP chemical mechanical planarization
  • a semiconductor structure includes a first dielectric layer; a first conductive member extended through and surrounded by the first dielectric layer; a first protective layer disposed over the first dielectric layer and the first conductive member; a second dielectric layer disposed over the first protective layer; a third dielectric layer disposed over the second dielectric layer; and a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer and the third dielectric layer, wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of the second conductive member.
  • a first width of the first portion of the second conductive member is substantially less than a second width of the second portion of the second conductive member. In some embodiments, at least a portion of the second portion of the second conductive member is protruded from the first portion of the second conductive member. In some embodiments, the second portion of the second conductive member is tapered towards the first portion of the second conductive member. In some embodiments, the first portion of the second conductive member is tapered towards the first conductive member. In some embodiments, the first portion of the second conductive member is disposed above the first conductive member. In some embodiments, the first portion of the second conductive member is disposed above the first conductive member and the first dielectric layer.
  • the semiconductor structure further includes a second protective layer disposed between the second dielectric layer and the third dielectric layer, wherein the second protective layer surrounds the second portion of the second conductive member.
  • the first protective layer and the second protective layer include nitride.
  • the second conductive member includes a third portion surrounded by the third dielectric layer, disposed over and coupled with the second portion of the second conductive member.
  • a third width of the third portion of the second conductive member is substantially greater than a first width of the first portion of the second conductive member and a second width of the second portion of the second conductive member.
  • a semiconductor structure includes a first dielectric layer; a first conductive member extended through and surrounded by the first dielectric layer; a first protective layer disposed over the first dielectric layer and the first conductive member; a second dielectric layer disposed over the first protective layer; a second protective layer disposed over the second dielectric layer; a third dielectric layer disposed over the second dielectric layer; a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer, the second protective layer and the third dielectric layer; and a third conductive member disposed over the second conductive member and surrounded by the third dielectric layer, wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of
  • a curved interface is disposed between the second portion of the second conductive member and the third conductive member.
  • the second portion of the second conductive member includes a convex surface curving towards the third conductive member.
  • the third conductive member includes a concave surface curving away from the second conductive member.
  • the second portion of the second conductive member is protruded from the first portion of the second conductive member in a length of about 3 ⁇ to about 150 ⁇ .
  • the semiconductor structure further includes a barrier layer surrounding the third conductive member, disposed over the second portion of the second conductive member and separating the third conductive member from the second conductive member.
  • a method of manufacturing a semiconductor structure includes providing a first dielectric layer and a first conductive member surrounded by the first dielectric layer; disposing a first protective layer over the first dielectric layer and the first conductive member; disposing a second dielectric layer over the first protective layer; disposing a second protective layer over the second dielectric layer; disposing a third dielectric layer over the second protective layer; removing a first portion of the third dielectric layer to form a first opening; removing a first portion of the second protective layer exposed by the first opening; removing a second portion of the third dielectric layer to form a second opening; removing a first portion of the second dielectric layer exposed from the second protective layer to form a third opening; removing a portion of the first protective layer exposed by the third opening and a second portion of the second protective layer exposed by the second opening; and disposing a conductive material into the second opening and the third opening to form a second conductive member.
  • a first width of the first opening is substantially less than a second width of the second opening, and the first width is substantially the same as a third width of the third opening.
  • a second portion of the second dielectric layer is exposed by the second opening after the removal of the second portion of the second protective layer.

Abstract

A semiconductor structure includes a first dielectric layer; a first conductive member extended through and surrounded by the first dielectric layer; a first protective layer disposed over the first dielectric layer and the first conductive member; a second dielectric layer disposed over the first protective layer; a third dielectric layer disposed over the second dielectric layer; and a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer and the third dielectric layer, wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer.

Description

    PRIORITY CLAIM AND CROSS-REFERENCE
  • This application claims the benefit of a provisional application Ser. 62/711,098 filed on Jul. 27, 2018, entitled “SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF,” the disclosure of which is hereby incorporated by reference in its entirety.
  • BACKGROUND
  • Electronic equipments using semiconductor devices are essential for many modern applications. With the advancement of electronic technology, the semiconductor devices are becoming small in size while having many complicated integrated circuitries. Due to the miniaturized scale of the semiconductor device, many semiconductor components are assembled on the semiconductor device. Furthermore, numerous manufacturing operations are implemented within such a small semiconductor device.
  • However, the manufacturing operations of the semiconductor device involve many steps and operations on such a small and thin semiconductor device. The manufacturing of the semiconductor device in a miniaturized scale becomes more complicated. An increase in a complexity of manufacturing the semiconductor device may cause deficiencies such as poor electrical interconnection, misalignment of components or other issues, resulting in a high yield loss of the semiconductor device. The semiconductor device is produced in an undesired configuration, which would further exacerbate materials wastage and thus increase the manufacturing cost.
  • Since more different small components are involved, a complexity of the manufacturing operations of the semiconductor device is increased. As such, there is a continuous need to modify a structure of the semiconductor devices and improve the manufacturing operations of the semiconductor devices.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a schematic cross sectional view of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram of a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6-19 are schematic views of manufacturing a semiconductor structure by a method of FIG. 5 in accordance with some embodiments of the present disclosure.
  • FIG. 20 is a flow diagram of a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6-17 and 21-23 are schematic views of manufacturing a semiconductor structure by a method of FIG. 20 in accordance with some embodiments of the present disclosure.
  • DETAILED DESCRIPTION OF THE DISCLOSURE
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • An interconnect structure is involved in a semiconductor structure. The interconnect structure includes several conductive vias extended through one or more layers of the semiconductor structure and connected with conductive lines extended over one of layers of the semiconductor structure. The conductive vias extended through different layers and connects the conductive lines at different layers of the semiconductor structure. However, an overall size of the semiconductor structure becomes smaller and smaller. As such, an overlay issue may occur between two conductive vias disposed over each other. The conductive vias may not be disposed accurately and thus may not vertically align with each other. Further, a space between neighboring conductive vias also becomes smaller and smaller. Therefore, the neighboring conductive vias at the same layer may be easily bridged by the conductive via disposed at the above layer, which leads to failure of electrical connection. As a result, reliability of the semiconductor structure would be adversely affected.
  • In the present disclosure, a semiconductor structure is disclosed. The semiconductor structure includes a first conductive member surrounded by a first dielectric layer, and a second conductive member disposed over the first conductive member and surrounded by a second dielectric layer and a third dielectric layer. The second conductive member includes a first portion and a second portion disposed over and coupled with the first portion. The first portion is extended through and surrounded by the second dielectric layer, and the second portion is surrounded by the third dielectric layer.
  • A contour of the second conductive member with a step is formed by the first portion and the second portion of the second conductive member. A width of the first portion is substantially less than a width of the second portion. The second conductive member with the step contour can increase a clearance between the second conductive member and a conductive member neigbouring with the first conductive member. Therefore, undesirable contact with the conductive member neigbouring with the first conductive member can be prevented.
  • Further, a method of manufacturing a semiconductor structure is disclosed. The method includes providing a first dielectric layer and a first conductive member surrounded by the first dielectric layer; disposing a first protective layer over the first dielectric layer and the first conductive member; disposing a second dielectric layer over the first protective layer; disposing a second protective layer over the second dielectric layer; disposing a third dielectric layer over the second protective layer; removing a portion of the second dielectric layer; removing a portion of the third dielectric layer; and disposing a conductive material to form a second conductive member. The second conductive member includes a first portion surrounded by the second dielectric layer and a second portion surrounded by the third dielectric layer.
  • A width of the first portion is substantially less than a width of the second portion. As such, a step contour of the second dielectric layer and the third dielectric layer is formed by the removal of the portion of the second dielectric layer and the portion of the third dielectric layer. As a result, a clearance between the second conductive member and a conductive member neigbouring with the first conductive member can be increased.
  • FIG. 1 is a schematic cross sectional view of a semiconductor structure 100 in accordance with various embodiments of the present disclosure. In some embodiments, the semiconductor structure 100 includes a first dielectric layer 101, a first conductive member 102, a first protective layer 103, a second dielectric layer 104, a second protective layer 105, a third dielectric layer 106 and a second conductive member 107. In some embodiments, the semiconductor structure 100 is a part of a wafer, a die or a package. In some embodiments, the semiconductor structure 100 is configured to connect with another semiconductor structure such as a die, a package, a printed circuit board (PCB) or the like.
  • In some embodiments, the semiconductor structure 100 includes a substrate under the first dielectric layer 101 and the first conductive member 101. In some embodiments, the substrate includes semiconductive materials such as silicon or other suitable materials. In some embodiments, the substrate is a silicon substrate or silicon wafer.
  • In some embodiments, the first dielectric layer 101 surrounds the first conductive member 102. In some embodiments, the first dielectric layer 101 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • In some embodiments, the first conductive member 102 is extended through and surrounded by the first dielectric layer 101. In some embodiments, the first conductive member 102 includes a first surface 102 a and a second surface 102 b opposite to the first surface 102 a. In some embodiments, the first conductive member 102 is extended between the first surface 102 a and the second surface 102 b. In some embodiments, the first conductive member 102 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru), molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • In some embodiments, the second surface 102 b of the first conductive member 102 is exposed. In some embodiments, the second surface 102 b is configured to receive a conductive line or a conductive structure to connect a circuitry of the semiconductor structure 100 with a circuitry of another semiconductor structure. In some embodiments, a height of the first conductive member 102 is substantially the same as a thickness of the first dielectric layer 101. In some embodiments, the height of the first conductive member 102 and the thickness of the first dielectric layer 101 are respectively about 50 Å to about 500 Å.
  • In some embodiments, a first barrier layer 102 c surrounds the first conductive member 102. In some embodiments, the first barrier layer 102 c is a diffusion barrier layer. In some embodiments, the first barrier layer 102 c is configured to prevent diffusion from the first conductive member 102 to the first dielectric layer 101 and provide adhesion between the first conductive member 102 and the first dielectric layer 101. In some embodiments, the first barrier layer 102 c is disposed between the first conductive member 102 and the first dielectric layer 101. In some embodiments, the first barrier layer 102 c includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • In some embodiments, a capping layer 101 d is disposed over the first conductive member 102. In some embodiments, the capping layer 101 d is disposed over the first surface 102 a of the first conductive member 102. In some embodiments, the capping layer 101 d is surrounded by the first dielectric layer 101. In some embodiments, the capping layer 101 d is disposed over the first barrier layer 102 c. In some embodiments, the capping layer 102 d includes cobalt (Co), tantalum (Ta), tungsten (W), platinum (Pt) or the like.
  • In some embodiments, the first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102. In some embodiments, the first protective layer 103 is in contact with the first dielectric layer 101. In some embodiments, the first protective layer 103 is disposed over and in contact with the capping layer 102 d. In some embodiments, the first protective layer 103 is an etch stop layer configured to stop etching operations. In some embodiments, the first protective layer 103 includes nitride. In some embodiments, the first protective layer 103 includes dielectric material such as silicon nitride (SiN), silicon oxide (SiO), aluminum oxynitride (AlON), silicon carbon nitride (SiCN) or the like.
  • In some embodiments, the second dielectric layer 104 is disposed over the first protective layer 103. In some embodiments, the second dielectric layer 104 is disposed over the first dielectric layer 101 and the first conductive member 102. In some embodiments, the second dielectric layer 104 includes material same as or different from the first dielectric layer 101. In some embodiments, the first dielectric layer 101 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • In some embodiments, the second protective layer 105 is disposed over the second dielectric layer 104. In some embodiments, the second protective layer 105 is disposed over the first protective layer 103, the first conductive member 102 and the first dielectric layer 101. In some embodiments, the second protective layer 105 is an etch stop layer configured to stop etching operations. In some embodiments, the second protective layer 106 includes material same as or different from the first protective layer 103. In some embodiments, the second protective layer 105 includes nitride. In some embodiments, the second protective layer 105 includes dielectric material such as silicon nitride (SiN), silicon oxide (SiO), aluminum oxynitride (AlON), silicon carbon nitride (SiCN) or the like.
  • In some embodiments, the third dielectric layer 106 is disposed over the second dielectric layer 104. In some embodiments, the third dielectric layer 106 is disposed over the second protective layer 105, the first protective layer 103, the first conductive member 102 and the first dielectric layer 101. In some embodiments, the second protective layer 105 is disposed between the second dielectric layer 104 and the third dielectric layer 106. In some embodiments, the third dielectric layer 106 surrounds the second conductive member 107. In some embodiments, the third dielectric layer 106 includes material same as or different from the first dielectric layer 101 and the second dielectric layer 104. In some embodiments, the third dielectric layer 106 includes a low dielectric constant (k) material such as silicon oxide (SiO), porous organosilicate (SiOCH), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG) or the like.
  • In some embodiments, the second conductive member 107 is disposed over the first dielectric layer 101 and the first conductive member 102. In some embodiments, the second conductive member 107 is extended through and surrounded by the third dielectric layer 106, the second protective layer 105, the second dielectric layer 104 and the first protective layer 103. In some embodiments, the second conductive member 107 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru), molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • In some embodiments, the second conductive member 107 includes a first portion 107 a and a second portion 107 b. In some embodiments, the first portion 107 a is extended through and surrounded by the first protective layer 103 and the second dielectric layer 104. In some embodiments, the first portion 107 is disposed over the first conductive member 102 and the first dielectric layer 101. In some embodiments, the first portion 107 a covers a portion of the first conductive member 102 and a portion of the first dielectric layer 101.
  • In some embodiments, the second portion 107 b is disposed over and coupled with the first portion 107 a. In some embodiments, the second portion 107 b is surrounded by the third dielectric layer 106 and the second dielectric layer 105. In some embodiments, a first width W1 of the first portion 107 a of the second conductive member 107 is substantially less than a second width W2 of the second portion 107 b of the second conductive member 107. In some embodiments, the first width W1 is about 10 Å to about 300 Å. In some embodiments, the second width W2 is about 16 Å to about 600 Å.
  • In some embodiments, at least a portion of the second portion 107 b of the second conductive member 107 is protruded from the first portion 107 a of the second conductive member 107. In some embodiments, the portion of the second portion 107 b is protruded from the first portion 107 a in a third width W3 of about 3 Å to about 150 Å. In some embodiments, at least a portion 104 a of the second dielectric layer 104 is disposed between the first protective layer 103 and the second portion 107 b of the second conductive member 107. In some embodiments, the portion 104 a of the second dielectric layer 104 is protruded into the second conductive member 107. In some embodiments, an outer surface of the first portion 107 a and the second portion 107 b includes a step contour.
  • In some embodiments, the first portion 107 a includes a third surface 107 d interfacing with the first protective layer 103 and the second dielectric layer 104. In some embodiments, the second portion 107 b includes a fourth surface 107 e interfacing with the second protective layer 105 and the third dielectric layer 106. In some embodiments, the third surface 107 d is substantially parallel to the fourth surface 107 e. In some embodiments, the third surface 107 d and the fourth surface 107 e are substantially orthogonal to the first surface 102 a of the first conductive member 102. In some embodiments, the third surface 107 d and the fourth surface 107 e are vertical surfaces. In some embodiments, the fourth surface 107 e is protruded from the third surface 107 d. In some embodiments, the fourth surface 107 e is protruded from the third surface 107 d in the third width W3.
  • In some embodiments, the second conductive member 107 includes a third portion 107 c disposed above the second portion 107 b and the first portion 107 a. In some embodiments, the third portion 107 c is coupled with the second portion 107 b. In some embodiments, the third portion 107 c is surrounded by the third dielectric layer 106. In some embodiments, a fourth width W4 of the third portion 107 c is substantially greater than the first width W1 of the first portion 107 a and the second width W2 of the second portion 107 b.
  • In some embodiments, a second barrier layer 107 f surrounds the second conductive member 107. In some embodiments, the second barrier layer 107 f is a diffusion barrier layer. In some embodiments, the second barrier layer 107 f is configured to prevent diffusion from the second conductive member 107 to the third dielectric layer 106 and the second dielectric layer 104, and provide adhesion between the second conductive member 107 and the third dielectric layer 106 and between the second conductive member 107 and the second dielectric layer 104. In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the third dielectric layer 106. In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the second dielectric layer 104. In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the first protective layer 103. In some embodiments, the second barrier layer 107 f is disposed between the second conductive member 107 and the second protective layer 105. In some embodiments, the second barrier layer 107 f includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • In some embodiments, the first portion 107 a of the second conductive member 107 is disposed above the first conductive member 102 and the first dielectric layer 101. In some embodiments as shown in FIG. 1, the second conductive member 107 is not vertically aligned with the first conductive member 102. In some embodiments, a central axis of the second conductive member 107 is deviated from a central axis of the first conductive member 102. In some embodiments, the first portion 107 a of the second conductive member 107 is not vertically aligned with the first conductive member 102. In some embodiments, a central axis of the first portion 107 a is deviated from the central axis of the first conductive member 102. In some embodiments, the first portion 107 is disposed above the first conductive member 102 and the first dielectric layer 101. In some embodiments, the first portion 107 covers or is in contact with a portion of the first dielectric layer 101.
  • In some embodiments, the first portion 107 a of the second conductive member 107 is disposed above the first conductive member 102. In some embodiments as shown in FIG. 2, the second conductive member 107 is vertically aligned with the first conductive member 102. In some embodiments, the central axis of the second conductive member 107 is overlapped and common with the central axis of the first conductive member 102. In some embodiments, the first portion 107 a of the second conductive member 107 is vertically aligned with the first conductive member 102. In some embodiments, the central axis of the first portion 107 a is overlapped and common with the central axis of the first conductive member 102. In some embodiments, the first portion 107 is disposed above the first conductive member 102. In some embodiments, the first portion 107 does not cover and is not in contact with the first dielectric layer 101.
  • In some embodiments as shown in FIG. 3, the second portion 107 b of the second conductive member 107 is tapered towards the first portion 107 a of the second conductive member 107. In some embodiments, the first portion 107 of the second conductive member 107 is tapered towards the first conductive member 102. In some embodiments, a first angle θ of the third surface 107 d relative to the first surface 102 a of the first conductive member 102 is about 90° to about 160°. In some embodiments, a second angle α of the fourth surface 107 e relative to the first surface 102 a of the first conductive member 102 is about 90° to about 160°. In some embodiments, the first angle θ is substantially the same as the second angle α. In some embodiments, the third surface 107 d of the first portion 107 a and the fourth surface 107 e of the second portion 107 b are tilted surfaces. In some embodiments, the second angle α is substantially greater than the first angle θ. In some embodiments, the third surface 107 d is a vertical surface and the fourth surface 107 e is a tilted surface.
  • In some embodiments as shown in FIG. 4, a third conductive member 108 is disposed over the second conductive member 107. In some embodiments, the third conductive member 108 is surrounded by the third dielectric layer 106. In some embodiments, the second portion 107 b of the second conductive member 107 is protruded into the third conductive member 108. In some embodiments, the second portion 107 b includes a dome shaped contour. In some embodiments, the second portion 107 b includes a convex surface 107 g curving towards the third conductive member 108. In some embodiments, the convex surface 107 g is surrounded by the third dielectric layer 106. In some embodiments, the third conductive member 108 includes a concave surface 108 a curving away from the second conductive member 107. In some embodiments, a curved interface is disposed between the second portion 107 b of the second conductive member 107 and the third conductive member 108.
  • In some embodiments, the third conductive member 108 includes a fifth width W5 and a sixth width W6. In some embodiments, the fifth width W5 is a shortest width of the third conductive member 108. In some embodiments, the sixth width W6 is a longest width of the third conductive member 108. In some embodiments, the fifth width W5 is substantially less than the sixth width W6. In some embodiments, the third conductive member 108 includes conductive material such as copper (Cu), aluminum (Al), cobalt (Co), titanium (Ti), tungsten (W), ruthenium (Ru) , molybdenum (Mo), iridium (Ir), rhodium (Rh) or the like.
  • In some embodiments, the second portion 107 b of the second conductive member 107 is tapered towards the first portion 107 a of the second conductive member 107. In some embodiments, the third conductive member 108 is tapered towards the second conductive member 107. In some embodiments, the third conductive member 108 includes a fifth surface 108 c interfacing with the third dielectric layer 106. In some embodiments, the fourth surface 107 is substantially parallel to the fifth surface 108 c. In some embodiments, the fourth surface 107 e of the second portion 107 b and the fifth surface 108 c of the third conductive member 108 are tilted surfaces. In some embodiments, the fourth surface 107 e and the fifth surface 108 c have substantially the same gradient.
  • In some embodiments, a third barrier layer 108 b surrounds the third conductive member 108. In some embodiments, the third barrier layer 108 b is a diffusion barrier layer. In some embodiments, the third barrier layer 108 b is configured to prevent diffusion from the third conductive member 108 to the third dielectric layer 106 and provide adhesion between the third conductive member 108 and the third dielectric layer 106. In some embodiments, the third barrier layer 108 b is disposed over the second portion 107 b of the second conductive member 107. In some embodiments, the second conductive member 107 is separated from the third conductive member 108 by the third barrier layer 108. In some embodiments, the third barrier layer 108 b is disposed between the third conductive member 108 and the third dielectric layer 106. In some embodiments, the third barrier layer 108 b is disposed between the third conductive member 108 and the second conductive member 107. In some embodiments, the third barrier layer 108 b includes tungsten (W), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta) or the like.
  • In the present disclosure, a method of manufacturing a semiconductor structure is also disclosed. In some embodiments, a semiconductor structure 100, 200 or 300 is formed by a method 500. The method 500 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations. FIG. 5 is an embodiment of the method 500 of manufacturing the semiconductor structure 100, 200 or 300. The method 500 includes a number of operations (501, 502, 503, 504, 505, 506, 507, 508, 509, 510 and 511).
  • In operation 501, a first dielectric layer 101 and a first conductive member 102 are provided as shown in FIG. 6. In some embodiments, the first conductive member 102 is surrounded by the first dielectric layer 101. In some embodiments, the first conductive member 102 is extended through the first dielectric layer 101. In some embodiments, the first conductive member 102 includes a first surface 102 a and a second surface 102 b opposite to the first surface 102 a.
  • In some embodiments, the first dielectric layer 101 is formed by spin coating, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD) or any other suitable operations. In some embodiments, the first conductive member 102 is formed by removing a portion of the first dielectric layer 101 to form a hole and disposing a conductive material into the hole. In some embodiments, the removal of the portion of the first dielectric layer 101 includes photolithography, etching or any other suitable operations. In some embodiments, the disposing of the conductive material includes sputtering, electroplating or any other suitable operations.
  • In some embodiments, a substrate is provided before the disposing of the first dielectric layer 101 and the first conductive member 102. In some embodiments, the first dielectric layer 101 and the first conductive member 102 are disposed over the substrate. In some embodiments, the substrate is configured to support components of the semiconductor structure during the method 500. The components of the semiconductor structure are formed over the substrate during the method 500.
  • In some embodiments, a first barrier layer 102 c is formed before the formation of the first conductive member 102. In some embodiments, the first barrier layer 102 c surrounds the first conductive member 102. In some embodiments, the first barrier layer 102 c is disposed conformal to the hole of the first dielectric layer 101, and then the first conductive member 102 is disposed over the first barrier layer 102 c. In some embodiments, the first barrier layer 102 c is disposed by deposition, electroless plating or any other suitable operations.
  • In some embodiments, a capping layer 102 d is formed over the first conductive member 102 and the first barrier layer 102 c. In some embodiments, the capping layer 102 d is surrounded by the first dielectric layer 101. In some embodiments, the capping layer 102 d is formed by CVD, sputtering or any other suitable operations. In some embodiments, the first dielectric layer 101, the first conductive member 102, the first barrier layer 102 c and the capping layer 102 d are in configurations as described above or shown in FIGS. 1-4.
  • In operation 502, a first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102 as shown in FIG. 7. In some embodiments, the first protective layer 103 is disposed over the capping layer 102 d. In some embodiments, the first protective layer 103 is an etch stop layer configured to stop etching operations. In some embodiments, the first protective layer 103 is formed by CVD, PECVD or any other suitable operations. In some embodiments, the first protective layer 103 is in configuration as described above or shown in FIGS. 1-4.
  • In operation 503, a second dielectric layer 104 is disposed over the first protective layer 103 as shown in FIG. 8. In some embodiments, the second dielectric layer 104 is disposed conformal to the first protective layer 103. In some embodiments, the second dielectric layer 104 is formed by spin coating, CVD, PECVD or any other suitable operations. In some embodiments, the second dielectric layer 104 is in configuration as described above or shown in FIGS. 1-4.
  • In operation 504, a second protective layer 105 is disposed over the second dielectric layer 104 as shown in FIG. 9. In some embodiments, the second protective layer 105 is disposed conformal to the second dielectric layer 104. In some embodiments, the second protective layer 105 is an etch stop layer configured to stop etching operations. In some embodiments, the second protective layer 105 is formed by CVD, PECVD or any other suitable operations. In some embodiments, the second protective layer 105 is in configuration as described above or shown in FIGS. 1-4.
  • In operation 505, a third dielectric layer 106 is disposed over the second protective layer 105 as shown in FIG. 10. In some embodiments, the third dielectric layer 106 is formed by spin coating, CVD, PECVD or any other suitable operations. In some embodiments, the third dielectric layer 106 is in configuration as described above or shown in FIGS. 1-4.
  • In some embodiments, a dielectric mask 109 is disposed over the third dielectric layer 106, and a hard mask 110 is formed over the dielectric mask 109 as shown in FIG. 11. In some embodiments, the dielectric mask 109 is configured to protect the third dielectric layer 106 from removal. In some embodiments, the dielectric mask 109 includes silicon oxide or any other suitable materials. In some embodiments, the dielectric mask 109 is formed by CVD or any other suitable operations.
  • In some embodiments, a portion of the hard mask 110 is removed to pattern the hard mask 110. In some embodiments, the hard mask 110 is patterned by disposing a first photoresist over the hard mask 110, patterning the first photoresist and removing the portion of the hard mask 110 exposed from the patterned first photoresist. In some embodiments, the first photoresist is disposed by spin coating or any other suitable operations. In some embodiments, the first photoresist is patterned by exposing a portion of the first photoresist from a photomask, projecting a predetermined electromagnetic radiation towards the photomask and the exposed portion of the first photoresist, and removing the exposed portion of the first photoresist. In some embodiments, the patterned hard mask 110 is formed as shown in FIG. 11. In some embodiments, the dielectric mask 109 covers the third dielectric layer 106 after the patterning of the hard mask 110. In some embodiments, the first photoresist is removed after the patterning of the hard mask 110. In some embodiments, the first photoresist is removed by wet etching, stripping or any other suitable operations.
  • In operation 506, a first portion 106 a of the third dielectric layer 106 is removed to form a first opening 106 b as shown in FIGS. 12-14. In some embodiments, a second photoresist 111 is disposed over the hard mask 110 and the dielectric mask 109. In some embodiments, the second photoresist 111 is disposed by spin coating or any other suitable operations. In some embodiments, the second photoresist 111 is patterned by exposing a portion of the second photoresist 111 from a photomask, projecting a predetermined electromagnetic radiation towards the photomask and the exposed portion of the second photoresist 111, and removing the exposed portion of the second photoresist 111. In some embodiments, a patterned second photoresist 111 is formed as shown in FIG. 12.
  • In some embodiments, a portion of the dielectric mask 109 is exposed from the patterned second photoresist 111. In some embodiments, the exposed portion of the dielectric mask 109 and the first portion 106 a of the third dielectric layer 106 under the exposed portion of the dielectric mask 109 are removed as shown in FIG. 13. In some embodiments, the exposed portion of the dielectric mask 109 and the first portion 106 a of the third dielectric layer 106 are removed by dry etching or any other suitable operations. In some embodiments, the first opening 106 b is formed after the removal of the first portion 106 a of the third dielectric layer 106. In some embodiments, the first opening 106 b is extended through the third dielectric layer 106.
  • In some embodiments, a first width W1 the first opening 106 b is about 10 Å to about 300 Å. In some embodiments, the first opening 106 b is not aligned with the first conductive member 102 as shown in FIG. 13. In some embodiments, the first opening 106 b is disposed above and aligned with the first conductive member 102. In some embodiments, a first portion 105 a of the second protective layer 105 is exposed after the formation of the first opening 106 b. In some embodiments, the second photoresist 111 is removed after the formation of the first opening 106 b as shown in FIG. 14. In some embodiments, the second photoresist 111 is removed by wet etching, stripping or any other suitable operations.
  • In operation 507, the first portion 105 a of the second protective layer 105 exposed by the first opening 106 b is removed as shown in FIG. 15. In some embodiments, the first portion 105 a of the second protective layer 105 is removed by wet etching or any other suitable operations. In some embodiments, a first portion 104 b of the second dielectric layer 104 is exposed from the second protective layer 105 after the removal of the first portion 105 a of the second protective layer 105. In some embodiments, a second portion 106 c of the third dielectric layer 106 is uncovered by the hard mask 110.
  • In operation 508, the second portion 106 c of the third dielectric layer 106 is removed to form a second opening 106 d as shown in FIG. 16. In some embodiments, the second portion 106 c of the third dielectric layer 106 is removed by dry etching or any other suitable operations. In some embodiments, more of the third dielectric layer 106 adjacent to the hard mask 109 is etched compared with the third dielectric layer 106 away from the hard mask 109 and close to the first dielectric layer 101. As such, the second opening 106 d has a step contour after the removal of the second portion 106 c of the third dielectric layer 106. In some embodiments, the second opening 106 d is tapered towards the first conductive member 102 and the second dielectric layer 104. In some embodiments, a second portion 105 b of the second protective layer 105 is exposed by the second opening 106 d after the removal of the second portion 106 c of the third dielectric layer 106. In some embodiments, the dielectric mask 109 uncovered by the hard mask 110 is removed before or upon the removal of the second portion 106 c of the third dielectric layer 106.
  • In operation 509, the first portion 104 b of the second dielectric layer 104 exposed from the second protective layer 105 is removed to form a third opening 104 c as shown in FIG. 16. In some embodiments, the first portion 104 b of the second dielectric layer 104 is removed by dry etching or any other suitable operations. In some embodiments, a portion 103 a of the first protective layer 103 is exposed by the third opening 104 c after removal of the first portion 104 b of the second dielectric layer 104.
  • In some embodiments, the second portion 106 c of the third dielectric layer 106 and the first portion 104 b of the second dielectric layer 104 are removed separately or simultaneously. In some embodiments, the operation 508 and the operation 509 are performed separately or simultaneously. In some embodiments, a width of the third opening 104 c is substantially the same as the first width W1 of the first opening 106 b. In some embodiments, a second width W2 of the second opening 106 d is substantially greater than the width of the third opening 104 c. In some embodiments, the second opening 106 d is tapered towards the third opening 104 c.
  • In operation 510, the portion 103 a of the first protective layer 103 exposed by the third opening 104 c and a second portion 105 b of the second protective layer 105 exposed by the second opening 106 d are removed as shown in FIG. 17. In some embodiments, the portion 103 a of the first protective layer 103 and the second portion 105 b of the second protective layer 105 are removed by wet etching or any other suitable operations. In some embodiments, the removal of the portion 103 a of the first protective layer 103 and the removal of the second portion 105 b of the second protective layer 105 are performed separately or simultaneously. In some embodiments, a portion 104 a of the second dielectric layer 104 is exposed by the second opening 106 d after the removal of the second portion 105 b of the second protective layer 105. In some embodiments, the portion 104 a of the second dielectric layer 104 is laterally protruded. In some embodiments, the first conductive member 102 or the capping layer 102 d is exposed after the removal of the portion 103 a of the first protective layer 103.
  • In operation 511, a conductive material 112 is disposed into the second opening 106 d and the third opening 104 c to form a second conductive member 107 as shown in FIGS. 18-19. In some embodiments, the conductive material 112 is disposed over the third dielectric layer 106. In some embodiments, the conductive material 112 is disposed over the dielectric mask 109 and the hard mask 110. In some embodiments, the conductive material 112 is formed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations.
  • In some embodiments, a second barrier layer 107 f is disposed conformal to the second opening 106 d and the third opening 104 c before the disposing of the conductive material 112. In some embodiments, the second barrier layer 107 f is formed, and then the conductive material 112 is disposed over the second barrier layer 107 f. In some embodiments, the second barrier layer 107 f is disposed over the third dielectric layer 106. In some embodiments, the second barrier layer 107 f is disposed over the dielectric mask 109 and the hard mask 110. In some embodiments, the second barrier layer 107 f is disposed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations.
  • In some embodiments, the second conductive member 107 is formed after planarization of the conductive material 112. In some embodiments as shown in FIG. 19, some of the conductive material 112 are removed to form the second conductive member 107. In some embodiments, some of the second barrier layer 107 f disposed over the third dielectric layer 106, some of the conductive material 112 disposed over the third dielectric layer 106, the dielectric mask 109 and the hard mask 110 are removed by chemical mechanical planarization (CMP) or any other suitable operations. In some embodiments, the second conductive member 107 is in configurations as described above or shown in FIGS. 1-3. In some embodiments, a semiconductor structure 100 described above and shown in FIG. 1 is formed. In some embodiments, a semiconductor structure 200 having the second conductive member 107 aligned with the first conductive member 102 as described above or shown in FIG. 2 is formed. In some embodiments, a semiconductor structure 300 having tapered second conductive member 107 as described above or shown in FIG. 3 is formed.
  • In the present disclosure, a method of manufacturing a semiconductor structure is also disclosed. In some embodiments, a semiconductor structure is formed by a method 600. The method 600 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations. FIG. 20 is an embodiment of the method 600 of manufacturing a semiconductor structure. The method 600 includes a number of operations (601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611 and 612).
  • In operation 601, a first dielectric layer 101 and a first conductive member 102 are provided as shown in FIG. 6. The operation 601 is substantially the same as the operation 501.
  • In operation 602, a first protective layer 103 is disposed over the first dielectric layer 101 and the first conductive member 102 as shown in FIG. 7. The operation 602 is substantially the same as the operation 502.
  • In operation 603, a second dielectric layer 104 is disposed over the first protective layer 103 as shown in FIG. 8. The operation 603 is substantially the same as the operation 503.
  • In operation 604, a second protective layer 105 is disposed over the second dielectric layer 104 as shown in FIG. 9. The operation 604 is substantially the same as the operation 504.
  • In operation 605, a third dielectric layer 106 is disposed over the second protective layer 105 as shown in FIG. 10. The operation 605 is substantially the same as the operation 505. In some embodiments, a hard mask 110 is formed over the third dielectric layer 106 as shown in FIG. 11
  • In operation 606, a first portion 106 a of the third dielectric layer 106 is removed to form a first opening 106 b as shown in FIGS. 12-14. The operation 606 is substantially the same as the operation 506.
  • In operation 607, the first portion 105 a of the second protective layer 105 exposed by the first opening 106 b is removed as shown in FIG. 15. The operation 607 is substantially the same as the operation 507.
  • In operation 608, the second portion 106 c of the third dielectric layer 106 is removed to form a second opening 106 d as shown in FIG. 16. The operation 608 is substantially the same as the operation 508.
  • In operation 609, the first portion 104 b of the second dielectric layer 104 exposed from the second protective layer 105 is removed to form a third opening 104 c as shown in FIG. 16. The operation 609 is substantially the same as the operation 509.
  • In operation 610, the portion 103 a of the first protective layer 103 exposed by the third opening 104 c and a second portion 105 b of the second protective layer 105 exposed by the second opening 106 d are removed as shown in FIG. 17.
  • In operation 611, a first conductive material is disposed to form a second conductive member 107 as shown in FIG. 21. In some embodiments, the first conductive material is disposed into the second opening 106 d and the third opening 104 c to form the second conductive member 107. In some embodiments, the first conductive material is disposed by electroless plating, CVD or any other suitable operations. In some embodiments, the first conductive material is grown within the third opening 104 c and a portion of the second opening 106 d to form the second conductive member 107. In some embodiments, the second conductive member 107 is surrounded by the first protective layer 103, the second dielectric layer 104, the second protective layer 105 and the third dielectric layer 106. In some embodiments, the first conductive material is grown to form the second conductive member 107 having a step contour.
  • In some embodiments, the second conductive member 107 includes a first portion 107 a and a second portion 107 b over the first portion 107 a. In some embodiments, the first portion 107 a is surrounded by the first protective layer 103 and the second dielectric layer 104. In some embodiments, the second portion 107 b is surrounded by the second protective layer 105 and the third dielectric layer 106. In some embodiments, the first conductive material is grown to form the second portion 107 b of the second conductive member 107 having a dome shaped contour. In some embodiments, the second portion 107 b includes a convex surface 107 g curving towards the second opening 106 d. In some embodiments, In some embodiments, the first portion 107 a includes a third surface 107 d interfacing with the first protective layer 103 and the second dielectric layer 104. In some embodiments, the second portion 107 b includes a fourth surface 107 e interfacing with the second protective layer 105 and the third dielectric layer 106. In some embodiments, the third surface 107 d is substantially parallel to the fourth surface 107 e. In some embodiments, the fourth surface 107 e is tapered towards the first conductive member 102. In some embodiments, the second conductive member 107 is in configuration as described above or shown in FIG. 4.
  • In operation 612, a second conductive material 112 is disposed to form a third conductive member 108 as shown in FIGS. 22-23. The second conductive member 107 and the third conductive member 108 are separately formed. In some embodiments, the second conductive material 112 is disposed into the second opening 106 d and over the third dielectric layer 106. In some embodiments, the second conductive material 112 is disposed over the dielectric mask 109 and the hard mask 110. In some embodiments, the second conductive material 112 is formed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations. In some embodiments, the third conductive member 108 includes a concave surface 108 a curving away from the second conductive member 107.
  • In some embodiments, a third barrier layer 108 b is disposed conformal to the convex surface 107 g of the second conductive member 107 and the second opening 106 d before the disposing of the second conductive material 112. In some embodiments, the third barrier layer 108 b is formed, and then the second conductive material 112 is disposed over the second barrier layer 107 f. In some embodiments, the third barrier layer 108 b is disposed over the third dielectric layer 106. In some embodiments, the third barrier layer 108 b is disposed over the dielectric mask 109 and the hard mask 110. In some embodiments, the third barrier layer 108 b is disposed by sputtering, electroplating, CVD, physical vapor deposition (PVD) or any other suitable operations. Since an interface between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is curved, a contacting surface between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is increased. As such, adhesion between the second conductive member 107 and the third conductive member 108 or between the second conductive member 107 and the third barrier layer 108 b is improved.
  • In some embodiments, the third conductive member 108 is formed after planarization of the second conductive material 112. In some embodiments as shown in FIG. 23, some of the second conductive material 112 are removed to form the third conductive member 108. In some embodiments, some of the third barrier layer 108 b disposed over the third dielectric layer 106, some of the second conductive material 112 disposed over the third dielectric layer 106, the dielectric mask 109 and the hard mask 110 are removed by chemical mechanical planarization (CMP) or any other suitable operations. In some embodiments, the third conductive member 108 is in configurations as described above or shown in FIG. 4. In some embodiments, a semiconductor structure 400 described above and shown in FIG. 4 is formed.
  • In some embodiments, a semiconductor structure includes a first dielectric layer; a first conductive member extended through and surrounded by the first dielectric layer; a first protective layer disposed over the first dielectric layer and the first conductive member; a second dielectric layer disposed over the first protective layer; a third dielectric layer disposed over the second dielectric layer; and a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer and the third dielectric layer, wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of the second conductive member.
  • In some embodiments, a first width of the first portion of the second conductive member is substantially less than a second width of the second portion of the second conductive member. In some embodiments, at least a portion of the second portion of the second conductive member is protruded from the first portion of the second conductive member. In some embodiments, the second portion of the second conductive member is tapered towards the first portion of the second conductive member. In some embodiments, the first portion of the second conductive member is tapered towards the first conductive member. In some embodiments, the first portion of the second conductive member is disposed above the first conductive member. In some embodiments, the first portion of the second conductive member is disposed above the first conductive member and the first dielectric layer. In some embodiments, the semiconductor structure further includes a second protective layer disposed between the second dielectric layer and the third dielectric layer, wherein the second protective layer surrounds the second portion of the second conductive member. In some embodiments, the first protective layer and the second protective layer include nitride. In some embodiments, the second conductive member includes a third portion surrounded by the third dielectric layer, disposed over and coupled with the second portion of the second conductive member. In some embodiments, a third width of the third portion of the second conductive member is substantially greater than a first width of the first portion of the second conductive member and a second width of the second portion of the second conductive member.
  • In some embodiments, a semiconductor structure includes a first dielectric layer; a first conductive member extended through and surrounded by the first dielectric layer; a first protective layer disposed over the first dielectric layer and the first conductive member; a second dielectric layer disposed over the first protective layer; a second protective layer disposed over the second dielectric layer; a third dielectric layer disposed over the second dielectric layer; a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer, the second protective layer and the third dielectric layer; and a third conductive member disposed over the second conductive member and surrounded by the third dielectric layer, wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of the second conductive member.
  • In some embodiments, a curved interface is disposed between the second portion of the second conductive member and the third conductive member. In some embodiments, the second portion of the second conductive member includes a convex surface curving towards the third conductive member. In some embodiments, the third conductive member includes a concave surface curving away from the second conductive member. In some embodiments, the second portion of the second conductive member is protruded from the first portion of the second conductive member in a length of about 3 Å to about 150 Å. In some embodiments, the semiconductor structure further includes a barrier layer surrounding the third conductive member, disposed over the second portion of the second conductive member and separating the third conductive member from the second conductive member.
  • In some embodiments, a method of manufacturing a semiconductor structure includes providing a first dielectric layer and a first conductive member surrounded by the first dielectric layer; disposing a first protective layer over the first dielectric layer and the first conductive member; disposing a second dielectric layer over the first protective layer; disposing a second protective layer over the second dielectric layer; disposing a third dielectric layer over the second protective layer; removing a first portion of the third dielectric layer to form a first opening; removing a first portion of the second protective layer exposed by the first opening; removing a second portion of the third dielectric layer to form a second opening; removing a first portion of the second dielectric layer exposed from the second protective layer to form a third opening; removing a portion of the first protective layer exposed by the third opening and a second portion of the second protective layer exposed by the second opening; and disposing a conductive material into the second opening and the third opening to form a second conductive member.
  • In some embodiments, a first width of the first opening is substantially less than a second width of the second opening, and the first width is substantially the same as a third width of the third opening. In some embodiments, a second portion of the second dielectric layer is exposed by the second opening after the removal of the second portion of the second protective layer.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

1. A semiconductor structure, comprising:
a first dielectric layer;
a first conductive member extended through and surrounded by the first dielectric layer;
a first protective layer disposed over the first dielectric layer and the first conductive member;
a second dielectric layer disposed over the first protective layer;
a third dielectric layer disposed over the second dielectric layer; and
a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer and the third dielectric layer,
wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of the second conductive member.
2. The semiconductor structure of claim 1, wherein a first width of the first portion of the second conductive member is substantially less than a second width of the second portion of the second conductive member.
3. The semiconductor structure of claim 1, wherein at least a portion of the second portion of the second conductive member is protruded from the first portion of the second conductive member.
4. The semiconductor structure of claim 1, wherein the second portion of the second conductive member is tapered towards the first portion of the second conductive member.
5. The semiconductor structure of claim 1, wherein the first portion of the second conductive member is tapered towards the first conductive member.
6. The semiconductor structure of claim 1, wherein the first portion of the second conductive member is disposed above the first conductive member.
7. The semiconductor structure of claim 1, wherein the first portion of the second conductive member is disposed above the first conductive member and the first dielectric layer.
8. The semiconductor structure of claim 1, further comprising a second protective layer disposed between the second dielectric layer and the third dielectric layer, wherein the second protective layer surrounds the second portion of the second conductive member.
9. The semiconductor structure of claim 8, wherein the first protective layer and the second protective layer include nitride.
10. The semiconductor structure of claim 1, wherein the second conductive member includes a third portion surrounded by the third dielectric layer, disposed over and coupled with the second portion of the second conductive member.
11. The semiconductor structure of claim 10, wherein a third width of the third portion of the second conductive member is substantially greater than a first width of the first portion of the second conductive member and a second width of the second portion of the second conductive member.
12. A semiconductor structure, comprising:
a first dielectric layer;
a first conductive member extended through and surrounded by the first dielectric layer;
a first protective layer disposed over the first dielectric layer and the first conductive member;
a second dielectric layer disposed over the first protective layer;
a second protective layer disposed over the second dielectric layer;
a third dielectric layer disposed over the second dielectric layer;
a second conductive member disposed over the first dielectric layer and the first conductive member, and surrounded by the first protective layer, the second dielectric layer, the second protective layer and the third dielectric layer; and
a third conductive member disposed over the second conductive member and surrounded by the third dielectric layer,
wherein the second conductive member includes a first portion and a second portion disposed over and coupled with the first portion, the first portion is extended through and surrounded by the first protective layer and the second dielectric layer, the second portion is surrounded by the third dielectric layer, at least a portion of the second dielectric layer is disposed between the first protective layer and the second portion of the second conductive member.
13. The semiconductor structure of claim 12, wherein a curved interface is disposed between the second portion of the second conductive member and the third conductive member.
14. The semiconductor structure of claim 12, wherein the second portion of the second conductive member includes a convex surface curving towards the third conductive member.
15. The semiconductor structure of claim 12, wherein the third conductive member includes a concave surface curving away from the second conductive member.
16. The semiconductor structure of claim 12, wherein the second portion of the second conductive member is protruded from the first portion of the second conductive member in a length of about 3 Å to about 150 Å.
17. The semiconductor structure of claim 12, further comprising a barrier layer surrounding the third conductive member, disposed over the second portion of the second conductive member and separating the third conductive member from the second conductive member.
18. A method of manufacturing a semiconductor structure, comprising:
providing a first dielectric layer and a first conductive member surrounded by the first dielectric layer;
disposing a first protective layer over the first dielectric layer and the first conductive member;
disposing a second dielectric layer over the first protective layer;
disposing a second protective layer over the second dielectric layer;
disposing a third dielectric layer over the second protective layer;
removing a first portion of the third dielectric layer to form a first opening;
removing a first portion of the second protective layer exposed by the first opening;
removing a second portion of the third dielectric layer to form a second opening;
removing a first portion of the second dielectric layer exposed from the second protective layer to form a third opening;
removing a portion of the first protective layer exposed by the third opening and a second portion of the second protective layer exposed by the second opening; and
disposing a conductive material into the second opening and the third opening to form a second conductive member.
19. The method of claim 18, wherein a first width of the first opening is substantially less than a second width of the second opening, and the first width is substantially the same as a third width of the third opening.
20. The method of claim 18, wherein a second portion of the second dielectric layer is exposed by the second opening after the removal of the second portion of the second protective layer.
US16/254,007 2018-07-27 2019-01-22 Semiconductor structure and manufacturing method thereof Abandoned US20200035612A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/254,007 US20200035612A1 (en) 2018-07-27 2019-01-22 Semiconductor structure and manufacturing method thereof
US18/059,439 US20230088037A1 (en) 2018-07-27 2022-11-29 Semiconductor structure and manufacturing method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862711098P 2018-07-27 2018-07-27
US16/254,007 US20200035612A1 (en) 2018-07-27 2019-01-22 Semiconductor structure and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/059,439 Division US20230088037A1 (en) 2018-07-27 2022-11-29 Semiconductor structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
US20200035612A1 true US20200035612A1 (en) 2020-01-30

Family

ID=69177478

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/254,007 Abandoned US20200035612A1 (en) 2018-07-27 2019-01-22 Semiconductor structure and manufacturing method thereof
US18/059,439 Pending US20230088037A1 (en) 2018-07-27 2022-11-29 Semiconductor structure and manufacturing method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/059,439 Pending US20230088037A1 (en) 2018-07-27 2022-11-29 Semiconductor structure and manufacturing method thereof

Country Status (1)

Country Link
US (2) US20200035612A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230029763A1 (en) * 2021-07-30 2023-02-02 Cree, Inc. Interconnect metal openings through dielectric films

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050170642A1 (en) * 2004-01-29 2005-08-04 Hineman Max F. Methods for improving metal-to-metal contact in a via, devices made according to the methods, and systems including the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613283B1 (en) * 2004-12-27 2006-08-21 동부일렉트로닉스 주식회사 Method of forming interconnection line for semiconductor device
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US10867905B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10381263B1 (en) * 2018-05-04 2019-08-13 International Business Machines Corporation Method of forming via contact with resistance control
US10580696B1 (en) * 2018-08-21 2020-03-03 Globalfoundries Inc. Interconnects formed by a metal displacement reaction
US10804199B2 (en) * 2018-09-25 2020-10-13 Globalfoundries Inc. Self-aligned chamferless interconnect structures of semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050170642A1 (en) * 2004-01-29 2005-08-04 Hineman Max F. Methods for improving metal-to-metal contact in a via, devices made according to the methods, and systems including the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230029763A1 (en) * 2021-07-30 2023-02-02 Cree, Inc. Interconnect metal openings through dielectric films

Also Published As

Publication number Publication date
US20230088037A1 (en) 2023-03-23

Similar Documents

Publication Publication Date Title
US9305877B1 (en) 3D package with through substrate vias
US6518092B2 (en) Semiconductor device and method for manufacturing
US11664306B2 (en) Semiconductor structure and manufacturing method thereof
US11855007B2 (en) Semiconductor structure
US11929258B2 (en) Via connection to a partially filled trench
TW202038383A (en) Semiconductor device and method of forming same
US20190341377A1 (en) Hollow Metal Pillar Packaging Scheme
CN107134413B (en) Semiconductor device and method of manufacture
US20230088037A1 (en) Semiconductor structure and manufacturing method thereof
KR101844635B1 (en) Semiconductor structure and manufacturing method thereof
US20220367347A1 (en) Chip structure with conductive via structure
US20140217605A1 (en) Interconnection structure for package and fabrication method thereof
US20230253356A1 (en) Chip structure with conductive pillar and method for forming the same
US20220367356A1 (en) Semiconductor structure and manufacturing method thereof
KR20020020865A (en) Method for producing electrode and semiconductor device
US20150115436A1 (en) Semiconductor device and method of manufacturing the same
US11367684B2 (en) Recessed metal interconnects to mitigate EPE-related via shorting
US11916019B2 (en) Method for fabricating semiconductor device with programmable unit
US20240153897A1 (en) Semiconductor device with advanced pad structure and method for forming same
US20200341192A1 (en) Waveguide structure and manufacturing method of the same
US9887132B2 (en) Method for forming semiconductor structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HO, POKUAN;WU, CHIA-TIEN;CHUANG, CHENG-CHI;REEL/FRAME:048093/0305

Effective date: 20190104

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION