US20190371667A1 - Method for Dicing Die Attach Film - Google Patents

Method for Dicing Die Attach Film Download PDF

Info

Publication number
US20190371667A1
US20190371667A1 US16/358,163 US201916358163A US2019371667A1 US 20190371667 A1 US20190371667 A1 US 20190371667A1 US 201916358163 A US201916358163 A US 201916358163A US 2019371667 A1 US2019371667 A1 US 2019371667A1
Authority
US
United States
Prior art keywords
substrate
composite film
plasma
component
work piece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/358,163
Inventor
Russell Westerman
Marco Notarianni
Leslie Michael Lea
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Plasma Therm LLC
Original Assignee
Plasma Therm LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Plasma Therm LLC filed Critical Plasma Therm LLC
Priority to US16/358,163 priority Critical patent/US20190371667A1/en
Assigned to PLASMA-THERM, LLC reassignment PLASMA-THERM, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEA, LESLIE, NOTARIANNI, MARCO, WESTERMAN, RUSSELL
Priority to EP19722716.8A priority patent/EP3803964B1/en
Priority to KR1020207037722A priority patent/KR102632893B1/en
Priority to JP2020567572A priority patent/JP7324781B2/en
Priority to PCT/US2019/024380 priority patent/WO2019236176A1/en
Priority to CN201980036984.XA priority patent/CN112534567B/en
Publication of US20190371667A1 publication Critical patent/US20190371667A1/en
Priority to US18/136,708 priority patent/US20230253252A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding

Definitions

  • the invention relates to the use of an apparatus for the formation of individual device chips from a semi-conductor wafer and a die attach film.
  • Semi-conductor devices are fabricated on substrates which are in the form of thin wafers. Silicon is commonly used as the substrate material, but other materials, such as III-V compounds (for example GaAs and InP) are also used.
  • the substrate can be a sapphire or silicon carbide wafer on which a thin layer of a semi-conducting material is deposited.
  • the diameter of such substrates can range from less than 2 inches up to 200 mm, 300 mm, and 450 mm and many standards exist (e.g., SEMI) to describe such substrate sizes.
  • Plasma etching equipment is used extensively in the processing of these substrates to produce semi-conductor devices.
  • Such equipment typically includes a vacuum chamber fitted with a high density plasma source such as Inductively Coupled Plasma (ICP) which is used to ensure high etch rates, necessary for cost-effective manufacturing.
  • ICP Inductively Coupled Plasma
  • the substrate is typically clamped to a temperature controlled support.
  • a pressurized fluid typically a gas such as Helium is maintained between the substrate and the support to provide a thermal conductance path for heat transfer.
  • a mechanical clamping mechanism in which a downward force is applied to the top side of the substrate, may be used, though this may cause contamination due to the contact between the clamp and the substrate.
  • Work piece bowing may also occur when using a mechanical clamp, since contact is typically made at the edge of the work piece and a pressurized fluid exerts a force on the back of the work piece. More frequently an electrostatic chuck (ESC) is used to provide the clamping force.
  • ESC electrostatic chuck
  • halogen e.g., Fluorine, Chlorine, Bromine, Iodine, etc.
  • halogen-containing gas e.g., Fluorine, Chlorine, Bromine, Iodine, etc.
  • additional gases added to improve the quality of the etch (for example, etch anisotropy, mask selectivity and etch uniformity).
  • Fluorine containing gases such as SF 6 , F 2 or NF 3 are used to etch silicon at a high rate.
  • a process Bosch or TDM which alternates a high rate silicon etch step with a passivation step to control the etch sidewall, is commonly used to etch deep features into silicon.
  • Chlorine and Bromine containing gases are commonly used to etch III-V materials.
  • Plasma etching is not limited to semiconducting substrates and devices. The technique may be applied to any substrate type where a suitable gas chemistry to etch the substrate is available.
  • Other substrate types may include carbon containing substrates (including polymeric substrates), ceramic substrates (e.g., AlTiC and sapphire), metal substrates, and glass substrates. Oxygen, nitrogen, halogen (e.g., fluorine, chlorine, etc.), and/or hydrogen-containing chemistries can be used to etch polymeric materials.
  • Handlers are typically designed to support the wafers with minimal contact, to minimize possible contamination and reduce the generation of particulates. Edge contact alone, or underside contact close to the wafer edge at only a few locations (typically within 3-6 mm of the wafer edge) is often employed. Handling schemes, which include wafer cassettes, robotic arms and within process chamber fixtures including the wafer support and ESC, are designed to handle the standard wafer sizes as noted previously.
  • the individual devices are typically separated from each other prior to packaging or being employed in other electronic circuitry.
  • mechanical means have been used to separate the die from each other. Such mechanical means have included breaking the wafer along scribe lines aligned with the substrate crystal axis or by using a high-speed diamond saw to saw into or through the substrate in a region (streets) between the die. More recently, lasers have also been used to facilitate the scribing and dicing process.
  • Such mechanical wafer dicing techniques have limitations which affect the cost effectiveness of this approach. Chipping and breakage along the die edges can reduce the number of good die produced, and the process becomes more problematic as wafer thicknesses decrease.
  • the area consumed by the saw bade (kerf) may be greater than 100 microns which is valuable area not use able for die production.
  • kerf saw bade
  • For wafers containing small die e.g., individual semiconductor devices with a die size of 500 microns by 500 microns
  • this can represent a loss of greater than 20%.
  • the dicing time is increased, and productivity decreased, since each street is typically cut consecutively.
  • Mechanical means are also limited to separation along straight lines and the production of square or oblong shaped chips.
  • Laser dicing also has limitations by leaving residual material on the die surface or inducing stress into the die.
  • the substrate can be masked with a suitable mask material, leaving open areas between the die.
  • the masked substrate can be then processed using a reactive-gas plasma which etches the substrate material exposed between the die.
  • the plasma etching of the substrate may proceed partially or completely through the substrate.
  • the die can be separated by a subsequent cleaving step, leaving the individual die separated.
  • the technique offers a number of benefits over mechanical dicing:
  • the kerf dimensions can be reduced to well below 20 microns
  • Die topology is not limited to a rectilinear format.
  • the substrate may be thinned by mechanical grinding or similar process down to a thickness of a few hundred microns, or even less than a hundred microns.
  • the substrate Prior to the dicing process, the substrate is typically mounted on a dicing fixture.
  • This fixture is typically comprised of a rigid frame that supports an adhesive support film. The substrate to be diced is adhered to the support film.
  • This fixture holds the separated die for subsequent downstream operations.
  • Most tools used for wafer dicing saws or laser based tools
  • current automated plasma etching equipment is not suitable for processing substrates fixtured for dicing and it is difficult to realize the benefits that plasma etch techniques should have for die separation.
  • U.S. Pat. No. 6,642,127 describes a plasma dicing technique in which the substrate wafer is first attached to a carrier wafer via an adhesive material, before plasma processing in equipment designed for processing silicon wafers.
  • This technique proposes adapting the form factor of the substrate to be diced to be compatible with standard wafer processing equipment. While this technique allows the use of standard plasma equipment to dice the wafer, the proposed technique will not be compatible with standard equipment downstream of the dicing operation. Additional steps would be required to either adapt the downstream equipment or revert the substrate form factor for standard downstream equipment.
  • U.S. Pat. Appl. No. 2010/0048001 contemplates the use of a wafer adhered to a thin membrane and supported within a frame.
  • the masking process is achieved by adhering a mask material to the backside of the wafer and using a laser to define the etch streets prior to plasma processing.
  • this technique introduces additional complex and expensive steps which may negate some of the advantages of plasma dicing. It also requires the additional demand of aligning the backside mask with the front side device pattern.
  • a plasma etching apparatus which can be used for dicing a semiconductor substrate into individual die and which is compatible with the established wafer dicing technique of handling a substrate mounted on support film and supported in a frame, and which is also compatible with standard front side masking techniques.
  • Another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; plasma etching a first component of the exposed portion of the composite film; and removing a second component of the composite film by applying a force to the composite film.
  • Yet another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; generating a plasma at a pressure greater than 50 Torr; plasma etching a first component of the exposed portion of the composite film using the generated plasma; and removing a second component of the composite film by applying a force to the composite film.
  • Still yet another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; generating a plasma at a pressure greater than 50 Torr; plasma etching a first component of the exposed portion of the composite film using the generated plasma; and removing a second component of the composite film by applying a fluid jet to the composite film.
  • the present invention describes a plasma processing apparatus which allows for plasma dicing of a semiconductor substrate.
  • the front side (circuit side) of the substrate can be masked using conventional masking techniques which protect the circuit components and leaves unprotected areas between the die.
  • the substrate is mounted on a thin support film which is supported within a rigid frame.
  • the substrate/support film/frame assembly is transferred into a vacuum processing chamber and exposed to reactive gas plasma where the unprotected areas between the die are etched away. During this process, the frame and support film are protected from damage by the reactive gas plasma.
  • the processing can leave the die completely separated.
  • the substrate/support film/frame assembly can be additionally exposed to plasma which removes potentially damaging residues from the substrate surface.
  • the die are removed from the support film using well known techniques and are then further processed (e.g., packaged) as necessary.
  • Another feature of the present invention is to provide a method for dicing a substrate on a composite film.
  • the method comprising providing a work piece having a support film, a frame and a substrate.
  • the substrate has a top surface and a bottom surface.
  • the top surface of the substrate has at least one die region and at least one street region.
  • the composite film is adhered to the substrate and to the support film.
  • Substrate material is removed from the at least one street region to expose a portion of the composite film.
  • a first component of the composite film is plasma etched.
  • a second component of the exposed portion of the composite film is removed by applying a force to the composite film.
  • the applied force can further comprise a fluid jet.
  • a plasma can be generated at a pressure greater than 50 Torr.
  • the removal of the substrate material can further comprise a substrate etch process.
  • the composite film can contain matrix-based materials.
  • the first component can be a matrix component.
  • the first component can contain a polymer.
  • the second component can be a filler component.
  • the plasma etch process can be at least partially isotropic.
  • the plasma etch process can be isotropic.
  • the etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber.
  • the substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs.
  • the substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate.
  • the substrate can be placed in a process chamber on a work piece support.
  • a plasma source can be in communication with the process chamber.
  • the plasma source can be a high density plasma source.
  • An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support.
  • a thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece.
  • the pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet.
  • a vacuum compatible transfer module can be provided that communicates with the process chamber.
  • the work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • Yet another feature of the present invention is to provide a method for dicing a substrate on a composite film.
  • the method comprising providing a work piece having a support film, a frame and a substrate.
  • the substrate has a top surface and a bottom surface.
  • the top surface of the substrate has at least one die region and at least one street region.
  • the composite film is adhered to the substrate and to the support film.
  • Substrate material is removed from the at least one street region to expose a portion of the composite film.
  • a plasma is generated at a pressure greater than 50 Torr.
  • a first component of the composite film is plasma etched using the generated plasma.
  • a second component of the exposed portion of the composite film is removed by applying a force to the composite film.
  • the applied force can further comprise a fluid jet.
  • the removal of the substrate material can further comprise a substrate etch process.
  • the composite film can contain matrix-based materials.
  • the first component can be a matrix component.
  • the first component can contain a polymer.
  • the second component can be a filler component.
  • the plasma etch process can be at least partially isotropic.
  • the plasma etch process can be isotropic.
  • the etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber.
  • the substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs.
  • the substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate.
  • the substrate can be placed in a process chamber on a work piece support.
  • a plasma source can be in communication with the process chamber.
  • the plasma source can be a high density plasma source.
  • An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support.
  • a thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece.
  • the pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet.
  • a vacuum compatible transfer module can be provided that communicates with the process chamber.
  • the work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • Still yet another feature of the present invention is to provide a method for dicing a substrate on a composite film.
  • the method comprising providing a work piece having a support film, a frame and a substrate.
  • the substrate has a top surface and a bottom surface.
  • the top surface of the substrate has at least one die region and at least one street region.
  • the composite film is adhered to the substrate and to the support film.
  • Substrate material is removed from the at least one street region to expose a portion of the composite film.
  • a plasma is generated at a pressure greater than 50 Torr.
  • a first component of the composite film is plasma etched using the generated plasma.
  • a second component of the exposed portion of the composite film is removed by applying a fluid jet to the composite film.
  • the removal of the substrate material can further comprise a substrate etch process.
  • the composite film can contain matrix-based materials.
  • the first component can be a matrix component.
  • the first component can contain a polymer.
  • the second component can be a filler component.
  • the plasma etch process can be at least partially isotropic.
  • the plasma etch process can be isotropic.
  • the etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber.
  • the substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs.
  • the substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate.
  • the substrate can be placed in a process chamber on a work piece support.
  • a plasma source can be in communication with the process chamber.
  • the plasma source can be a high density plasma source.
  • An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support.
  • a thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece.
  • the pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet.
  • a vacuum compatible transfer module can be provided that communicates with the process chamber.
  • the work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • FIG. 1 is a top down view of a semiconductor substrate illustrating individual devices separated by streets;
  • FIG. 2 is a cross-sectional view of a semiconductor substrate illustrating individual devices separated by streets;
  • FIG. 3 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame;
  • FIG. 4 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame being etched by a process
  • FIG. 5 is a cross-sectional view of separated semiconductor devices mounted to support film and a frame
  • FIG. 6 is a cross-sectional view of a vacuum processing chamber
  • FIG. 7 is a cross-sectional of a wafer/frame in process position
  • FIG. 8 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame supported by a transfer arm;
  • FIG. 9 is a cross-sectional view of a wafer/frame in a transfer position
  • FIG. 10 is a schematic view of a work piece according to one embodiment of the present invention.
  • FIG. 11A is a schematic view of a work piece where substrate material has been removed in a street region
  • FIG. 11B is a schematic view of a point in the dicing process flow where the composite film has been at least partially removed in the street regions;
  • FIG. 12 is a flow chart of a portion of an improved substrate dicing sequence according to one embodiment of the present invention.
  • FIG. 13A is a schematic view of a portion of a work piece after substrate material in a street region has been removed;
  • FIG. 13B is a schematic view of a portion of a work piece after a first component of a composite film has been partially removed;
  • FIG. 13C is a schematic view of a force being applied to a portion of a work piece to assist in the removal of a second component of a composite film.
  • FIG. 13D is a schematic view of a portion of a work piece where a composite film has been removed.
  • FIG. 1 A typical semiconductor substrate after device fabrication is illustrated in FIG. 1 .
  • the substrate ( 100 ) has on its surface a number of areas containing device structures ( 110 ) separated by street areas ( 120 ) which allows for separation of the device structures into individual die.
  • silicon is commonly used as a substrate material, other materials chosen for their particular characteristics are frequently employed.
  • substrate materials include Gallium Arsenide and other III-V materials or non-semiconductor substrates on which a semi-conducting material has been deposited (e.g., a polymeric substrate with a thin film semiconductor device fabricated on the polymer).
  • Further substrate types may also include Silicon-On-Insulator (SOI) wafers and semiconductor wafers mounted on carriers. While the example above describes die separated by streets, aspects of the invention may be beneficially applied to other pattern configurations on a substrate.
  • SOI Silicon-On-Insulator
  • the device structures ( 110 ) are then covered with a protective material ( 200 ) while the street areas ( 120 ) remain unprotected.
  • This protective material ( 200 ) can be a photoresist, applied and patterned by well-known techniques.
  • Some devices, as a final process step are coated with a protective dielectric layer such as silicon dioxide or PSG which can be applied across the whole substrate. This can be selectively removed from the street areas ( 120 ) by patterning with photoresist and etching the dielectric material, as is well known in the industry. This leaves the device structures ( 110 ) protected by the dielectric material and the substrate ( 100 ) substantially unprotected in the street areas ( 120 ).
  • test features to check the wafer quality may be located in the street areas ( 120 ). Depending on the specific wafer fabrication process flow, these test features may or may not be protected during the wafer dicing process.
  • the device pattern illustrated shows oblong die, this is not necessary, and the individual device structures ( 110 ) may be any other shape, such as hexagons, as best suits the optimum utilization of the substrate ( 100 ).
  • the protective film can consist of multiple materials. It is also important to note that some portion of the protective film may be an integral part of the final device structure.
  • the present invention can also be beneficially used with wafers without the necessity of having devices or device structures.
  • One such example may be a semiconductor substrate (Silicon, III-V compounds, etc.), mounted on a carrier or not mounted, covered by a masking material defining the structures to be etched.
  • the substrate may also contain at least one additional layer with different material properties, such as for example an insulating layer.
  • the substrate ( 100 ) may be thinned, typically by a grinding process, which reduces the substrate thickness to a thickness in the range of a few hundred microns to approximately thirty microns or less.
  • the substrate ( 100 ) is adhered to a support film ( 300 ) which in turn is mounted in a rigid frame ( 310 ) to form a work piece ( 320 ).
  • the frame is typically metal or plastic, though other frame materials are possible.
  • the support film ( 300 ) is typically made from a carbon-containing polymer material, and additionally may be electrically conductive (e.g., have a thin conductive layer applied to its surface).
  • the support film ( 300 ) provides support for the substrate ( 100 ) which may otherwise be too fragile to handle without breakage.
  • the sequence of patterning, thinning and then mounting is not critical and the steps may be adjusted to best fit the particular devices and substrate and the processing equipment used. It is important to note that while the previous example considers a work piece ( 320 ) that is comprised of mounting a substrate ( 100 ) on an adhesive support film ( 300 ) which in turn is attached to a frame ( 310 ), that the invention is not limited by the configuration of the wafer and carrier.
  • the wafer carrier can be comprised of a variety of materials. The carrier supports the substrate during the plasma dicing process.
  • the wafer need not be attached to the carrier using an adhesive—any method that holds the wafer to the carrier and allows a means of thermal communication of the substrate to the cathode is sufficient (e.g., an electrostatically clamped carrier, a carrier with a mechanical clamping mechanism, etc.).
  • the work piece ( 320 ) can be transferred into a vacuum processing chamber.
  • the transfer module can also be under vacuum which allows the process chamber to remain at vacuum during transfer, reducing processing time and preventing exposure of the process chamber to atmosphere and possible contamination. As shown in FIG.
  • the vacuum processing chamber ( 600 ) is equipped with a gas inlet ( 610 ), a high density plasma source ( 620 ) to generate a high density plasma, such as an Inductively Coupled Plasma (ICP), a work piece support ( 630 ) to support the work piece ( 320 ), an RF power source ( 640 ) to couple RF power to the work piece ( 320 ) through the work piece support ( 630 ) and a vacuum pump ( 650 ) for pumping gas from the processing chamber ( 600 ).
  • ICP Inductively Coupled Plasma
  • a work piece support ( 630 ) to support the work piece ( 320 )
  • an RF power source ( 640 ) to couple RF power to the work piece ( 320 ) through the work piece support ( 630 )
  • a vacuum pump ( 650 ) for pumping gas from the processing chamber ( 600 ).
  • the unprotected areas ( 120 ) of substrate ( 100 ) can be etched away using a reactive plasma etch process ( 400
  • the unprotected areas ( 120 ) of the substrate ( 100 ) are partially etched away using a reactive plasma etch process ( 400 ).
  • a downstream operation such as a mechanical breaking operation, can be used to complete the die separation.
  • the frame ( 310 ) can be protected from exposure to the plasma ( 400 ). Exposure to the plasma ( 400 ) may cause heating of the frame ( 310 ) which in turn may cause local heating of the support film ( 300 ). For commonly used dicing tapes, at temperatures above approximately 100° C. (greater than approximately 200° C. for specialty tapes), the physical properties of the support film ( 300 ) and its adhesive capability may deteriorate and it will no longer adhere to the frame ( 310 ). Additionally, exposure of the frame ( 310 ) to the reactive plasma gas may cause degradation of the frame ( 310 ).
  • a protective cover ring ( 660 ) is positioned above the frame ( 310 ). In one embodiment, the cover ring ( 660 ) does not touch the frame ( 310 ) since contact with the frame ( 310 ) (which would occur during transfer into the process chamber ( 600 )) may generate undesirable particles.
  • the work piece (e.g., substrate/tape/frame assembly) ( 320 ) is transferred both into and out of the process chamber ( 600 ) by a transfer arm ( 1100 ) that supports the frame ( 310 ) and substrate ( 100 ) (see FIG. 8 for example).
  • the transfer arm ( 1100 ) may support both the support film ( 300 ) and the frame ( 310 ) or the frame ( 310 ) alone, but it is important that the assembly ( 320 ) not be supported beneath the substrate ( 100 ) area alone because of the fragile nature of thinned substrates ( 100 ).
  • the transfer arm ( 1100 ) has an alignment fixture ( 1110 ) attached to it that aligns the frame ( 310 ) in a repeatable position before being transferred into the process chamber ( 600 ).
  • the frame ( 310 ) can also be aligned by other techniques well-known in semiconductor processing (e.g., optical alignment). The alignment can also be performed on the substrate ( 100 ) by such well-known techniques. It is important that the work piece (e.g., substrate/tape/frame assembly) ( 320 ) be aligned before placement within the process chamber ( 600 ) to avoid miss-processing as explained below.
  • the work piece e.g., substrate/tape/frame assembly
  • the work piece e.g., substrate/tape/frame assembly
  • the lifting mechanism ( 680 ) can touch the frame ( 310 ) area and provides no point contact to portions of the work piece overlapped by the substrate ( 100 ).
  • FIG. 9 shows the lifting mechanism ( 680 ) lifting the frame ( 310 ) from the underside; however, the frame ( 310 ) can also be removed from the transfer arm ( 1100 ) by contact with the top surface, bottom surface, outer diameter of the frame ( 310 ) or any combination of these using a clamping device.
  • the frame ( 310 ), the work piece support ( 630 ), and the cover ring ( 660 ) can move relative to each other. This can be accomplished by moving the cover ring ( 660 ), the work piece support ( 630 ), or the lifting mechanism ( 680 ) or any combination of the three.
  • the cover ring ( 660 ) will minimize the heat transfer to areas of the support film ( 300 ) and the frame ( 310 ), but the substrate ( 100 ) must be exposed to the plasma ( 400 ) for processing.
  • a perforated mechanical partition ( 690 ) may be interposed between the plasma source ( 620 ) and the work piece support ( 630 ).
  • the mechanical partition ( 690 ) can be electrically conductive (e.g., made from metal or metal coated).
  • the mechanical partition ( 690 ) can be made of Aluminum.
  • the mechanical partition ( 690 ) can help reduce the ion density as well as the plasma emission intensity reaching the work piece, while allowing a high level of neutral species to reach the work piece.
  • the present invention offers control over the ion density and plasma emission intensity reaching the work piece.
  • the ion density and plasma emission intensity from the plasma source ( 620 ) reaching the work piece be attenuated in the range of 10% to greater than 99% by the mechanical partition.
  • the attenuation by the mechanical partition can be greater than 10%.
  • the attenuation by the mechanical partition can be greater than 30%.
  • the attenuation by the mechanical partition can be greater than 50%.
  • the attenuation by the mechanical partition is greater than 90%.
  • the mechanical partitions ( 690 ) can be the same size and shape, or can be different sizes and/or shapes.
  • the multiple mechanical partitions ( 690 ) may be configured in the same plane or different planes (e.g., overlapped or stacked partitions).
  • the multiple mechanical partitions ( 690 ) may have perforation shapes, sizes and patterns that are identical or different from one another.
  • At least two partitions may be rotated with respect to each other.
  • At least two partitions can be connected electrically to each other.
  • At least two partitions can be electrically isolated from each other.
  • At least two partitions can be thermally isolated from each other.
  • At least two partitions can be in thermal communication with each other.
  • the substrate can be processed using techniques well known in the semiconductor industry. Silicon substrates are generally processed using a Fluorine based chemistry, such as SF 6 . SF 6 /O 2 chemistry is commonly used to etch Silicon because of its high rate and anisotropic profile. A disadvantage of this chemistry is its relatively low selectivity to masking material for example to photoresist which is 15-20:1. Alternatively, a Timed Division Multiplex (TDM) process can be used which alternates between deposition and etching to produce highly anisotropic deep profiles.
  • TDM Timed Division Multiplex
  • an alternating process to etch Silicon uses a C 4 F 8 process gas step to deposit polymer on all exposed surfaces of the Silicon substrate (i.e., mask surface, etch sidewalls and etch floor) and then an SF 6 process gas step is used to selectively remove the polymer from the etch floor and then isotropically etch a small amount of silicon.
  • the steps can repeat multiple times until terminated.
  • Such a TDM process can produce anisotropic features deep into Silicon with selectivities to the masking layer of greater than 200:1. This then makes a TDM process the desired approach for plasma separation of Silicon die.
  • the invention is not limited to the use of fluorine containing chemistries or a time division multiplex (TDM) process.
  • silicon substrates may also be etched with Cl, HBr or I-containing chemistries as is known in the art.
  • III-V substrates such as GaAs
  • a Chlorine based chemistry is extensively used in the semiconductor industry.
  • thinned GaAs substrates are mounted with the device side down onto a carrier, where they are then thinned and patterned with photoresist.
  • the GaAs is etched away to expose electrical contacts to the front side circuitry.
  • This well-known process can also be used to separate the devices by the front side processing described in the above mentioned invention.
  • Other semiconductor substrates and appropriate plasma processes can also be used for the separation of die in the above mentioned invention.
  • the substrate ( 100 ) can have some features on the surface to be etched or alternatively the surface to be etched may be featureless (e.g., thinning the bulk substrate).
  • Plasma dicing can efficiently singulate a wide range of devices. Some die structures however contain at least one composite layer that can be difficult to plasma etch without harming (e.g., damaging) the device.
  • An example of such a structure would be a silicon device to be singulated that contains a die attach film (DAF). Die attach films are adhesive layers that can be used to bond chips to one another (e.g., stacked die). The die can be singulated prior to the bonding operation. During integrated circuit device fabrication, DAF can be used to create multi-chip stacked packages.
  • DAF die attach film
  • a die attach film can consist of a polymeric matrix (e.g., epoxy resins, etc.) with embedded filler materials (e.g., SiO 2 particles, etc.). Both materials in this example (epoxy and SiO 2 ) are capable of being plasma etched.
  • the polymer matrix can be etched in an oxygen-containing plasma.
  • a silicon dioxide (SiO 2 ) component can also be plasma etched, though due to the strength of the silicon oxygen bond, significant ion energy or higher wafer temperatures are often required to get commercially viable SiO 2 plasma etch rate.
  • FIG. 10 shows an example of a work piece ( 2800 ).
  • the work piece ( 2800 ) is similar to work piece ( 320 ) with the addition of at least one composite layer ( 2810 ) to be singulated.
  • the work piece can contain a substrate ( 100 ) that contains at least one device structure ( 110 ) and at least one street region ( 120 ).
  • the device structure ( 110 ) can be at least partially covered by a protective film ( 200 ).
  • the composite layer ( 2810 ) can be composed of more than one component.
  • the components of the composite film can differ from one another by chemical property (e.g., composition) or physical property (e.g., material phase, material structure, etc.) or both.
  • the composite layer ( 2810 ) can be less than 100 microns thick.
  • the composite layer ( 2810 ) can be less than 50 microns thick.
  • the composite layer ( 2810 ) can be less than 25 microns thick
  • a composite material can contain carbon (e.g., polymeric materials, graphite, SiC, etc.).
  • a composite material can contain silicon (e.g., Si, SiO 2 , SiC, SiN, etc.).
  • a composite material can contain a metal.
  • a composite layer can be in contact with the substrate ( 100 ).
  • a composite layer can be in contact with the support film ( 300 ).
  • a composite material can be in contact with both the substrate ( 100 ) and the support film ( 300 ).
  • a composite film can be adhesively attached to the substrate ( 100 ).
  • the composite layer ( 2810 ) can be adhesively attached to both the substrate ( 100 ) and the support film ( 300 ).
  • a composite layer can be a die attach film (DAF).
  • the composite film can be a DAF that contains a filler material.
  • the DAF filler material can contain Si.
  • the DAF filler material can be SiO 2 .
  • the composite layer can contain a material that exhibits an ion-assisted plasma etch mechanism in a plasma.
  • a composite material can contain a matrix component.
  • the matrix component can contain a metal.
  • the matrix component can contain carbon (e.g., polymer, etc.).
  • the matrix component can be a polymeric matrix.
  • the polymer matrix can be a thermoset.
  • the polymer matrix can be a thermoplastic.
  • the polymeric matrix can contain any of the following resins: epoxy, polyimide, polyamide, polyester, etc.
  • the matrix can contain more than one component (e.g., resin, copolymers, blended polymers, etc.).
  • the matrix component can be carbon.
  • the matrix component can encapsulate a filler component.
  • the composite material can contain a composite reinforcement (e.g., filler, etc.).
  • the reinforcement material can comprise greater than 5% of the composite material.
  • the reinforcement material can comprise greater than 25% of the composite material.
  • the reinforcement material can comprise greater than 50% of the composite material.
  • the reinforcement material can comprise greater than 75% of the composite material.
  • the reinforcement material can comprise greater than 90% of the composite material.
  • the reinforcement material can be in discrete domains within the composite material (e.g., filler particles).
  • the composite reinforcement can contain a wide range of materials including carbon-containing materials, silicon-containing materials, metal-containing materials, ceramic, etc.
  • the composite reinforcement can contain silicon dioxide (SiO 2 ).
  • the composite reinforcement can have isotropic or anisotropic composition.
  • the composite material can be a fiber reinforced composite.
  • a fiber reinforced composite can contain long fibers, short fibers, or a combination of both.
  • the composite material can be a flake reinforced composite.
  • the composite material can be a particle reinforced composite.
  • the particle reinforced composite can contain spherically shaped particles.
  • the particles can be solid, hollow, or a combination of both.
  • the composite material can be a laminar reinforced composite.
  • FIGS. 11A and 11B show the work piece ( 2800 ) at various stages in a singulation process.
  • FIG. 11A shows a work piece ( 2800 ) where substrate material ( 100 ) has been removed in a street region ( 120 ).
  • a substrate etch process can be used to remove substrate material from at least one street area ( 120 ).
  • the substrate removal process can remove substrate material ( 100 ) from substantially all street regions ( 120 ).
  • the substrate removal process can remove all substrate material from at least one street region.
  • the substrate removal process can remove substantially all substrate material from substantially all street regions.
  • the work piece temperature is typically held below a maximum value that might damage the support film tape ( 300 ).
  • Many support films e.g., dicing tapes
  • Some specialty support films can be compatible to 200° C. and greater.
  • the substrate etch process can be a vacuum process.
  • the substrate etch process can be a plasma etch process.
  • the plasma etch process can be a cyclical process (e.g., Bosch process, deep reactive ion etch (DRIE) process, time division multiplex (TDM) process, etc.).
  • the substrate etch process can be at least partially anisotropic.
  • the substrate etch process can be completely anisotropic.
  • the substrate etch process can expose at least a portion of a composite film ( 120 ) overlapped by a street region ( 120 ).
  • the substrate etch process can expose all of the composite layer overlapped by a street region ( 120 ).
  • the substrate etch process can be designed to remove a portion of substrate material overlapped by the protective material ( 200 ) (e.g., the substrate etch feature profile can be re-entrant.
  • the width of the substrate etch feature e.g., dicing street in the substrate
  • the width of the substrate etch feature can be different from the street dimension of the protective material ( 200 ).
  • the substrate etch process can remove the substrate material faster than the composite material (e.g., the substrate etch process can have a substrate:composite etch selectivity (rate of substrate removal/rate of composite film removal) greater than one).
  • the substrate etch process can have a substrate:composite etch selectivity greater than 10.
  • the substrate etch process can have a substrate:composite etch selectivity greater than 100.
  • the composite material can act as an etch stop for the substrate etch process.
  • the substrate etch process can etch the composite material.
  • the substrate can remove a portion of the composite material.
  • the substrate removal process by itself does not expose the support film in a street region where the composite film overlaps the street region.
  • the substrate etch process alone does not etch through the composite film.
  • FIG. 11B shows a point in the dicing process flow where the composite film ( 2810 ) has been at least partially removed in at least one street region ( 120 ).
  • the composite film ( 2810 ) can be completely removed in at least one street region ( 120 ).
  • the composite layer ( 2810 ) can be damaged or removed in order to singulate the die.
  • the composite film can be completely removed in the street regions ( 120 ).
  • FIG. 12 is a flow chart of a portion of an improved substrate dicing sequence.
  • the composite film process can be an etch process.
  • the composite film process can be a plasma etch process.
  • the plasma etch process can chemically etch a first component of the composite film.
  • the first component can be a matrix component.
  • the plasma etch process can contain oxygen (e.g., O 2 , CO, CO 2 , etc.).
  • the plasma etch process can contain hydrogen (e.g., H 2 , H 2 S, H 2 O, etc.).
  • the plasma etch process can contain nitrogen (e.g., N 2 , NH 3 , N 2 O, etc.).
  • the process gas can contain at least one inert gas (e.g., Ar, He, etc.).
  • the process gas mixture can contain air.
  • the plasma etch process can have a process pressure greater than 50 Torr.
  • the plasma can be generated near atmospheric pressure.
  • the plasma can be generated at a pressure greater than atmospheric pressure.
  • the plasma can be an atmospheric plasma.
  • the plasma can be a nonequilibrium plasma (e.g., low temperature plasma, local thermal equilibrium plasma, etc.).
  • the plasma can be generated by an atmospheric plasma jet source, corona discharge source, dielectric barrier discharge (DBD) source, micro hollow cathode discharge source, or other plasma sources as is known in the art.
  • DBD dielectric barrier discharge
  • the composite film process contains a plasma
  • the work piece can be cooled by being placed in contact with a cooled work piece support (e.g., cooled by thermal conduction) during the composite film etch process.
  • the work piece can be clamped (e.g., electrostatically clamped, mechanically clamped, etc.) to the work piece support.
  • the work piece can be cooled by convection (e.g., natural convection, forced convection, etc.).
  • the composite film process can apply a force to the composite film.
  • the force can assist in the removal of a second component of the composite film.
  • the second component of the composite film can be a reinforcement component.
  • the reinforcement component can be a filler.
  • the force can be a fluid force.
  • the force can be an aerodynamic force.
  • the force can be applied to the composite film by a fluid jet.
  • the force can be applied to the composite film by more than one fluid jet.
  • the force can be applied at normal incidence to the composite film.
  • the force can be applied off-normal incidence to the composite film.
  • the force can be applied over a range of incidence angles to the composite film.
  • the fluid can consist of one or more fluids. At least one fluid can be a gas. At least one fluid can contain a plasma.
  • the fluid can contain solids.
  • Some portion of the fluid can pass through a plasma source. Some portion of the fluid may bypass a plasma source (e.g., a portion of the fluid does not pass through a plasma source).
  • the fluid force does not dislodge die from the support film.
  • the fluid force does not dislodge die from the composite film.
  • the fluid force does not damage the device. It is preferred that the fluid force does not damage the support film such that it is not compatible with downstream processing (e.g., the composite etch process does not tear the support film, stretch the film such that die come into contact with or damage one another, etc.)
  • the area on the substrate contacted by the fluid can be less than the total area of the substrate.
  • the work piece can be moved relative to the work piece.
  • the work piece can be moved relative to the fluid jet. Both the work piece and the fluid jet can be move relative to one another.
  • At least two fluid jets can have a different fluid composition.
  • the fluid jet composition can differ by composition (e.g., process gas mixture, etc.) or different physical property (e.g., temperature, phase, etc.)
  • At least two fluid jets can apply different forces to the work piece.
  • At least two fluid jets can have a different incidence angle on the work piece.
  • At least two fluid jets can contact the same area of composite film (e.g., at least a portion of the fluid jets overlap on the work piece).
  • At least two fluid jets can contact different areas of the composite film (e.g., at least a portion of the fluid jets do not overlap on the work piece).
  • At least two fluid jets can apply force to the film at the same time (e.g., at least two fluid jets are both applied to the work piece at the same time). At least two fluid jets can apply force to the film at different times (e.g., at least two fluid jets are not applied to the work piece at the same time). In one embodiment, at least one fluid jet contains a plasma and another fluid jet does not contain a plasma. At least one fluid jet can be used to reduce the substrate temperature.
  • the composite film process can etch a first component of the composite film faster than a second component of the composite film (e.g., the first component:second component etch selectivity is greater than 1:1).
  • the first component:second component etch selectivity can be greater than 10:1.
  • the first component:second component etch selectivity can be greater than 100:1.
  • the first component:second component etch selectivity can be greater than 1000:1.
  • the first component can be a matrix component.
  • the matrix component can be a polymer (e.g., epoxy, polyimide, etc.)
  • the second component can be a filler component.
  • the second component can contain silicon.
  • the second component can contain silicon dioxide.
  • the composite film etch process can be at least partially isotropic.
  • the process can be isotropic.
  • the composite film etch process can be anisotropic.
  • the composite film process can be selective to the substrate material.
  • the etch rate of at least one component of the composite film can be greater than the substrate material etch rate (e.g., composite film:substrate etch selectivity is greater than 1:1).
  • the composite film:substrate etch selectivity can be greater than 10:1.
  • the composite film:substrate etch selectivity can be greater than 100:1.
  • the composite film:substrate etch selectivity can be greater than 1000:1.
  • the composite film process does not etch the substrate.
  • the composite film process can be selective to the exposed device material(s).
  • the etch rate of at least one component of the composite film can be greater than the at least one exposed device material (e.g., composite film:device etch selectivity is greater than 1:1).
  • the composite film:device etch selectivity can be greater than 10:1.
  • the composite film: device etch selectivity can be greater than 100:1.
  • the composite film: device etch selectivity can be greater than 1000:1.
  • the etch rate of at least one component of the composite film can be greater than exposed device materials.
  • the composite film process does not etch the device (e.g., the composite film process does not etch any of the exposed device materials). It is preferred that the composite film process does not damage the device (e.g., electrical damage, structural damage, etc.). It is preferred that the composite film process does not degrade the yield of the devices.
  • the composite film process may etch exposed regions of the support film. It is preferred that the composite film process does not etch through the support film. It is preferred that the composite film process allows the support film to be compatible with downstream processes (e.g., no tears in the support film, the support film is compatible with a downstream die attach process; the support film maintains adequate tension to support the singulated die without damaging the die; the support film remains attached to the workpiece frame; etc.).
  • FIGS. 13A-D show an overview of the composite film removal process.
  • FIG. 13A shows a portion of the work piece after the substrate removal process has been performed.
  • the composite film ( 3205 ) can be exposed in the street regions.
  • the composite film ( 3205 ) consists of a matrix material ( 3210 ) and a reinforcement material ( 3220 ).
  • FIG. 13B shows a composite film etch process ( 1300 ) is applied to the workpiece and removing a portion of a matrix component of a composite film.
  • FIG. 13C illustrates a portion of the work piece as the composite film etch process continues to remove (e.g., chemically etches, plasma etches, etc.) the matrix component ( 3210 ) which can expose an embedded reinforcement component ( 3220 ) of the composite film.
  • the reinforcement component ( 3221 ) can be physically removed from the composite film by a force (e.g., by a fluid force, aerodynamic force, etc.) applied by the composite film removal process ( 1300 ).
  • FIG. 13D illustrates the composite film process after the composite film has been removed.
  • FIG. 13D illustrates that the composite film process can etch into the support film ( 300 ).
  • the composite film process can be stopped prior to the composite film being cleared completely from the street region. In this case, the remainder of the composite film can be separated in a downstream process.
  • the invention can be applied to a work piece that contains a die attach film (DAF).
  • DAF film 3205
  • the DAF film contains approximately 50% of an SiO 2 filler ( 3220 ) in an epoxy-containing polymer matrix ( 3210 ).
  • the SiO 2 particles ( 3220 ) are approximately 1 micron in diameter.
  • a plasma etch process using a deep reactive ion etch (DRIE) etch process was used to remove the substrate material (not shown) from the street regions.
  • the plasma etch process was implemented on a commercially available MDS-100 plasma etch system by Plasma-Therm, LLC and used three steps per loop as shown in the table below:
  • Etch A Etch B Time ⁇ sec> 1-10 1-5 1-20 Pressure ⁇ mtorr> 10-150 10-150 50-2000 SF 6 Flow ⁇ sccm> 0-100 0-300 200-2000 C 4 F 8 Flow ⁇ sccm> 50-200 0-100 0-100 O 2 Flow ⁇ seem> 0-100 0-100 0-500 Ar Flow ⁇ seem> 0-200 0-200 0-200 RF Bias Power ⁇ W> 0-100 0-1000 0-200 ICP Power ⁇ W> 500-5000 500-5000 1000-10000+
  • an oxygen atmospheric plasma was applied to the die attach film.
  • the oxygen plasma chemically removes the epoxy matrix that contains the SiO 2 particles.
  • the oxygen plasma does not etch the SiO 2 particles well.
  • the force applied by the plasma/gas flow physically dislodges (removes) the SiO 2 particles—exposing new epoxy material that can be subsequently etched.
  • an oxygen plasma at near to atmospheric pressure is capable of removing both the expoxy matrix material and SiO 2 filler particles.
  • the oxygen-based atmospheric plasma does not etch the silicon substrate.
  • the oxygen-based atmospheric plasma does not etch the exposed device surfaces (e.g., metal pads, inorganic passivation films such as SiO 2 and SiN, etc.).
  • a fluorine containing process gas (e.g., C x F y , SF 6 , NF 3 , etc.) can be added to the gas mixture of the composite film etch process.
  • Fluorinated gases can comprise less than 50% by volumetric flow of the gas mixture.
  • Fluorinated gases can comprise less than 20% by volumetric flow of the gas mixture.
  • Fluorinated gases can comprise less than 10% by volumetric flow of the gas mixture.
  • Fluorinated gases can comprise less than 5% by volumetric flow of the gas mixture.
  • the addition of fluorine to the process gas mixture can raise the potential for damage to device layers (e.g., SiO 2 and SiN passivation layers).
  • fluorine concentrations are desirable when exposed device layers are susceptible to etch damage.
  • the addition of a fluorine-containing gas can increase the removal rate of polymeric materials. Fluorine-containing gases can also be used to affect other components in the composite film. For example in the case of a die attach film composed of an expoxy resin and SiO 2 particle fillers, the addition of fluorine increases the epoxy etch rate and can prevent the agglomeration of SiO 2 particles by slowly etching the exposed SiO 2 particle surfaces.
  • the presence of fluorine improves the ability of the aerodynamic forces from the fluid jet (e.g., plasma jet and/or secondary fluid jet) to physically remove SiO 2 particles).
  • the potential degradation of exposed device materials should be taken into consideration (e.g., fluorine-based plasmas may also etch or modify sensitive device layers including but not limited to SiO 2 and SiN).

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Dicing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention provides a method for dicing a substrate on a composite film. The method comprising the provision of a work piece having a support film, a frame and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die region and at least one street region. The composite film is adhered to the substrate and to the support film. Substrate material is removed from the at least one street region to expose a portion of the composite film. The exposed composite film is removed from the at least one street region. A first component of the exposed portion of the composite film is plasma etched. A second component of the composite film is removed by applying a force to the composite film.

Description

    CROSS REFERENCES TO RELATED APPLICATIONS
  • This application claims priority from and is related to commonly owned U.S. Provisional Patent Application Ser. No. 62/680,145 filed Jun. 4, 2018, entitled: METHOD FOR DICING DIE ATTACH FILM and U.S. Provisional Patent Application Ser. No. 62/721,380 filed Aug. 22, 2018, entitled: METHOD FOR DICING DIE ATTACH FILM, these Provisional Patent Applications incorporated by reference herein.
  • FIELD OF THE INVENTION
  • The invention relates to the use of an apparatus for the formation of individual device chips from a semi-conductor wafer and a die attach film.
  • BACKGROUND
  • Semi-conductor devices are fabricated on substrates which are in the form of thin wafers. Silicon is commonly used as the substrate material, but other materials, such as III-V compounds (for example GaAs and InP) are also used. In some instances (for example, the manufacture of LED's) the substrate can be a sapphire or silicon carbide wafer on which a thin layer of a semi-conducting material is deposited. The diameter of such substrates can range from less than 2 inches up to 200 mm, 300 mm, and 450 mm and many standards exist (e.g., SEMI) to describe such substrate sizes.
  • Plasma etching equipment is used extensively in the processing of these substrates to produce semi-conductor devices. Such equipment typically includes a vacuum chamber fitted with a high density plasma source such as Inductively Coupled Plasma (ICP) which is used to ensure high etch rates, necessary for cost-effective manufacturing. In order to remove the heat generated during the processing, the substrate is typically clamped to a temperature controlled support. A pressurized fluid, typically a gas such as Helium is maintained between the substrate and the support to provide a thermal conductance path for heat transfer. A mechanical clamping mechanism, in which a downward force is applied to the top side of the substrate, may be used, though this may cause contamination due to the contact between the clamp and the substrate. Work piece bowing may also occur when using a mechanical clamp, since contact is typically made at the edge of the work piece and a pressurized fluid exerts a force on the back of the work piece. More frequently an electrostatic chuck (ESC) is used to provide the clamping force.
  • Numerous gas chemistries appropriate to the material to be etched have been developed. These frequently employ a halogen (e.g., Fluorine, Chlorine, Bromine, Iodine, etc.) or halogen-containing gas together with additional gases added to improve the quality of the etch (for example, etch anisotropy, mask selectivity and etch uniformity). Fluorine containing gases, such as SF6, F2 or NF3 are used to etch silicon at a high rate. In particular, a process (Bosch or TDM) which alternates a high rate silicon etch step with a passivation step to control the etch sidewall, is commonly used to etch deep features into silicon. Chlorine and Bromine containing gases are commonly used to etch III-V materials. Plasma etching is not limited to semiconducting substrates and devices. The technique may be applied to any substrate type where a suitable gas chemistry to etch the substrate is available. Other substrate types may include carbon containing substrates (including polymeric substrates), ceramic substrates (e.g., AlTiC and sapphire), metal substrates, and glass substrates. Oxygen, nitrogen, halogen (e.g., fluorine, chlorine, etc.), and/or hydrogen-containing chemistries can be used to etch polymeric materials.
  • To ensure consistent results, handling reliability and ease of operation, robotic wafer handling is typically used in the manufacturing process. Handlers are typically designed to support the wafers with minimal contact, to minimize possible contamination and reduce the generation of particulates. Edge contact alone, or underside contact close to the wafer edge at only a few locations (typically within 3-6 mm of the wafer edge) is often employed. Handling schemes, which include wafer cassettes, robotic arms and within process chamber fixtures including the wafer support and ESC, are designed to handle the standard wafer sizes as noted previously.
  • After fabrication on the substrate, the individual devices (die or chips) are typically separated from each other prior to packaging or being employed in other electronic circuitry. For many years, mechanical means have been used to separate the die from each other. Such mechanical means have included breaking the wafer along scribe lines aligned with the substrate crystal axis or by using a high-speed diamond saw to saw into or through the substrate in a region (streets) between the die. More recently, lasers have also been used to facilitate the scribing and dicing process.
  • Such mechanical wafer dicing techniques have limitations which affect the cost effectiveness of this approach. Chipping and breakage along the die edges can reduce the number of good die produced, and the process becomes more problematic as wafer thicknesses decrease. The area consumed by the saw bade (kerf) may be greater than 100 microns which is valuable area not use able for die production. For wafers containing small die (e.g., individual semiconductor devices with a die size of 500 microns by 500 microns) this can represent a loss of greater than 20%. Further, for wafers with many small die and hence numerous streets, the dicing time is increased, and productivity decreased, since each street is typically cut consecutively. Mechanical means are also limited to separation along straight lines and the production of square or oblong shaped chips. This may not represent the underlying device topology (e.g., a high power diode can be round) and so the rectilinear die format results in significant loss of use able substrate area. Laser dicing also has limitations by leaving residual material on the die surface or inducing stress into the die.
  • It is important to note that both sawing and laser dicing techniques are essentially serial operations. Consequently, as device sizes decrease, the time to dice the wafer increases in proportion to the total dicing street length on the wafer.
  • Recently plasma etching techniques have been proposed as a means of separating die and overcoming some of these limitations. After device fabrication, the substrate can be masked with a suitable mask material, leaving open areas between the die. The masked substrate can be then processed using a reactive-gas plasma which etches the substrate material exposed between the die. The plasma etching of the substrate may proceed partially or completely through the substrate. In the case of a partial plasma etch, the die can be separated by a subsequent cleaving step, leaving the individual die separated. The technique offers a number of benefits over mechanical dicing:
  • 1) Breakage and chipping is reduced;
  • 2) The kerf dimensions can be reduced to well below 20 microns;
  • 3) Processing time does not increase significantly as the number of die increases;
  • 4) Processing time is reduced for thinner wafers; and
  • 5) Die topology is not limited to a rectilinear format.
  • After device fabrication, but prior to die separation, the substrate may be thinned by mechanical grinding or similar process down to a thickness of a few hundred microns, or even less than a hundred microns.
  • Prior to the dicing process, the substrate is typically mounted on a dicing fixture. This fixture is typically comprised of a rigid frame that supports an adhesive support film. The substrate to be diced is adhered to the support film. This fixture holds the separated die for subsequent downstream operations. Most tools used for wafer dicing (saws or laser based tools) are designed to handle substrates in this configuration and a number of standard fixtures have been established; however, such fixtures are very different from the substrates which they support. Though such fixtures are optimized for use in current wafer dicing equipment, they cannot be processed in equipment which has been designed to process standard substrates. Thus, current automated plasma etching equipment is not suitable for processing substrates fixtured for dicing and it is difficult to realize the benefits that plasma etch techniques should have for die separation.
  • Some groups have contemplated using plasma to singulate die from wafer substrates. U.S. Pat. No. 6,642,127 describes a plasma dicing technique in which the substrate wafer is first attached to a carrier wafer via an adhesive material, before plasma processing in equipment designed for processing silicon wafers. This technique proposes adapting the form factor of the substrate to be diced to be compatible with standard wafer processing equipment. While this technique allows the use of standard plasma equipment to dice the wafer, the proposed technique will not be compatible with standard equipment downstream of the dicing operation. Additional steps would be required to either adapt the downstream equipment or revert the substrate form factor for standard downstream equipment.
  • U.S. Pat. Appl. No. 2010/0048001 contemplates the use of a wafer adhered to a thin membrane and supported within a frame. However, in the 2010/0048001 application, the masking process is achieved by adhering a mask material to the backside of the wafer and using a laser to define the etch streets prior to plasma processing. In contrast to standard dicing techniques which singulate the substrate from the front side, this technique introduces additional complex and expensive steps which may negate some of the advantages of plasma dicing. It also requires the additional demand of aligning the backside mask with the front side device pattern.
  • Therefore, what is needed is a plasma etching apparatus which can be used for dicing a semiconductor substrate into individual die and which is compatible with the established wafer dicing technique of handling a substrate mounted on support film and supported in a frame, and which is also compatible with standard front side masking techniques.
  • Nothing in the prior art provides the benefits attendant with the present invention.
  • Therefore, it is an object of the present invention to provide an improvement which overcomes the inadequacies of the prior art devices and which is a significant contribution to the advancement to the dicing of semiconductor substrates using a plasma etching apparatus.
  • Another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; plasma etching a first component of the exposed portion of the composite film; and removing a second component of the composite film by applying a force to the composite film.
  • Yet another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; generating a plasma at a pressure greater than 50 Torr; plasma etching a first component of the exposed portion of the composite film using the generated plasma; and removing a second component of the composite film by applying a force to the composite film.
  • Still yet another object of the present invention is to provide a method for dicing a substrate on a composite film, the method comprising: providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region; adhering the composite film to the substrate and to the support film; removing substrate material from the at least one street region to expose a portion of the composite film; removing the exposed composite film from the at least one street region; generating a plasma at a pressure greater than 50 Torr; plasma etching a first component of the exposed portion of the composite film using the generated plasma; and removing a second component of the composite film by applying a fluid jet to the composite film.
  • The foregoing has outlined some of the pertinent objects of the present invention. These objects should be construed to be merely illustrative of some of the more prominent features and applications of the intended invention. Many other beneficial results can be attained by applying the disclosed invention in a different manner or modifying the invention within the scope of the disclosure. Accordingly, other objects and a fuller understanding of the invention may be had by referring to the summary of the invention and the detailed description of the preferred embodiment in addition to the scope of the invention defined by the claims taken in conjunction with the accompanying drawings.
  • SUMMARY OF THE INVENTION
  • The present invention describes a plasma processing apparatus which allows for plasma dicing of a semiconductor substrate. After device fabrication and any wafer thinning, the front side (circuit side) of the substrate can be masked using conventional masking techniques which protect the circuit components and leaves unprotected areas between the die. The substrate is mounted on a thin support film which is supported within a rigid frame. The substrate/support film/frame assembly is transferred into a vacuum processing chamber and exposed to reactive gas plasma where the unprotected areas between the die are etched away. During this process, the frame and support film are protected from damage by the reactive gas plasma. The processing can leave the die completely separated. After etching, the substrate/support film/frame assembly can be additionally exposed to plasma which removes potentially damaging residues from the substrate surface. After transfer of the substrate/support film/frame assembly out of the process chamber, the die are removed from the support film using well known techniques and are then further processed (e.g., packaged) as necessary.
  • Another feature of the present invention is to provide a method for dicing a substrate on a composite film. The method comprising providing a work piece having a support film, a frame and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die region and at least one street region. The composite film is adhered to the substrate and to the support film. Substrate material is removed from the at least one street region to expose a portion of the composite film. A first component of the composite film is plasma etched. A second component of the exposed portion of the composite film is removed by applying a force to the composite film. The applied force can further comprise a fluid jet. A plasma can be generated at a pressure greater than 50 Torr. The removal of the substrate material can further comprise a substrate etch process. The composite film can contain matrix-based materials. The first component can be a matrix component. The first component can contain a polymer. The second component can be a filler component. The plasma etch process can be at least partially isotropic. The plasma etch process can be isotropic. The etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber. The substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs. The substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate. The substrate can be placed in a process chamber on a work piece support. A plasma source can be in communication with the process chamber. The plasma source can be a high density plasma source. An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support. A thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece. The pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module can be provided that communicates with the process chamber. The work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • Yet another feature of the present invention is to provide a method for dicing a substrate on a composite film. The method comprising providing a work piece having a support film, a frame and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die region and at least one street region. The composite film is adhered to the substrate and to the support film. Substrate material is removed from the at least one street region to expose a portion of the composite film. A plasma is generated at a pressure greater than 50 Torr. A first component of the composite film is plasma etched using the generated plasma. A second component of the exposed portion of the composite film is removed by applying a force to the composite film. The applied force can further comprise a fluid jet. The removal of the substrate material can further comprise a substrate etch process. The composite film can contain matrix-based materials. The first component can be a matrix component. The first component can contain a polymer. The second component can be a filler component. The plasma etch process can be at least partially isotropic. The plasma etch process can be isotropic. The etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber. The substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs. The substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate. The substrate can be placed in a process chamber on a work piece support. A plasma source can be in communication with the process chamber. The plasma source can be a high density plasma source. An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support. A thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece. The pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module can be provided that communicates with the process chamber. The work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • Still yet another feature of the present invention is to provide a method for dicing a substrate on a composite film. The method comprising providing a work piece having a support film, a frame and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die region and at least one street region. The composite film is adhered to the substrate and to the support film. Substrate material is removed from the at least one street region to expose a portion of the composite film. A plasma is generated at a pressure greater than 50 Torr. A first component of the composite film is plasma etched using the generated plasma. A second component of the exposed portion of the composite film is removed by applying a fluid jet to the composite film. The removal of the substrate material can further comprise a substrate etch process. The composite film can contain matrix-based materials. The first component can be a matrix component. The first component can contain a polymer. The second component can be a filler component. The plasma etch process can be at least partially isotropic. The plasma etch process can be isotropic. The etching of the substrate material can be in a vacuum chamber and the etching of composite film can be in a vacuum chamber. The substrate can have a semiconducting layer such as Silicon and/or the substrate can have a layer such as GaAs. The substrate can have a protective layer such as a photoresist layer that can be patterned on a circuit side of the substrate. The substrate can be placed in a process chamber on a work piece support. A plasma source can be in communication with the process chamber. The plasma source can be a high density plasma source. An electrostatic chuck can be incorporated into the work piece support. The electrostatic chuck can clamp the work piece to the work piece support. A thermal communication between the work piece and the work piece support can be provided by supplying a pressurized gas such as helium from the work piece support to the work piece. The pressure within the process chamber can be reduced through a vacuum pump and a process gas can be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module can be provided that communicates with the process chamber. The work piece can be loaded onto a transfer arm in the vacuum compatible transfer module whereby the process chamber can be maintained under vacuum during a transfer of the work piece from the vacuum compatible transfer module to the process chamber.
  • The foregoing has outlined rather broadly the more pertinent and important features of the present invention in order that the detailed description of the invention that follows may be better understood so that the present contribution to the art can be more fully appreciated. Additional features of the invention will be described hereinafter which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and the specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a top down view of a semiconductor substrate illustrating individual devices separated by streets;
  • FIG. 2 is a cross-sectional view of a semiconductor substrate illustrating individual devices separated by streets;
  • FIG. 3 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame;
  • FIG. 4 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame being etched by a process;
  • FIG. 5 is a cross-sectional view of separated semiconductor devices mounted to support film and a frame;
  • FIG. 6 is a cross-sectional view of a vacuum processing chamber;
  • FIG. 7 is a cross-sectional of a wafer/frame in process position;
  • FIG. 8 is a cross-sectional view of a semiconductor substrate mounted to support film and a frame supported by a transfer arm;
  • FIG. 9 is a cross-sectional view of a wafer/frame in a transfer position;
  • FIG. 10 is a schematic view of a work piece according to one embodiment of the present invention;
  • FIG. 11A is a schematic view of a work piece where substrate material has been removed in a street region;
  • FIG. 11B is a schematic view of a point in the dicing process flow where the composite film has been at least partially removed in the street regions;
  • FIG. 12 is a flow chart of a portion of an improved substrate dicing sequence according to one embodiment of the present invention;
  • FIG. 13A is a schematic view of a portion of a work piece after substrate material in a street region has been removed;
  • FIG. 13B is a schematic view of a portion of a work piece after a first component of a composite film has been partially removed;
  • FIG. 13C is a schematic view of a force being applied to a portion of a work piece to assist in the removal of a second component of a composite film; and
  • FIG. 13D is a schematic view of a portion of a work piece where a composite film has been removed.
  • Similar reference characters refer to similar parts throughout the several views of the drawings.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A typical semiconductor substrate after device fabrication is illustrated in FIG. 1. The substrate (100) has on its surface a number of areas containing device structures (110) separated by street areas (120) which allows for separation of the device structures into individual die. Although silicon is commonly used as a substrate material, other materials chosen for their particular characteristics are frequently employed. Such substrate materials include Gallium Arsenide and other III-V materials or non-semiconductor substrates on which a semi-conducting material has been deposited (e.g., a polymeric substrate with a thin film semiconductor device fabricated on the polymer). Further substrate types may also include Silicon-On-Insulator (SOI) wafers and semiconductor wafers mounted on carriers. While the example above describes die separated by streets, aspects of the invention may be beneficially applied to other pattern configurations on a substrate.
  • In the present invention, as is shown in a cross-sectional view in FIG. 2, the device structures (110) are then covered with a protective material (200) while the street areas (120) remain unprotected. This protective material (200) can be a photoresist, applied and patterned by well-known techniques. Some devices, as a final process step are coated with a protective dielectric layer such as silicon dioxide or PSG which can be applied across the whole substrate. This can be selectively removed from the street areas (120) by patterning with photoresist and etching the dielectric material, as is well known in the industry. This leaves the device structures (110) protected by the dielectric material and the substrate (100) substantially unprotected in the street areas (120). Note that in some cases test features to check the wafer quality may be located in the street areas (120). Depending on the specific wafer fabrication process flow, these test features may or may not be protected during the wafer dicing process. Although the device pattern illustrated shows oblong die, this is not necessary, and the individual device structures (110) may be any other shape, such as hexagons, as best suits the optimum utilization of the substrate (100). It is important to note that while the previous example considers dielectric materials as the protective film, that the invention may be practiced with a wide range of protective films including semiconductive and conductive protective films. Furthermore, the protective layer can consist of multiple materials. It is also important to note that some portion of the protective film may be an integral part of the final device structure. (e.g., a passivation dielectric, metal bonding pad, etc.). Furthermore, the present invention can also be beneficially used with wafers without the necessity of having devices or device structures. One such example may be a semiconductor substrate (Silicon, III-V compounds, etc.), mounted on a carrier or not mounted, covered by a masking material defining the structures to be etched. The substrate may also contain at least one additional layer with different material properties, such as for example an insulating layer.
  • The substrate (100) may be thinned, typically by a grinding process, which reduces the substrate thickness to a thickness in the range of a few hundred microns to approximately thirty microns or less. As is shown in FIG. 3, the substrate (100) is adhered to a support film (300) which in turn is mounted in a rigid frame (310) to form a work piece (320). The frame is typically metal or plastic, though other frame materials are possible. The support film (300) is typically made from a carbon-containing polymer material, and additionally may be electrically conductive (e.g., have a thin conductive layer applied to its surface). The support film (300) provides support for the substrate (100) which may otherwise be too fragile to handle without breakage. It should be noted that the sequence of patterning, thinning and then mounting is not critical and the steps may be adjusted to best fit the particular devices and substrate and the processing equipment used. It is important to note that while the previous example considers a work piece (320) that is comprised of mounting a substrate (100) on an adhesive support film (300) which in turn is attached to a frame (310), that the invention is not limited by the configuration of the wafer and carrier. The wafer carrier can be comprised of a variety of materials. The carrier supports the substrate during the plasma dicing process. Furthermore, the wafer need not be attached to the carrier using an adhesive—any method that holds the wafer to the carrier and allows a means of thermal communication of the substrate to the cathode is sufficient (e.g., an electrostatically clamped carrier, a carrier with a mechanical clamping mechanism, etc.).
  • After mounting the substrate (100) with the support film (300) in the dicing frame (310), the work piece (320) can be transferred into a vacuum processing chamber. The transfer module can also be under vacuum which allows the process chamber to remain at vacuum during transfer, reducing processing time and preventing exposure of the process chamber to atmosphere and possible contamination. As shown in FIG. 6, the vacuum processing chamber (600) is equipped with a gas inlet (610), a high density plasma source (620) to generate a high density plasma, such as an Inductively Coupled Plasma (ICP), a work piece support (630) to support the work piece (320), an RF power source (640) to couple RF power to the work piece (320) through the work piece support (630) and a vacuum pump (650) for pumping gas from the processing chamber (600). During processing, the unprotected areas (120) of substrate (100) can be etched away using a reactive plasma etch process (400) as shown in FIG. 4. This can leave the devices (110) separated into individual die (500) as shown in FIG. 5. In another embodiment of the invention, the unprotected areas (120) of the substrate (100) are partially etched away using a reactive plasma etch process (400). In this case, a downstream operation, such as a mechanical breaking operation, can be used to complete the die separation. These downstream methods are well known in the art.
  • While the previous example describes the invention using a vacuum chamber in conjunction with a high density plasma (e.g., ECRs, ICP, helicon, and magnetically enhanced plasma sources), it is also possible to etch the unprotected areas of the substrate using a wide range of plasma processes provided the process thoughput is economically viable. For example, one skilled in the art can imagine variations of the invention using a low density plasma source in a vacuum chamber or even the use of plasmas at or near atmospheric pressure.
  • When the work piece (e.g., substrate/tape/frame assembly) (320) is in the position for plasma processing, the frame (310) can be protected from exposure to the plasma (400). Exposure to the plasma (400) may cause heating of the frame (310) which in turn may cause local heating of the support film (300). For commonly used dicing tapes, at temperatures above approximately 100° C. (greater than approximately 200° C. for specialty tapes), the physical properties of the support film (300) and its adhesive capability may deteriorate and it will no longer adhere to the frame (310). Additionally, exposure of the frame (310) to the reactive plasma gas may cause degradation of the frame (310). Since the frame (310) is typically re-used after wafer dicing, this may limit the useful lifetime of a frame (310). Exposure of the frame (310) to the plasma (400) may also adversely affect the etch process: for example the frame material may react with the process gas, effectively reducing its concentration in the plasma which may reduce the etch rate of the substrate material, thus increasing process time. To protect the frame (310), a protective cover ring (660), as shown in FIGS. 6 and 7, is positioned above the frame (310). In one embodiment, the cover ring (660) does not touch the frame (310) since contact with the frame (310) (which would occur during transfer into the process chamber (600)) may generate undesirable particles.
  • The work piece (e.g., substrate/tape/frame assembly) (320) is transferred both into and out of the process chamber (600) by a transfer arm (1100) that supports the frame (310) and substrate (100) (see FIG. 8 for example). The transfer arm (1100) may support both the support film (300) and the frame (310) or the frame (310) alone, but it is important that the assembly (320) not be supported beneath the substrate (100) area alone because of the fragile nature of thinned substrates (100). The transfer arm (1100) has an alignment fixture (1110) attached to it that aligns the frame (310) in a repeatable position before being transferred into the process chamber (600). The frame (310) can also be aligned by other techniques well-known in semiconductor processing (e.g., optical alignment). The alignment can also be performed on the substrate (100) by such well-known techniques. It is important that the work piece (e.g., substrate/tape/frame assembly) (320) be aligned before placement within the process chamber (600) to avoid miss-processing as explained below.
  • When the work piece (e.g., substrate/tape/frame assembly) (320) is transferred into the process chamber (600), it is placed onto the lifting mechanism (680) and removed from the transfer arm (1100). The reverse process occurs during transfer of the work piece (e.g., substrate/tape/frame assembly) (320) out of the process chamber (600). The lifting mechanism (680) can touch the frame (310) area and provides no point contact to portions of the work piece overlapped by the substrate (100). Point contact to the work piece overlapping the substrate (100) can cause damage to the substrate (100), particularly after die separation and unloading of the work piece (320), since the flexibility of the support film (300) may cause the die to contact each other and damage to occur. FIG. 9 shows the lifting mechanism (680) lifting the frame (310) from the underside; however, the frame (310) can also be removed from the transfer arm (1100) by contact with the top surface, bottom surface, outer diameter of the frame (310) or any combination of these using a clamping device. In order to have enough clearance to place the work piece (320) on the work piece support (630) to process the substrate (100), the frame (310), the work piece support (630), and the cover ring (660) can move relative to each other. This can be accomplished by moving the cover ring (660), the work piece support (630), or the lifting mechanism (680) or any combination of the three.
  • During plasma processing, heat is transferred to all of the surfaces the plasma contacts including the substrate (100), support film (300), and frame (310). The cover ring (660) will minimize the heat transfer to areas of the support film (300) and the frame (310), but the substrate (100) must be exposed to the plasma (400) for processing.
  • As shown in FIG. 6, a perforated mechanical partition (690) may be interposed between the plasma source (620) and the work piece support (630). The mechanical partition (690) can be electrically conductive (e.g., made from metal or metal coated). The mechanical partition (690) can be made of Aluminum. The mechanical partition (690) can help reduce the ion density as well as the plasma emission intensity reaching the work piece, while allowing a high level of neutral species to reach the work piece. The present invention offers control over the ion density and plasma emission intensity reaching the work piece. It is preferred for applications relevant to this invention, that the ion density and plasma emission intensity from the plasma source (620) reaching the work piece be attenuated in the range of 10% to greater than 99% by the mechanical partition. In one preferred embodiment, the attenuation by the mechanical partition can be greater than 10%. In one preferred embodiment, the attenuation by the mechanical partition can be greater than 30%. In yet another preferred embodiment, the attenuation by the mechanical partition can be greater than 50%. In yet another preferred embodiment, the attenuation by the mechanical partition is greater than 90%.
  • While the schematic in FIG. 6 shows a process chamber (600) with one mechanical partition (690), it may be beneficial to have more than one mechanical partition (690) disposed between the plasma source (620) and the substrate (100). The mechanical partitions (690) can be the same size and shape, or can be different sizes and/or shapes. The multiple mechanical partitions (690) may be configured in the same plane or different planes (e.g., overlapped or stacked partitions). The multiple mechanical partitions (690) may have perforation shapes, sizes and patterns that are identical or different from one another. At least two partitions may be rotated with respect to each other. At least two partitions can be connected electrically to each other. At least two partitions can be electrically isolated from each other. At least two partitions can be thermally isolated from each other. At least two partitions can be in thermal communication with each other.
  • The substrate can be processed using techniques well known in the semiconductor industry. Silicon substrates are generally processed using a Fluorine based chemistry, such as SF6. SF6/O2 chemistry is commonly used to etch Silicon because of its high rate and anisotropic profile. A disadvantage of this chemistry is its relatively low selectivity to masking material for example to photoresist which is 15-20:1. Alternatively, a Timed Division Multiplex (TDM) process can be used which alternates between deposition and etching to produce highly anisotropic deep profiles. For example, an alternating process to etch Silicon uses a C4F8 process gas step to deposit polymer on all exposed surfaces of the Silicon substrate (i.e., mask surface, etch sidewalls and etch floor) and then an SF6 process gas step is used to selectively remove the polymer from the etch floor and then isotropically etch a small amount of silicon. The steps can repeat multiple times until terminated. Such a TDM process can produce anisotropic features deep into Silicon with selectivities to the masking layer of greater than 200:1. This then makes a TDM process the desired approach for plasma separation of Silicon die. Note that the invention is not limited to the use of fluorine containing chemistries or a time division multiplex (TDM) process. For example, silicon substrates may also be etched with Cl, HBr or I-containing chemistries as is known in the art.
  • For III-V substrates such as GaAs, a Chlorine based chemistry is extensively used in the semiconductor industry. In the fabrication of RF-wireless devices, thinned GaAs substrates are mounted with the device side down onto a carrier, where they are then thinned and patterned with photoresist. The GaAs is etched away to expose electrical contacts to the front side circuitry. This well-known process can also be used to separate the devices by the front side processing described in the above mentioned invention. Other semiconductor substrates and appropriate plasma processes can also be used for the separation of die in the above mentioned invention.
  • While the above examples discuss the use of plasma for separating die (dicing), aspects of the invention may be useful for related applications such as substrate thinning by plasma etching. In this application the substrate (100) can have some features on the surface to be etched or alternatively the surface to be etched may be featureless (e.g., thinning the bulk substrate).
  • Plasma dicing can efficiently singulate a wide range of devices. Some die structures however contain at least one composite layer that can be difficult to plasma etch without harming (e.g., damaging) the device. An example of such a structure would be a silicon device to be singulated that contains a die attach film (DAF). Die attach films are adhesive layers that can be used to bond chips to one another (e.g., stacked die). The die can be singulated prior to the bonding operation. During integrated circuit device fabrication, DAF can be used to create multi-chip stacked packages.
  • In order to get the required mechanical and electrical film properties, die attach films (DAF) are often designed using composite materials. For example, a die attach film can consist of a polymeric matrix (e.g., epoxy resins, etc.) with embedded filler materials (e.g., SiO2 particles, etc.). Both materials in this example (epoxy and SiO2) are capable of being plasma etched. For example, the polymer matrix can be etched in an oxygen-containing plasma. A silicon dioxide (SiO2) component can also be plasma etched, though due to the strength of the silicon oxygen bond, significant ion energy or higher wafer temperatures are often required to get commercially viable SiO2 plasma etch rate. While these higher ion energy and/or higher temperature conditions will etch an SiO2 component in a DAF film, these conditions may also etch exposed materials of the device structure, potentially damaging the device (e.g., degrading device performance and/or yield). Therefore there is a need to be able to remove composite materials during a dicing process flow that does not significantly damage the singulated devices.
  • FIG. 10 shows an example of a work piece (2800). The work piece (2800) is similar to work piece (320) with the addition of at least one composite layer (2810) to be singulated. The work piece can contain a substrate (100) that contains at least one device structure (110) and at least one street region (120). The device structure (110) can be at least partially covered by a protective film (200).
  • In all embodiments, the composite layer (2810) can be composed of more than one component. The components of the composite film can differ from one another by chemical property (e.g., composition) or physical property (e.g., material phase, material structure, etc.) or both. The composite layer (2810) can be less than 100 microns thick. The composite layer (2810) can be less than 50 microns thick. The composite layer (2810) can be less than 25 microns thick
  • In all embodiments, a composite material can contain carbon (e.g., polymeric materials, graphite, SiC, etc.). A composite material can contain silicon (e.g., Si, SiO2, SiC, SiN, etc.). A composite material can contain a metal.
  • In all embodiments, a composite layer can be in contact with the substrate (100). A composite layer can be in contact with the support film (300). A composite material can be in contact with both the substrate (100) and the support film (300). A composite film can be adhesively attached to the substrate (100). The composite layer (2810) can be adhesively attached to both the substrate (100) and the support film (300). A composite layer can be a die attach film (DAF). The composite film can be a DAF that contains a filler material. The DAF filler material can contain Si. The DAF filler material can be SiO2.
  • The composite layer can contain a material that exhibits an ion-assisted plasma etch mechanism in a plasma.
  • A composite material can contain a matrix component. The matrix component can contain a metal. The matrix component can contain carbon (e.g., polymer, etc.). The matrix component can be a polymeric matrix. The polymer matrix can be a thermoset. The polymer matrix can be a thermoplastic. The polymeric matrix can contain any of the following resins: epoxy, polyimide, polyamide, polyester, etc. The matrix can contain more than one component (e.g., resin, copolymers, blended polymers, etc.). The matrix component can be carbon. The matrix component can encapsulate a filler component.
  • The composite material can contain a composite reinforcement (e.g., filler, etc.). The reinforcement material can comprise greater than 5% of the composite material. The reinforcement material can comprise greater than 25% of the composite material. The reinforcement material can comprise greater than 50% of the composite material. The reinforcement material can comprise greater than 75% of the composite material. The reinforcement material can comprise greater than 90% of the composite material. The reinforcement material can be in discrete domains within the composite material (e.g., filler particles). The composite reinforcement can contain a wide range of materials including carbon-containing materials, silicon-containing materials, metal-containing materials, ceramic, etc. The composite reinforcement can contain silicon dioxide (SiO2). The composite reinforcement can have isotropic or anisotropic composition. The composite material can be a fiber reinforced composite. A fiber reinforced composite can contain long fibers, short fibers, or a combination of both. The composite material can be a flake reinforced composite. The composite material can be a particle reinforced composite. The particle reinforced composite can contain spherically shaped particles. The particles can be solid, hollow, or a combination of both. The composite material can be a laminar reinforced composite.
  • FIGS. 11A and 11B show the work piece (2800) at various stages in a singulation process.
  • FIG. 11A shows a work piece (2800) where substrate material (100) has been removed in a street region (120). A substrate etch process can be used to remove substrate material from at least one street area (120). The substrate removal process can remove substrate material (100) from substantially all street regions (120). The substrate removal process can remove all substrate material from at least one street region. The substrate removal process can remove substantially all substrate material from substantially all street regions. During the substrate etch process, the work piece temperature is typically held below a maximum value that might damage the support film tape (300). Many support films (e.g., dicing tapes) are compatible up to approximately 100° C. Some specialty support films can be compatible to 200° C. and greater.
  • The substrate etch process can be a vacuum process. The substrate etch process can be a plasma etch process. The plasma etch process can be a cyclical process (e.g., Bosch process, deep reactive ion etch (DRIE) process, time division multiplex (TDM) process, etc.). The substrate etch process can be at least partially anisotropic. The substrate etch process can be completely anisotropic.
  • The substrate etch process can expose at least a portion of a composite film (120) overlapped by a street region (120). The substrate etch process can expose all of the composite layer overlapped by a street region (120).
  • The substrate etch process can be designed to remove a portion of substrate material overlapped by the protective material (200) (e.g., the substrate etch feature profile can be re-entrant. In other words, the width of the substrate etch feature (e.g., dicing street in the substrate) created by the substrate etch can be narrower at the substrate surface that contains the device (110) compared the feature width at the opposing face of the substrate). The width of the substrate etch feature (e.g., dicing street width) can be different from the street dimension of the protective material (200).
  • The substrate etch process can remove the substrate material faster than the composite material (e.g., the substrate etch process can have a substrate:composite etch selectivity (rate of substrate removal/rate of composite film removal) greater than one). The substrate etch process can have a substrate:composite etch selectivity greater than 10. The substrate etch process can have a substrate:composite etch selectivity greater than 100. The composite material can act as an etch stop for the substrate etch process.
  • The substrate etch process can etch the composite material. The substrate can remove a portion of the composite material. The substrate removal process by itself does not expose the support film in a street region where the composite film overlaps the street region. The substrate etch process alone does not etch through the composite film.
  • FIG. 11B shows a point in the dicing process flow where the composite film (2810) has been at least partially removed in at least one street region (120). The composite film (2810) can be completely removed in at least one street region (120). The composite layer (2810) can be damaged or removed in order to singulate the die. The composite film can be completely removed in the street regions (120).
  • FIG. 12 is a flow chart of a portion of an improved substrate dicing sequence. Once substrate material has been removed in at least one street region (120), the composite film needs to be processed to continue the singulation process. The composite film process can be an etch process. The composite film process can be a plasma etch process. The plasma etch process can chemically etch a first component of the composite film. The first component can be a matrix component. The plasma etch process can contain oxygen (e.g., O2, CO, CO2, etc.). The plasma etch process can contain hydrogen (e.g., H2, H2S, H2O, etc.). The plasma etch process can contain nitrogen (e.g., N2, NH3, N2O, etc.). The process gas can contain at least one inert gas (e.g., Ar, He, etc.). The process gas mixture can contain air. The plasma etch process can have a process pressure greater than 50 Torr. The plasma can be generated near atmospheric pressure. The plasma can be generated at a pressure greater than atmospheric pressure. The plasma can be an atmospheric plasma. The plasma can be a nonequilibrium plasma (e.g., low temperature plasma, local thermal equilibrium plasma, etc.). The plasma can be generated by an atmospheric plasma jet source, corona discharge source, dielectric barrier discharge (DBD) source, micro hollow cathode discharge source, or other plasma sources as is known in the art.
  • In the case where the composite film process contains a plasma, it can be necessary to cool the work piece to maintain a support film temperature below a support film damage threshold. The work piece can be cooled by being placed in contact with a cooled work piece support (e.g., cooled by thermal conduction) during the composite film etch process. The work piece can be clamped (e.g., electrostatically clamped, mechanically clamped, etc.) to the work piece support. The work piece can be cooled by convection (e.g., natural convection, forced convection, etc.).
  • The composite film process can apply a force to the composite film. The force can assist in the removal of a second component of the composite film. The second component of the composite film can be a reinforcement component. The reinforcement component can be a filler. The force can be a fluid force. The force can be an aerodynamic force. The force can be applied to the composite film by a fluid jet. The force can be applied to the composite film by more than one fluid jet. The force can be applied at normal incidence to the composite film. The force can be applied off-normal incidence to the composite film. The force can be applied over a range of incidence angles to the composite film. The fluid can consist of one or more fluids. At least one fluid can be a gas. At least one fluid can contain a plasma. The fluid can contain solids. Some portion of the fluid can pass through a plasma source. Some portion of the fluid may bypass a plasma source (e.g., a portion of the fluid does not pass through a plasma source). The fluid force does not dislodge die from the support film. The fluid force does not dislodge die from the composite film. The fluid force does not damage the device. It is preferred that the fluid force does not damage the support film such that it is not compatible with downstream processing (e.g., the composite etch process does not tear the support film, stretch the film such that die come into contact with or damage one another, etc.)
  • The area on the substrate contacted by the fluid can be less than the total area of the substrate. In order to remove the composite layer in all street regions within the substrate it can be necessary to move the fluid jet relative to the substrate. The work piece can be moved relative to the work piece. The work piece can be moved relative to the fluid jet. Both the work piece and the fluid jet can be move relative to one another.
  • In the case of multiple fluid jets, at least two fluid jets can have a different fluid composition. The fluid jet composition can differ by composition (e.g., process gas mixture, etc.) or different physical property (e.g., temperature, phase, etc.) At least two fluid jets can apply different forces to the work piece. At least two fluid jets can have a different incidence angle on the work piece. At least two fluid jets can contact the same area of composite film (e.g., at least a portion of the fluid jets overlap on the work piece). At least two fluid jets can contact different areas of the composite film (e.g., at least a portion of the fluid jets do not overlap on the work piece). At least two fluid jets can apply force to the film at the same time (e.g., at least two fluid jets are both applied to the work piece at the same time). At least two fluid jets can apply force to the film at different times (e.g., at least two fluid jets are not applied to the work piece at the same time). In one embodiment, at least one fluid jet contains a plasma and another fluid jet does not contain a plasma. At least one fluid jet can be used to reduce the substrate temperature.
  • The composite film process can etch a first component of the composite film faster than a second component of the composite film (e.g., the first component:second component etch selectivity is greater than 1:1). The first component:second component etch selectivity can be greater than 10:1. The first component:second component etch selectivity can be greater than 100:1. The first component:second component etch selectivity can be greater than 1000:1. The first component can be a matrix component. The matrix component can be a polymer (e.g., epoxy, polyimide, etc.) The second component can be a filler component. The second component can contain silicon. The second component can contain silicon dioxide.
  • The composite film etch process can be at least partially isotropic. The process can be isotropic. The composite film etch process can be anisotropic.
  • The composite film process can be selective to the substrate material. The etch rate of at least one component of the composite film can be greater than the substrate material etch rate (e.g., composite film:substrate etch selectivity is greater than 1:1). The composite film:substrate etch selectivity can be greater than 10:1. The composite film:substrate etch selectivity can be greater than 100:1. The composite film:substrate etch selectivity can be greater than 1000:1. In one embodiment, the composite film process does not etch the substrate.
  • The composite film process can be selective to the exposed device material(s). The etch rate of at least one component of the composite film can be greater than the at least one exposed device material (e.g., composite film:device etch selectivity is greater than 1:1). The composite film:device etch selectivity can be greater than 10:1. The composite film: device etch selectivity can be greater than 100:1. The composite film: device etch selectivity can be greater than 1000:1. The etch rate of at least one component of the composite film can be greater than exposed device materials. In one embodiment, the composite film process does not etch the device (e.g., the composite film process does not etch any of the exposed device materials). It is preferred that the composite film process does not damage the device (e.g., electrical damage, structural damage, etc.). It is preferred that the composite film process does not degrade the yield of the devices.
  • The composite film process may etch exposed regions of the support film. It is preferred that the composite film process does not etch through the support film. It is preferred that the composite film process allows the support film to be compatible with downstream processes (e.g., no tears in the support film, the support film is compatible with a downstream die attach process; the support film maintains adequate tension to support the singulated die without damaging the die; the support film remains attached to the workpiece frame; etc.). FIGS. 13A-D show an overview of the composite film removal process. FIG. 13A shows a portion of the work piece after the substrate removal process has been performed. The composite film (3205) can be exposed in the street regions. The composite film (3205) consists of a matrix material (3210) and a reinforcement material (3220). FIG. 13B shows a composite film etch process (1300) is applied to the workpiece and removing a portion of a matrix component of a composite film. FIG. 13C illustrates a portion of the work piece as the composite film etch process continues to remove (e.g., chemically etches, plasma etches, etc.) the matrix component (3210) which can expose an embedded reinforcement component (3220) of the composite film. The reinforcement component (3221) can be physically removed from the composite film by a force (e.g., by a fluid force, aerodynamic force, etc.) applied by the composite film removal process (1300). FIG. 13D illustrates the composite film process after the composite film has been removed. FIG. 13D illustrates that the composite film process can etch into the support film (300). The composite film process can be stopped prior to the composite film being cleared completely from the street region. In this case, the remainder of the composite film can be separated in a downstream process.
  • By way of example, the invention can be applied to a work piece that contains a die attach film (DAF). The DAF film (3205), contains approximately 50% of an SiO2 filler (3220) in an epoxy-containing polymer matrix (3210). The SiO2 particles (3220) are approximately 1 micron in diameter. A plasma etch process using a deep reactive ion etch (DRIE) etch process was used to remove the substrate material (not shown) from the street regions. The plasma etch process was implemented on a commercially available MDS-100 plasma etch system by Plasma-Therm, LLC and used three steps per loop as shown in the table below:
  • Deposition Etch A Etch B
    Time <sec>  1-10  1-5   1-20
    Pressure <mtorr>  10-150  10-150  50-2000
    SF6 Flow <sccm>  0-100  0-300  200-2000
    C4F8 Flow < sccm>  50-200  0-100   0-100
    O2 Flow <seem>  0-100  0-100   0-500
    Ar Flow <seem>  0-200  0-200   0-200
    RF Bias Power <W>  0-100  0-1000   0-200
    ICP Power <W> 500-5000 500-5000 1000-10000+
  • Following the substrate etch, a near atmospheric etch process was applied to the work piece.
  • Value
    Pressure <Torr>  50-5000
    CxFy Flow < slm>   0-10
    O2 Flow <slm> 0.1-100
    Ar Flow < slm > 0.1-200
    Power <W>  10-2000
    Fluid outlet to substrate distance <mm> 0.1-40
  • For the example above, after the plasma etch removes the silicon substrate material in the street regions, an oxygen atmospheric plasma was applied to the die attach film. The oxygen plasma chemically removes the epoxy matrix that contains the SiO2 particles. The oxygen plasma does not etch the SiO2 particles well. As the expoxy matrix is removed from the SiO2 particles, the force applied by the plasma/gas flow physically dislodges (removes) the SiO2 particles—exposing new epoxy material that can be subsequently etched. In this manner, an oxygen plasma at near to atmospheric pressure is capable of removing both the expoxy matrix material and SiO2 filler particles. The oxygen-based atmospheric plasma does not etch the silicon substrate. Furthermore, the oxygen-based atmospheric plasma does not etch the exposed device surfaces (e.g., metal pads, inorganic passivation films such as SiO2 and SiN, etc.).
  • A fluorine containing process gas (e.g., CxFy, SF6, NF3, etc.) can be added to the gas mixture of the composite film etch process. Fluorinated gases can comprise less than 50% by volumetric flow of the gas mixture. Fluorinated gases can comprise less than 20% by volumetric flow of the gas mixture. Fluorinated gases can comprise less than 10% by volumetric flow of the gas mixture. Fluorinated gases can comprise less than 5% by volumetric flow of the gas mixture. The addition of fluorine to the process gas mixture can raise the potential for damage to device layers (e.g., SiO2 and SiN passivation layers). Lower fluorine concentrations (e.g., less than 10% fluorine containing gas by volumetric flow) are desirable when exposed device layers are susceptible to etch damage. The addition of a fluorine-containing gas can increase the removal rate of polymeric materials. Fluorine-containing gases can also be used to affect other components in the composite film. For example in the case of a die attach film composed of an expoxy resin and SiO2 particle fillers, the addition of fluorine increases the epoxy etch rate and can prevent the agglomeration of SiO2 particles by slowly etching the exposed SiO2 particle surfaces. The presence of fluorine improves the ability of the aerodynamic forces from the fluid jet (e.g., plasma jet and/or secondary fluid jet) to physically remove SiO2 particles). When adding fluorine to the process gas mixture, the potential degradation of exposed device materials should be taken into consideration (e.g., fluorine-based plasmas may also etch or modify sensitive device layers including but not limited to SiO2 and SiN).
  • The present disclosure includes that contained in the appended claims, as well as that of the foregoing description. Although this invention has been described in its preferred form with a certain degree of particularity, it is understood that the present disclosure of the preferred form has been made only by way of example and that numerous changes in the details of construction and the combination and arrangement of parts may be resorted to without departing from the spirit and scope of the invention.

Claims (27)

What is claimed is:
1. A method for dicing a substrate on a composite film, the method comprising:
providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region;
adhering the composite film to the substrate and to the support film;
removing substrate material from the at least one street region to expose a portion of the composite film;
removing the exposed composite film from the at least one street region;
plasma etching a first component of the exposed portion of the composite film; and
removing a second component of the composite film by applying a force to the composite film.
2. The method according to claim 1 further comprising generating a plasma at a pressure greater than 50 Torr.
3. The method according to claim 1 wherein the removal of substrate material step further comprising a substrate etch process.
4. The method according to claim 1 further comprising cooling the work piece.
5. The method according to claim 1 wherein the composite film contains a matrix-based material.
6. The method according to claim 1 wherein the first component is a matrix component.
7. The method according to claim 1 wherein the second component is a filler component.
8. The method according to claim 1 wherein the first component contains a polymer.
9. The method according to claim 1 wherein the plasma etch process is at least partially isotropic.
10. The method according to claim 1 wherein the applied force further comprising a fluid jet.
11. A method for dicing a substrate on a composite film, the method comprising:
providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region;
adhering the composite film to the substrate and to the support film;
removing substrate material from the at least one street region to expose a portion of the composite film;
removing the exposed composite film from the at least one street region;
generating a plasma at a pressure greater than 50 Torr;
plasma etching a first component of the exposed portion of the composite film using the generated plasma; and
removing a second component of the composite film by applying a force to the composite film.
12. The method according to claim 11 wherein the removal of substrate material step further comprising a substrate etch process.
13. The method according to claim 11 further comprising cooling the work piece.
14. The method according to claim 11 wherein the composite film contains a matrix-based material.
15. The method according to claim 11 wherein the first component is a matrix component.
16. The method according to claim 11 wherein the second component is a filler component.
17. The method according to claim 11 wherein the first component contains a polymer.
18. The method according to claim 11 wherein the plasma etch process is at least partially isotropic.
19. The method according to claim 11 wherein the applied force further comprising a fluid jet.
20. A method for dicing a substrate on a composite film, the method comprising:
providing a work piece having a support film, a frame and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one street region;
adhering the composite film to the substrate and to the support film;
removing substrate material from the at least one street region to expose a portion of the composite film;
removing the exposed composite film from the at least one street region;
generating a plasma at a pressure greater than 50 Torr;
plasma etching a first component of the exposed portion of the composite film using the generated plasma; and
removing a second component of the composite film by applying a fluid jet to the composite film.
21. The method according to claim 20 wherein the removal of substrate material step further comprising a substrate etch process.
22. The method according to claim 20 further comprising cooling the work piece.
23. The method according to claim 20 wherein the composite film contains a matrix-based material.
24. The method according to claim 20 wherein the first component is a matrix component.
25. The method according to claim 20 wherein the second component is a filler component.
26. The method according to claim 20 wherein the first component contains a polymer.
27. The method according to claim 20 wherein the plasma etch process is at least partially isotropic.
US16/358,163 2016-11-30 2019-03-19 Method for Dicing Die Attach Film Pending US20190371667A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US16/358,163 US20190371667A1 (en) 2018-06-04 2019-03-19 Method for Dicing Die Attach Film
EP19722716.8A EP3803964B1 (en) 2018-06-04 2019-03-27 Method for dicing die attach film
KR1020207037722A KR102632893B1 (en) 2018-06-04 2019-03-27 Method for dicing die attach film
JP2020567572A JP7324781B2 (en) 2018-06-04 2019-03-27 Method for dicing die attach film
PCT/US2019/024380 WO2019236176A1 (en) 2018-06-04 2019-03-27 Method for dicing die attach film
CN201980036984.XA CN112534567B (en) 2018-06-04 2019-03-27 Method for dicing die attach film
US18/136,708 US20230253252A1 (en) 2016-11-30 2023-04-19 Method and apparatus for plasma dicing a semi-conductor wafer

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862680145P 2018-06-04 2018-06-04
US201862721380P 2018-08-22 2018-08-22
US16/358,163 US20190371667A1 (en) 2018-06-04 2019-03-19 Method for Dicing Die Attach Film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/824,166 Continuation-In-Part US10269641B2 (en) 2016-11-30 2017-11-28 Method and apparatus for plasma dicing a semi-conductor wafer

Publications (1)

Publication Number Publication Date
US20190371667A1 true US20190371667A1 (en) 2019-12-05

Family

ID=68695233

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/358,163 Pending US20190371667A1 (en) 2016-11-30 2019-03-19 Method for Dicing Die Attach Film
US16/358,017 Active US10943825B2 (en) 2018-06-04 2019-03-19 Method for dicing die attach film

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/358,017 Active US10943825B2 (en) 2018-06-04 2019-03-19 Method for dicing die attach film

Country Status (7)

Country Link
US (2) US20190371667A1 (en)
EP (2) EP3803963B1 (en)
JP (2) JP6931135B1 (en)
KR (2) KR102632893B1 (en)
CN (2) CN112424927B (en)
TW (2) TWI776026B (en)
WO (2) WO2019236176A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220165622A1 (en) * 2020-11-25 2022-05-26 Semiconductor Components Industries, Llc Singulation systems and related methods
TWI820938B (en) * 2022-09-29 2023-11-01 強茂股份有限公司 Die suction auxiliary device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070126129A1 (en) * 2005-12-06 2007-06-07 Ace Industries Co., Ltd. Die bonding adhesive tape
US20070232071A1 (en) * 2006-03-31 2007-10-04 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US20150357241A1 (en) * 2014-06-04 2015-12-10 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US20180308755A1 (en) * 2017-04-19 2018-10-25 Disco Corporation Device chip manufacturing method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4171240A (en) 1978-04-26 1979-10-16 Western Electric Company, Inc. Method of removing a cured epoxy from a metal surface
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3991872B2 (en) 2003-01-23 2007-10-17 松下電器産業株式会社 Manufacturing method of semiconductor device
JP4025223B2 (en) * 2003-03-17 2007-12-19 住友ベークライト株式会社 Film adhesive for die bonding, semiconductor device manufacturing method using the same, and semiconductor device
TW200816880A (en) 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
CN102007565A (en) * 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 Substrate processing system and substrate processing method
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8598016B2 (en) * 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
JP5776397B2 (en) 2011-07-19 2015-09-09 東京エレクトロン株式会社 Cleaning method, processing apparatus and storage medium
US8664089B1 (en) * 2012-08-20 2014-03-04 Semiconductor Components Industries, Llc Semiconductor die singulation method
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
JP2014120494A (en) * 2012-12-13 2014-06-30 Disco Abrasive Syst Ltd Wafer processing method
JP6201513B2 (en) 2013-08-19 2017-09-27 東洋紡株式会社 LAMINATE MANUFACTURING METHOD AND DEVICE STRUCTURE MANUFACTURING METHOD USING THE SAME
JP6210847B2 (en) 2013-11-11 2017-10-11 株式会社ディスコ Cutting apparatus and cutting method
US9299614B2 (en) 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
JP2017515316A (en) * 2014-03-07 2017-06-08 プラズマ − サーム、エルエルシー Method and apparatus for plasma dicing a semiconductor wafer
US20150255349A1 (en) * 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9112050B1 (en) 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9142459B1 (en) * 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
US11195756B2 (en) * 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
JP6791086B2 (en) * 2016-10-11 2020-11-25 信越化学工業株式会社 Wafer laminate, its manufacturing method, and adhesive composition for wafer lamination
JP6883405B2 (en) * 2016-11-01 2021-06-09 日東電工株式会社 Manufacturing method of dicing die bonding tape and semiconductor device
JP6512454B2 (en) * 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 Method of manufacturing element chip
JP6800129B2 (en) * 2017-11-07 2020-12-16 古河電気工業株式会社 Manufacturing method of semiconductor package using film-like adhesive and film-like adhesive
JP6994646B2 (en) * 2018-01-17 2022-01-14 パナソニックIpマネジメント株式会社 Method of manufacturing element chips

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070126129A1 (en) * 2005-12-06 2007-06-07 Ace Industries Co., Ltd. Die bonding adhesive tape
US20070232071A1 (en) * 2006-03-31 2007-10-04 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US20150357241A1 (en) * 2014-06-04 2015-12-10 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US20180308755A1 (en) * 2017-04-19 2018-10-25 Disco Corporation Device chip manufacturing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220165622A1 (en) * 2020-11-25 2022-05-26 Semiconductor Components Industries, Llc Singulation systems and related methods
US11972980B2 (en) * 2020-11-25 2024-04-30 Semiconductor Components Industries, Llc Singulation systems and related methods
TWI820938B (en) * 2022-09-29 2023-11-01 強茂股份有限公司 Die suction auxiliary device

Also Published As

Publication number Publication date
CN112424927B (en) 2022-03-18
KR20210018849A (en) 2021-02-18
TW202004883A (en) 2020-01-16
EP3803963A1 (en) 2021-04-14
JP2021524675A (en) 2021-09-13
CN112534567B (en) 2024-01-26
EP3803964B1 (en) 2024-03-20
JP6931135B1 (en) 2021-09-01
KR102632893B1 (en) 2024-02-01
KR20210018329A (en) 2021-02-17
EP3803963B1 (en) 2022-08-10
TWI776026B (en) 2022-09-01
US10943825B2 (en) 2021-03-09
TW202006807A (en) 2020-02-01
KR102299394B1 (en) 2021-09-07
WO2019236175A1 (en) 2019-12-12
EP3803964A1 (en) 2021-04-14
TWI741262B (en) 2021-10-01
US20200083084A1 (en) 2020-03-12
CN112424927A (en) 2021-02-26
WO2019236176A1 (en) 2019-12-12
CN112534567A (en) 2021-03-19
JP2021527318A (en) 2021-10-11
JP7324781B2 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
US11651999B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US10707060B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US8946058B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
EP3803964B1 (en) Method for dicing die attach film
US20230253252A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer
USRE46339E1 (en) Method and apparatus for plasma dicing a semi-conductor wafer

Legal Events

Date Code Title Description
AS Assignment

Owner name: PLASMA-THERM, LLC, FLORIDA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NOTARIANNI, MARCO;LEA, LESLIE;WESTERMAN, RUSSELL;SIGNING DATES FROM 20190304 TO 20190307;REEL/FRAME:048638/0966

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED