US20190333913A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20190333913A1
US20190333913A1 US16/504,314 US201916504314A US2019333913A1 US 20190333913 A1 US20190333913 A1 US 20190333913A1 US 201916504314 A US201916504314 A US 201916504314A US 2019333913 A1 US2019333913 A1 US 2019333913A1
Authority
US
United States
Prior art keywords
trench
sti
gate structure
top portion
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/504,314
Inventor
Li-Wei Feng
Chien-Ting Ho
Shih-Fang Tzou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Original Assignee
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujian Jinhua Integrated Circuit Co Ltd, United Microelectronics Corp filed Critical Fujian Jinhua Integrated Circuit Co Ltd
Priority to US16/504,314 priority Critical patent/US20190333913A1/en
Publication of US20190333913A1 publication Critical patent/US20190333913A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • H01L27/10823
    • H01L27/10876
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Definitions

  • the invention relates to a method for fabricating semiconductor device, and more particularly to a method for fabricating a dynamic random access memory (DRAM) device.
  • DRAM dynamic random access memory
  • DRAM dynamic random access memory
  • a DRAM unit with buried gate structure includes a transistor device and a charge storage element to receive electrical signals from bit lines and word lines.
  • a transistor device to receive electrical signals from bit lines and word lines.
  • current DRAM units with buried gate structures still pose numerous problems due to limited fabrication capability. Hence, how to effectively improve the performance and reliability of current DRAM device has become an important task in this field.
  • a method for fabricating semiconductor device includes the steps of first forming a first trench and a second trench in a substrate and then forming a shallow trench isolation (STI) in the first trench, in which the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second trench.
  • STI shallow trench isolation
  • a conductive layer is formed in the first trench and the second trench to form a first gate structure and a second gate structure.
  • a semiconductor device includes: a first gate structure in a substrate; a second gate structure in the substrate and adjacent to the first gate structure; and a shallow trench isolation (STI) under the first gate structure.
  • the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second gate structure.
  • a semiconductor device includes a shallow trench isolation (STI) in a substrate.
  • the STI includes a bottom portion and a top portion on the bottom portion, in which a top surface of the top portion is even with or higher than the top surface of the substrate and a top surface of the bottom portion is lower than the top surface of the substrate.
  • FIGS. 1-5 illustrate a method for fabricating a DRAM device according to an embodiment of the present invention.
  • FIGS. 1-5 illustrate a method for fabricating a DRAM device according to an embodiment of the present invention, in which FIG. 1 illustrates a top-view diagram, FIG. 2 illustrates a cross-sectional view of FIG. 1 along the sectional line AA′, FIG. 3 illustrates a cross-sectional view of FIG. 1 along the sectional line BB′, FIG. 4 illustrates a cross-sectional view following the fabrication of FIG. 2 , and FIG. 5 illustrates a cross-sectional view following the fabrication of FIG. 3 .
  • the present embodiment pertains to fabricate a memory device, and more particularly a DRAM device 10 , in which the DRAM device 10 includes at least a transistor device (not shown) and at least a capacitor structure (not shown) that will be serving as a smallest constituent unit within the DRAM array and also used to receive electrical signals from bit lines 12 and word lines 14 .
  • the DRAM device 10 includes at least a transistor device (not shown) and at least a capacitor structure (not shown) that will be serving as a smallest constituent unit within the DRAM array and also used to receive electrical signals from bit lines 12 and word lines 14 .
  • the DRAM device 10 includes a substrate 16 such as a semiconductor substrate or wafer made of silicon, a shallow trench isolation (STI) 24 formed in the substrate 16 , and a plurality of active areas (AA) 18 defined on the substrate 16 .
  • a memory region 20 and a periphery region are also defined on the substrate 16 , in which multiple word lines 14 and multiple bit lines 12 are preferably formed on the memory region 20 while other active devices (not shown) could be formed on the periphery region.
  • STI shallow trench isolation
  • AA active areas
  • the active regions 18 are disposed parallel to each other and extending along a first direction
  • the word lines 14 or multiple gates 22 are disposed within the substrate 16 and passing through the active regions 18 and STI 24 .
  • the gates 22 are disposed extending along a second direction, in which the second direction crosses the first direction at an angle less than 90 degrees.
  • the bit lines 12 on the other hand are disposed on the substrate 16 parallel to each other and extending along a third direction while crossing the active regions 18 and STI 24 , in which the third direction is different from the first direction and orthogonal to the second direction.
  • the first direction, second direction, and third direction are all different from each other while the first direction is not orthogonal to both the second direction and the third direction.
  • contact plugs such as bit line contacts (BLC) (not shown) are formed in the active regions 18 adjacent to two sides of the word lines 14 to electrically connect to source/drain region (not shown) of each transistor element and storage node contacts (not shown) are formed to electrically connect to a capacitor.
  • BLC bit line contacts
  • word lines 14 (or also referred to as buried word lines) is explained below.
  • a first trench 26 is formed in the substrate 16
  • a shallow trench isolation (STI) 24 is formed in the first trench 26
  • at least a second trench, such as second trenches 28 are formed adjacent to the first trench 26 .
  • the STI 24 includes a top portion 30 and a bottom portion 32 and the top surface of the top portion 30 is even with or higher than the bottom surface of the second trench 28 .
  • the formation of the STI 24 could be accomplished by first forming the first trench 26 and then sequentially forming a liner 34 and a dielectric layer 36 in the first trench 26 .
  • a patterned mask (not shown) is formed on the substrate 16 to expose part of the first trench 26 and part of the substrate 16 surface adjacent to the first trench 26 , and an etching process is conducted by using the patterned mask as mask to remove part of the liner 34 and part of the dielectric layer 36 within the first trench 26 and at the same time remove part of the substrate 16 adjacent to the first trench 26 to form second trenches 28 .
  • the remaining dielectric layer 36 after the etching process becomes the top portion 30 of the STI 24 while the remaining liner 34 becomes the bottom portion 32 of the STI 24 .
  • the liner 34 and the dielectric layer 36 are preferably made of different material, in which the liner 34 in this embodiment is preferably made of silicon oxide while the dielectric layer 36 is made of silicon nitride.
  • an etchant of the aforementioned etching process is selected from the group consisting of CH 3 F and O 2 , and an etching selectivity of silicon oxide to silicon nitride is controlled at 20:1.
  • a greater portion of the liner 34 and a smaller or lesser portion of the dielectric layer 36 were removed during the aforementioned etching process, so that the top surface of the remaining dielectric layer 36 or top portion 30 of the STI 24 is even with or slightly higher than the bottom surface of the adjacent second trench 28 .
  • top surface of the top portion 30 is represented by a curve while the topmost or apex point of the curve or two valley points on two sides of the curve were all even with or higher than the bottom surface of the second trench 28 , according to an embodiment of the present invention, it would also be desirable to adjust the parameter or recipe during the etching of the liner 34 and the dielectric layer 36 so that the top surface of the top portion 30 or the remaining dielectric layer 36 forms a completely planar surface and in such instance, the planar top surface would also be even with or higher than the bottom surface of the second trench 28 , which are all within the scope of the present invention.
  • an in-situ steam generation (ISSG) process is conducted to form a gate dielectric layer (not shown) in the first trench 26 and second trenches 28 , and a work function metal layer 38 and a conductive layer 40 are formed on the gate dielectric layer.
  • an etching back process is conducted to remove part of the conductive layer 40 and part of the work function metal layer 38 so that the top surface of the remaining conductive layer 40 and work function metal layer 38 is slightly lower than the top surface of the substrate 16 .
  • This forms a first gate structure 42 in the first trench 26 and second gate structures 44 in the second trenches 28 .
  • hard masks 46 are formed on the first gate structure 42 and second gate structures 44 , in which the top surfaces of the hard masks 46 and the substrate 16 are coplanar.
  • the gate dielectric layer preferably includes silicon oxide or high-k dielectric layer depending on the demand of the product, in which the high-k dielectric layer is preferably selected from dielectric materials having dielectric constant (k value) larger than 4 .
  • the high-k dielectric layer may be selected from hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titan
  • the work function metal layer 38 could include n-type work function metal layer or p-type work function metal layer depending on the demand of the process or product.
  • n-type work function metal layer could include work function metal layer having a work function ranging between 3.9 eV and 4.3 eV such as but not limited to for example titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto.
  • P-type work function metal layer on the other hand could include work function metal layer having a work function ranging between 4.8 eV and 5.2 eV such as but not limited to for example titanium nitride (TiN), tantalum nitride (TaN), or tantalum carbide (TaC), but not limited thereto.
  • the conductive layer 40 preferably includes low resistance material such as but not limited to for example Cu, Al, W, TiAl, CoWP, or combination thereof.
  • the hard masks 46 preferably includes silicon nitride, but could also include other dielectric material such as but not limited to for example SiO 2 , SiON, or SiCN.
  • an ion implantation process could be conducted depending on the demand of the process to form a doped region (not shown) such as lightly doped drain or source/drain region in the substrate 16 adjacent to two sides of the first gate structure 42 or second gate structure 44 .
  • a contact plug process could be conducted to form bit line contacts adjacent to two sides of the second gate structures 48 electrically connecting the source/drain region and bit lines formed thereafter and storage node contacts electrically connecting the source/drain region and capacitors fabricated in the later process.
  • FIG. 4 illustrates a structural view of a DRAM device according to an embodiment of the present invention.
  • the DRAM device 10 includes a first gate structure 42 in the substrate 16 , at least a second gate structure 44 in the substrate 16 adjacent to the first gate structure 42 , hard masks 46 on the first gate structure 42 and second gate structures 44 , and a STI 24 disposed under the first gate structure 42 .
  • the STI 24 includes a top portion 30 and a bottom portion 32 , in which the top surface of the top portion 30 is even with or slightly higher than the bottom surface of the second gate structure 44 .
  • Each of the first gate structure 42 and second gate structure 44 includes work function metal layer 38 and conductive layer 40 , the top surfaces of the first gate structure 42 and second gate structures 44 are coplanar, and the top surface of the hard masks 46 is even with the top surface of the substrate 16 .
  • the top surface of the top portion 30 of the STI 24 could also include a completely planar surface and in such instance, the planar top surface of the top portion 30 would also be even with or higher than the bottom surface of the adjacent second gate structure 44 .
  • the top portion 30 and the bottom portion 32 of the STI 24 are preferably made of different material.
  • the top portion 30 preferably includes silicon nitride and the bottom portion 32 preferably includes silicon oxide, but not limited thereto.
  • FIG. 5 illustrates a structural view of a DRAM device according to an embodiment of the present invention.
  • the DRAM device 10 includes a STI 24 in the substrate 16 and the STI 24 further includes a top portion 30 and a bottom portion 32 .
  • the top surface of the top portion 30 is even with or higher than the top surface of the substrate 16 and the top surface of the bottom portion 32 is lower than the top surface of the substrate 16 .
  • the bottom portion 32 of the STI 24 is substantially U-shaped while the two vertical portions or sidewalls of the U-shaped bottom portion 32 are disposed between the top portion 30 and the substrate 16 .
  • the top portion 30 and bottom portion 32 of the STI 24 are made of different material, in which the top portion 30 preferably includes silicon nitride while the bottom portion 32 includes silicon oxide, but not limited thereto.
  • the present invention preferably increases the overall height of the STI before gate structures are formed so that the top surface of the top portion of the STI would be at least even with or slightly higher than the adjacent trench used for forming gate structures.

Abstract

A method for fabricating semiconductor device includes the steps of first forming a first trench and a second trench in a substrate and then forming a shallow trench isolation (STI) in the first trench, in which the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second trench. Next, a conductive layer is formed in the first trench and the second trench to form a first gate structure and a second gate structure.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a division of U.S. application Ser. No. 15/610,642 filed Jun. 1, 2017, and incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The invention relates to a method for fabricating semiconductor device, and more particularly to a method for fabricating a dynamic random access memory (DRAM) device.
  • 2. Description of the Prior Art
  • As electronic products develop toward the direction of miniaturization, the design of dynamic random access memory (DRAM) units also moves toward the direction of higher integration and higher density. Since the nature of a DRAM unit with buried gate structures has the advantage of possessing longer carrier channel length within a semiconductor substrate thereby reducing capacitor leakage, it has been gradually used to replace conventional DRAM unit with planar gate structures.
  • Typically, a DRAM unit with buried gate structure includes a transistor device and a charge storage element to receive electrical signals from bit lines and word lines. Nevertheless, current DRAM units with buried gate structures still pose numerous problems due to limited fabrication capability. Hence, how to effectively improve the performance and reliability of current DRAM device has become an important task in this field.
  • SUMMARY OF THE INVENTION
  • According to an embodiment of the present invention, a method for fabricating semiconductor device includes the steps of first forming a first trench and a second trench in a substrate and then forming a shallow trench isolation (STI) in the first trench, in which the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second trench. Next, a conductive layer is formed in the first trench and the second trench to form a first gate structure and a second gate structure.
  • According to another aspect of the present invention, a semiconductor device includes: a first gate structure in a substrate; a second gate structure in the substrate and adjacent to the first gate structure; and a shallow trench isolation (STI) under the first gate structure. Preferably, the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second gate structure.
  • According to yet another aspect of the present invention, a semiconductor device includes a shallow trench isolation (STI) in a substrate. Preferably, the STI includes a bottom portion and a top portion on the bottom portion, in which a top surface of the top portion is even with or higher than the top surface of the substrate and a top surface of the bottom portion is lower than the top surface of the substrate.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-5 illustrate a method for fabricating a DRAM device according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIGS. 1-5, FIGS. 1-5 illustrate a method for fabricating a DRAM device according to an embodiment of the present invention, in which FIG. 1 illustrates a top-view diagram, FIG. 2 illustrates a cross-sectional view of FIG. 1 along the sectional line AA′, FIG. 3 illustrates a cross-sectional view of FIG. 1 along the sectional line BB′, FIG. 4 illustrates a cross-sectional view following the fabrication of FIG. 2, and FIG. 5 illustrates a cross-sectional view following the fabrication of FIG. 3. Preferably, the present embodiment pertains to fabricate a memory device, and more particularly a DRAM device 10, in which the DRAM device 10 includes at least a transistor device (not shown) and at least a capacitor structure (not shown) that will be serving as a smallest constituent unit within the DRAM array and also used to receive electrical signals from bit lines 12 and word lines 14.
  • As shown in FIG. 1, the DRAM device 10 includes a substrate 16 such as a semiconductor substrate or wafer made of silicon, a shallow trench isolation (STI) 24 formed in the substrate 16, and a plurality of active areas (AA) 18 defined on the substrate 16. A memory region 20 and a periphery region (not shown) are also defined on the substrate 16, in which multiple word lines 14 and multiple bit lines 12 are preferably formed on the memory region 20 while other active devices (not shown) could be formed on the periphery region. For simplicity purpose, only devices or elements on the memory region 20 are shown in FIG. 1 while elements on the periphery region are omitted.
  • In this embodiment, the active regions 18 are disposed parallel to each other and extending along a first direction, the word lines 14 or multiple gates 22 are disposed within the substrate 16 and passing through the active regions 18 and STI 24. Preferably, the gates 22 are disposed extending along a second direction, in which the second direction crosses the first direction at an angle less than 90 degrees.
  • The bit lines 12 on the other hand are disposed on the substrate 16 parallel to each other and extending along a third direction while crossing the active regions 18 and STI 24, in which the third direction is different from the first direction and orthogonal to the second direction. In other words, the first direction, second direction, and third direction are all different from each other while the first direction is not orthogonal to both the second direction and the third direction. Preferably, contact plugs such as bit line contacts (BLC) (not shown) are formed in the active regions 18 adjacent to two sides of the word lines 14 to electrically connect to source/drain region (not shown) of each transistor element and storage node contacts (not shown) are formed to electrically connect to a capacitor.
  • The fabrication of word lines 14 (or also referred to as buried word lines) is explained below. As shown in FIGS. 2-3, a first trench 26 is formed in the substrate 16, a shallow trench isolation (STI) 24 is formed in the first trench 26, and at least a second trench, such as second trenches 28 are formed adjacent to the first trench 26. Preferably, the STI 24 includes a top portion 30 and a bottom portion 32 and the top surface of the top portion 30 is even with or higher than the bottom surface of the second trench 28.
  • Specifically, the formation of the STI 24 could be accomplished by first forming the first trench 26 and then sequentially forming a liner 34 and a dielectric layer 36 in the first trench 26. Next, a patterned mask (not shown) is formed on the substrate 16 to expose part of the first trench 26 and part of the substrate 16 surface adjacent to the first trench 26, and an etching process is conducted by using the patterned mask as mask to remove part of the liner 34 and part of the dielectric layer 36 within the first trench 26 and at the same time remove part of the substrate 16 adjacent to the first trench 26 to form second trenches 28. Preferably, the remaining dielectric layer 36 after the etching process becomes the top portion 30 of the STI 24 while the remaining liner 34 becomes the bottom portion 32 of the STI 24.
  • In this embodiment, the liner 34 and the dielectric layer 36 are preferably made of different material, in which the liner 34 in this embodiment is preferably made of silicon oxide while the dielectric layer 36 is made of silicon nitride. Preferably, an etchant of the aforementioned etching process is selected from the group consisting of CH3F and O2, and an etching selectivity of silicon oxide to silicon nitride is controlled at 20:1. In other words, a greater portion of the liner 34 and a smaller or lesser portion of the dielectric layer 36 were removed during the aforementioned etching process, so that the top surface of the remaining dielectric layer 36 or top portion 30 of the STI 24 is even with or slightly higher than the bottom surface of the adjacent second trench 28. It should also be noted that even though the top surface of the top portion 30 is represented by a curve while the topmost or apex point of the curve or two valley points on two sides of the curve were all even with or higher than the bottom surface of the second trench 28, according to an embodiment of the present invention, it would also be desirable to adjust the parameter or recipe during the etching of the liner 34 and the dielectric layer 36 so that the top surface of the top portion 30 or the remaining dielectric layer 36 forms a completely planar surface and in such instance, the planar top surface would also be even with or higher than the bottom surface of the second trench 28, which are all within the scope of the present invention.
  • Next, as shown in FIGS. 4-5, an in-situ steam generation (ISSG) process is conducted to form a gate dielectric layer (not shown) in the first trench 26 and second trenches 28, and a work function metal layer 38 and a conductive layer 40 are formed on the gate dielectric layer. Next, an etching back process is conducted to remove part of the conductive layer 40 and part of the work function metal layer 38 so that the top surface of the remaining conductive layer 40 and work function metal layer 38 is slightly lower than the top surface of the substrate 16. This forms a first gate structure 42 in the first trench 26 and second gate structures 44 in the second trenches 28. Next, hard masks 46 are formed on the first gate structure 42 and second gate structures 44, in which the top surfaces of the hard masks 46 and the substrate 16 are coplanar.
  • In this embodiment, the gate dielectric layer preferably includes silicon oxide or high-k dielectric layer depending on the demand of the product, in which the high-k dielectric layer is preferably selected from dielectric materials having dielectric constant (k value) larger than 4. For instance, the high-k dielectric layer may be selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or a combination thereof.
  • The work function metal layer 38 could include n-type work function metal layer or p-type work function metal layer depending on the demand of the process or product. In this embodiment, n-type work function metal layer could include work function metal layer having a work function ranging between 3.9 eV and 4.3 eV such as but not limited to for example titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto. P-type work function metal layer on the other hand could include work function metal layer having a work function ranging between 4.8 eV and 5.2 eV such as but not limited to for example titanium nitride (TiN), tantalum nitride (TaN), or tantalum carbide (TaC), but not limited thereto. The conductive layer 40 preferably includes low resistance material such as but not limited to for example Cu, Al, W, TiAl, CoWP, or combination thereof. The hard masks 46 preferably includes silicon nitride, but could also include other dielectric material such as but not limited to for example SiO2, SiON, or SiCN.
  • Next, an ion implantation process could be conducted depending on the demand of the process to form a doped region (not shown) such as lightly doped drain or source/drain region in the substrate 16 adjacent to two sides of the first gate structure 42 or second gate structure 44. Next, a contact plug process could be conducted to form bit line contacts adjacent to two sides of the second gate structures 48 electrically connecting the source/drain region and bit lines formed thereafter and storage node contacts electrically connecting the source/drain region and capacitors fabricated in the later process.
  • Referring again to FIG. 4, FIG. 4 illustrates a structural view of a DRAM device according to an embodiment of the present invention. As shown in FIG. 4, the DRAM device 10 includes a first gate structure 42 in the substrate 16, at least a second gate structure 44 in the substrate 16 adjacent to the first gate structure 42, hard masks 46 on the first gate structure 42 and second gate structures 44, and a STI 24 disposed under the first gate structure 42.
  • Preferably, the STI 24 includes a top portion 30 and a bottom portion 32, in which the top surface of the top portion 30 is even with or slightly higher than the bottom surface of the second gate structure 44. Each of the first gate structure 42 and second gate structure 44 includes work function metal layer 38 and conductive layer 40, the top surfaces of the first gate structure 42 and second gate structures 44 are coplanar, and the top surface of the hard masks 46 is even with the top surface of the substrate 16.
  • Moreover, as disclosed in the aforementioned embodiment, even though the top surface of the top portion 30 is represented by a curve while the topmost or apex point of the curve or two valley points on two sides of the curve are all even with or higher than the bottom surface of the second gate structure 44, according to an embodiment of the present invention, the top surface of the top portion 30 of the STI 24 could also include a completely planar surface and in such instance, the planar top surface of the top portion 30 would also be even with or higher than the bottom surface of the adjacent second gate structure 44. In this embodiment, the top portion 30 and the bottom portion 32 of the STI 24 are preferably made of different material. For instance, the top portion 30 preferably includes silicon nitride and the bottom portion 32 preferably includes silicon oxide, but not limited thereto.
  • Referring again to FIG. 5, FIG. 5 illustrates a structural view of a DRAM device according to an embodiment of the present invention. As shown in FIG. 5, the DRAM device 10 includes a STI 24 in the substrate 16 and the STI 24 further includes a top portion 30 and a bottom portion 32. Preferably, the top surface of the top portion 30 is even with or higher than the top surface of the substrate 16 and the top surface of the bottom portion 32 is lower than the top surface of the substrate 16. Viewing from another perspective, the bottom portion 32 of the STI 24 is substantially U-shaped while the two vertical portions or sidewalls of the U-shaped bottom portion 32 are disposed between the top portion 30 and the substrate 16. In this embodiment, the top portion 30 and bottom portion 32 of the STI 24 are made of different material, in which the top portion 30 preferably includes silicon nitride while the bottom portion 32 includes silicon oxide, but not limited thereto.
  • Typically during the fabrication of the DRAM device, issues such as current leakage or cross-link typically arise when the gate structures (such as the first gate structures disclosed in the aforementioned embodiment) disposed on the STI is lower than the adjacent gate structures (such as the second gate structures disclosed in the aforementioned embodiment). The issue is commonly referred to as row hammer effect, which not only allows adjacent columns in a DRAM chip to induce bit inversion but also enables any other user to alter the storage content within the machine. To resolve this issue, the present invention preferably increases the overall height of the STI before gate structures are formed so that the top surface of the top portion of the STI would be at least even with or slightly higher than the adjacent trench used for forming gate structures. By following this approach, the bottom of the gate structure formed directly on top of the STI would be at least even with or higher than the adjacent gate structures or word lines and row hammer effect caused by electronic interference between adjacent gate lines could be reduced substantially.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (14)

What is claimed is:
1. A method for fabricating semiconductor device, comprising:
forming a first trench and a second trench in a substrate;
forming a shallow trench isolation (STI) in the first trench, wherein the STI comprises a top portion and a bottom portion and a top surface of the top portion is even with or higher than a bottom surface of the second trench; and
forming a conductive layer in the first trench and the second trench to form a first gate structure and a second gate structure.
2. The method of claim 1, further comprising:
forming a liner in the first trench;
forming a dielectric layer on the liner in the first trench; and
performing an etching process to remove part of the dielectric layer and part of liner to form the STI.
3. The method of claim 2, wherein the remaining dielectric layer forms the top portion of the STI and the remaining liner forms the bottom portion of the STI after performing the etching process.
4. The method of claim 2, wherein an etchant of the etching process is selected from the group consisting of CH3F and O2.
5. The method of claim 2, wherein the liner comprises silicon oxide and the dielectric layer comprises silicon nitride.
6. The method of claim 5, wherein an etching selectivity of silicon oxide to silicon nitride is 20:1.
7. The method of claim 1, wherein the top surfaces of the first gate structure and the second gate structure are coplanar.
8. The method of claim 1, further comprising forming a hard mask on each of the first gate structure and the second gate structure after forming the conductive layer.
9. The method of claim 8, wherein the top surfaces of the hard mask and the substrate are coplanar.
10. The method of claim 8, wherein the hard mask comprises silicon nitride.
11. A semiconductor device, comprising:
a shallow trench isolation (STI) in a substrate, wherein the STI comprises:
a bottom portion; and
a top portion on the bottom portion, wherein a top surface of the top portion is even with or higher than a top surface of the substrate and a top surface of the bottom portion adjacent to two sides of the top portion is lower than the top surface of the top portion.
12. The semiconductor device of claim 11, wherein the top portion and the bottom portion comprise different material.
13. The semiconductor device of claim 11, wherein the top portion comprises silicon nitride and the bottom portion comprises silicon oxide.
14. The semiconductor device of claim 11, wherein a bottom surface of the top portion is lower than the top surface of the bottom portion.
US16/504,314 2017-05-04 2019-07-07 Semiconductor device and method for fabricating the same Abandoned US20190333913A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/504,314 US20190333913A1 (en) 2017-05-04 2019-07-07 Semiconductor device and method for fabricating the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201710307435.4 2017-05-04
CN201710307435.4A CN108807414B (en) 2017-05-04 2017-05-04 Semiconductor element and manufacturing method thereof
US15/610,642 US10396073B2 (en) 2017-05-04 2017-06-01 Semiconductor device and method for fabricating the same
US16/504,314 US20190333913A1 (en) 2017-05-04 2019-07-07 Semiconductor device and method for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/610,642 Division US10396073B2 (en) 2017-05-04 2017-06-01 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
US20190333913A1 true US20190333913A1 (en) 2019-10-31

Family

ID=64014201

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/610,642 Active 2037-06-26 US10396073B2 (en) 2017-05-04 2017-06-01 Semiconductor device and method for fabricating the same
US16/504,314 Abandoned US20190333913A1 (en) 2017-05-04 2019-07-07 Semiconductor device and method for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/610,642 Active 2037-06-26 US10396073B2 (en) 2017-05-04 2017-06-01 Semiconductor device and method for fabricating the same

Country Status (2)

Country Link
US (2) US10396073B2 (en)
CN (1) CN108807414B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6967352B2 (en) * 2017-02-07 2021-11-17 ローム株式会社 Semiconductor devices, manufacturing methods for semiconductor devices, and semiconductor wafer structures.
KR20210048694A (en) * 2019-10-24 2021-05-04 삼성전자주식회사 Semiconductor device
CN115811880A (en) * 2021-09-13 2023-03-17 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
CN116133399A (en) * 2021-09-29 2023-05-16 长鑫存储技术有限公司 Method for manufacturing semiconductor structure and semiconductor structure

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160197084A1 (en) * 2015-01-05 2016-07-07 Chan-Sic Yoon Semiconductor device and method of manufacturing the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI297183B (en) * 2006-03-23 2008-05-21 Nanya Technology Corp Method for fabricating recessed gate mos transistor device
US10026656B2 (en) * 2011-12-06 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate features of semiconductor die
KR102185282B1 (en) * 2014-01-06 2020-12-01 삼성전자 주식회사 Semiconductor device having positive fixed charge containing layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160197084A1 (en) * 2015-01-05 2016-07-07 Chan-Sic Yoon Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
CN108807414B (en) 2021-03-09
US20180323190A1 (en) 2018-11-08
CN108807414A (en) 2018-11-13
US10396073B2 (en) 2019-08-27

Similar Documents

Publication Publication Date Title
US11367725B2 (en) Buried word line of a dynamic random access memory and method for fabricating the same
JP6338631B2 (en) Interdigitated capacitors in split gate flash technology
US9449964B2 (en) Semiconductor process
US10937701B2 (en) Semiconductor device
US20190333913A1 (en) Semiconductor device and method for fabricating the same
US11239243B2 (en) Semiconductor structure for preventing row hammering issue in DRAM cell and method for manufacturing the same
US10714480B2 (en) Method for fabricating contact plug in dynamic random access memory
US8952392B2 (en) Semiconductor structure and process thereof
US11271000B2 (en) Method for fabricating semiconductor device
US20220130839A1 (en) Buried word line of a dynamic random access memory and method for fabricating the same
US10658366B2 (en) Semiconductor device and method for fabricating the same
US10903328B2 (en) Method for fabricating semiconductor device
US20130146954A1 (en) Method Of Memory Array And Structure Form
US20240074148A1 (en) Semiconductor device
US20230397405A1 (en) Semiconductor device including pad pattern
US20230255017A1 (en) Semiconductor apparatus
US11792976B2 (en) Semiconductor memory device
US20230380148A1 (en) Semiconductor device and method for fabricating the same
US20230389287A1 (en) Semiconductor device
TW202412269A (en) Semiconductor device including pad pattern

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION