US20190206729A1 - Cobalt plated via integration scheme - Google Patents

Cobalt plated via integration scheme Download PDF

Info

Publication number
US20190206729A1
US20190206729A1 US15/860,318 US201815860318A US2019206729A1 US 20190206729 A1 US20190206729 A1 US 20190206729A1 US 201815860318 A US201815860318 A US 201815860318A US 2019206729 A1 US2019206729 A1 US 2019206729A1
Authority
US
United States
Prior art keywords
cobalt
wiring
liner
conductive material
barrier liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/860,318
Other versions
US10340183B1 (en
Inventor
Qiang Fang
Shafaat Ahmed
Zhiguo Sun
Jiehui SHU
Dinesh R. Koli
Wei-Tsu Tseng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AHMED, SHAFAAT, FANG, QIANG, SHU, JIEHUI, SUN, ZHIGUO, TSENG, WEI-TSU, KOLI, DINESH R.
Priority to US15/860,318 priority Critical patent/US10340183B1/en
Priority to DE102018206436.9A priority patent/DE102018206436B4/en
Priority to CN201810413309.1A priority patent/CN109994450B/en
Priority to TW107115760A priority patent/TWI691039B/en
Publication of US10340183B1 publication Critical patent/US10340183B1/en
Application granted granted Critical
Publication of US20190206729A1 publication Critical patent/US20190206729A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Definitions

  • the present disclosure relates to semiconductor structures and, more particularly, to a cobalt plated via integration scheme and methods of manufacture.
  • Integrated circuit (IC) devices typically include discrete circuit elements, e.g., transistors, capacitors and resistors, which require interconnect structures to electrically couple or connect the discrete circuit elements into functional circuits.
  • Typical middle of line (MOL) and back end of line (BEOL) metal interconnects may include a wiring line portion and a via portion; however, as technology nodes scale downwards, the interconnect structures become more challenging to fabricate due to the critical dimension (CD) scaling and process capabilities.
  • CD critical dimension
  • the interconnect structures are typically fabricated from copper, and may include a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof.
  • a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof.
  • EM electromigration
  • line-depletion One type of EM induced failure is referred to as “line-depletion”, which initiates from the Cu/Dielectric cap interface.
  • a structure comprises: a via structure composed of cobalt material; and a wiring structure above the via structure.
  • the wiring structure is lined with a barrier liner and the cobalt material and filled with conductive material.
  • a structure comprises: a lower level wiring structure; a via structure in electrical contact with the lower level wiring structure, the via structure including cobalt fill material; and an upper level wiring structure in electrical contact with the via structure, the wiring level wiring structure lined with at least the cobalt material and filled with conductive material.
  • a method comprises: forming a lower level wiring structure; forming a via which exposes the lower level wiring structure; forming a wiring trench above the via; filling the via with cobalt and lining wiring trench with the cobalt; and filling the wiring trench, on the cobalt lining, with conductive material.
  • FIG. 1 shows a wiring structure and dual damascene structure, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 2 show a via of the dual damascene structure completely filled with material, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 3 show a wiring trench of the dual damascene structure filled with conductive wiring material, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 4 shows the via of the dual damascene structure partially filled with material and conductive wiring material, amongst other features, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • FIGS. 5 and 6 show the via of the dual damascene structure completely filled with material, prior to the deposition of a barrier liner, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • FIG. 7 shows the via of the dual damascene structure partially filled with material, prior to deposition of the barrier liner, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • the present disclosure relates to semiconductor structures and, more particularly, to a cobalt plated via integration scheme and methods of manufacture. More specifically, the present disclosure is directed to a partial or full cobalt plating via integration scheme in a dual damascene structure.
  • the present disclosure provides improved (reduces) electromigration (EM) failure in back-end-of-line (BEOL) technologies, as an example.
  • EM electromigration
  • the present disclosure provides a dual damascene structure lined with cobalt (Co) along its sidewalls, with Co partially or fully filling the via with or without a barrier liner.
  • Co cobalt
  • the present disclosure is not limited to dual damascene structures and, as such, is equally applicable to vias and wiring lines fabricated using single damascene processes.
  • the Co plating can be provided prior to copper (Cu) plating to form a Co via with a Cu wiring structure above the Co via. Further, the Co can be used to line both the wiring structure and the via of the dual damascene structure.
  • the embodiments disclosed herein can also include different integration schemes including, e.g., partially or fully filled Co vias, each with or without a barrier liner or any combinations thereof on a same chip.
  • integration schemes including, e.g., partially or fully filled Co vias, each with or without a barrier liner or any combinations thereof on a same chip.
  • the cobalt plating scheme of the present disclosure can be manufactured in a number of ways using a number of different tools.
  • the methodologies and tools are used to form structures with dimensions in the micrometer and nanometer scale.
  • the methodologies, i.e., technologies, employed to manufacture the cobalt plating scheme of the present disclosure have been adopted from integrated circuit (IC) technology.
  • IC integrated circuit
  • the structures are built on wafers and are realized in films of material patterned by photolithographic processes on the top of a wafer.
  • the fabrication of the cobalt plating scheme uses three basic building blocks: (i) deposition of thin films of material on a substrate, (ii) applying a patterned mask on top of the films by photolithographic imaging, and (iii) etching the films selectively to the mask.
  • FIG. 1 shows a wiring structure and dual damascene structure, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure. More particularly, FIG. 1 shows a structure 10 with a lower level wiring structure 12 formed in a dielectric material 14 .
  • the lower level wiring structure 12 can be a copper wiring structure composed with a barrier liner 16 .
  • the barrier liner 16 can be any combination of, e.g., TiN, TaN, Ta and Ti; whereas, the conductive material for the metal wiring structure 12 can be copper, for example, deposited by a conventional chemical vapor deposition (CVD) process.
  • CVD chemical vapor deposition
  • the barrier liner 16 can be deposited by a plasma enhanced CVD (PECVD) process or low pressure chemical vapor deposition (LPCVD) process, as examples.
  • PECVD plasma enhanced CVD
  • LPCVD low pressure chemical vapor deposition
  • the dielectric material 14 can be a low-k (oxide) or ultra-low-k interlevel dielectric material, as examples.
  • the wiring structure 12 can be formed by conventional lithography, etching and deposition methods known to those of skill in the art.
  • a resist formed over the insulator material, e.g., dielectric material 14 is exposed to energy (light) to form a pattern (opening).
  • RIE reactive ion etching
  • the liner material 16 and conductive material 12 can be deposited by any conventional deposition processes as described above. Any residual material on the surface of the dielectric material 14 can be removed by conventional chemical mechanical polishing (CMP) processes.
  • CMP chemical mechanical polishing
  • a capping material 18 is deposited over the surfaces of the wiring structure 12 and dielectric material 14 .
  • the capping material 18 can be a nitride material, as an example. In more specific embodiments, the capping material 18 can be SiN, SiNC or SiOC.
  • An upper dielectric material 20 is deposited on the capping material 18 .
  • the upper dielectric material 20 can be any low-k or ultra-low-k dielectric material, as examples, deposited by, e.g., CVD.
  • a dual damascene structure 22 and a wiring trench 24 are formed in the upper dielectric material 20 using conventional lithography and etching processes as described herein. It should be understood by those of sill in the art that the dual damascene structure 22 can be fabricated using either dual or single damascene processes.
  • the dual damascene structure is composed of a via 22 a (which exposes a surface of the underlying wiring structure 12 ) and an upper wiring trench 22 b (e.g., which is wider than the via 22 a ).
  • the via 22 a can be about 20 nm in width; although other dimensions are contemplated herein.
  • the via 22 a, wiring trench 22 b and the wiring trench 24 can be lined with a barrier liner 16 ′ (e.g., on sidewalls of the dielectric material 20 and in direct electrical contact with the wiring structure 12 ) using conventional blanket deposition processes, e.g., PECVD or LPCVD, to a depth of about 1-3 nm.
  • the barrier liner 16 ′ can be any combination of, e.g., TiN, TaN, Ta and Ti.
  • the via 22 a, wiring trench 22 b and the wiring trench 24 are lined with a cobalt material 26 in direct contact with the barrier liner 16 ′.
  • the via 22 a is also completely (fully) filled with the cobalt material 26 (compared to FIG. 4 which shows the via 22 a partially filled with the cobalt material).
  • the cobalt material 26 can be deposited by an atomic layer deposition (ALD) process (e.g., seed layer), followed by a plating process (e.g., electroplating processes) known to those of ordinary skill in the art.
  • ALD atomic layer deposition
  • the deposition process of the cobalt material 26 is a bottom up plating process which provides improved flow capabilities (compared to copper fill processes), thereby eliminating or avoiding void formation within the via 22 a that may otherwise result from a pinch-off phenomenon.
  • the cobalt material 26 will also form a sidewall liner on the sidewalls of the wiring trench 22 b and the wiring trench 24 (over the barrier liner 16 ′).
  • the sidewall liner e.g., cobalt material 26
  • the liner can be at any depth that still allows the wiring trench 22 b and the wiring trench 24 to be filled with conductive wiring material (e.g., copper) in subsequent processing steps.
  • conductive wiring material e.g., copper
  • conductive material 28 is deposited directly on the cobalt material 26 (e.g., sidewall liner and fully filled via 22 a ) in both the wiring trench 22 b and the wiring trench 24 .
  • the conductive material 28 is a copper material which is deposited using conventional deposition methods, e.g., depositing a seed layer followed by an electroplating process.
  • the via 22 a is fully filled with cobalt (avoiding gap or void formation) with the wiring trenches 22 b, 24 lined with the cobalt material 26 and fully filled with the conductive material 28 .
  • Any excess conductive material 28 , residual cobalt material 26 and barrier liner material 16 ′ on the upper surface of the dielectric material 20 can be removed by a conventional CMP process.
  • FIG. 4 shows an alternative structure 10 ′′ with the via 22 a partially filled with cobalt material 26 .
  • the height (partial fill) of the cobalt material 26 within the via 22 a will depend on the dimensions (e.g., width and/or height) of the via 22 a. More specifically, the cobalt material 26 will partially fill the via 22 a to a height that will prevent void or gap formation of the subsequently deposited conductive material 28 . The height of the material 26 that partially fills the via can also depend on the required electrical performance of the device.
  • the remaining portion of the via 22 a and the wiring trenches 22 b, 24 will be filled with the conductive material, as already described herein.
  • the remaining features shown in FIG. 4 are the same or substantially the same as shown and described with respect to FIG. 3 .
  • FIGS. 5 and 6 show the via 22 a of the dual damascene structure fully filled with material 26 , prior to application of a barrier layer. More specifically, the structure 10 ′′ shown in FIG. 5 includes the lower level wiring structure 12 with the barrier liner 16 formed in the dielectric material 14 . The capping material 18 is formed on the surfaces of the wiring structure 12 and dielectric material 14 .
  • the dual damascene structure 22 e.g., via 22 a and wiring trench 22 b, and wiring trench 24 are formed in the upper dielectric material 20 using conventional lithography and etching processes, as described herein.
  • the dual damascene structure 22 e.g., via 22 a and wiring trench 22 b, and the wiring trench 24 , are lined with a material, e.g., cobalt material 26 .
  • the via 22 a is also completely filled with the cobalt material (compared to FIG. 7 which shows the via 22 a partially filled with the cobalt material).
  • the cobalt material is deposited in the via 22 a by depositing a seed layer of the cobalt material 26 using an ALD process, followed by a plating process, e.g., electroplating process.
  • the cobalt material 26 will also form a sidewall liner on the sidewalls of the wiring trench 22 b and the wiring trench 24 .
  • the sidewall liner e.g., cobalt material 26
  • the sidewall liner can be deposited to a depth of about 1 nm to about 3 nm or other depths which allow the wiring trench 22 b and the wiring trench 24 to be filled with conductive material in subsequent processing steps.
  • the barrier liner 16 ′ is deposited directly on the cobalt material 26 .
  • the barrier liner 16 ′ can be deposited by a conventional blanket deposition process, e.g., PECVD or LPCVD, to a depth of about 1-3 nm.
  • the barrier liner 16 ′ can be any combination of, e.g., TiN, TaN, Ta and Ti.
  • conductive material 28 is deposited directly on the barrier liner 16 ′, e.g., in the wiring trench 22 b and the wiring trench 24 over the cobalt material 26 .
  • the conductive material 28 is a copper material which is deposited using conventional deposition methods, e.g., a seed layer followed by an electroplating process. Any excess conductive material 28 , residual cobalt material 26 and barrier liner material 16 ′ on the upper surface of the dielectric material 20 can be removed by a conventional CMP process.
  • the resultant structure is a dual damascene structure comprising a via 22 a fully filled with cobalt material 26 , and wiring trenches 22 b, 24 lined with cobalt material 26 and filled with the conductive material 28 .
  • FIG. 7 shows a structure 10 ′′′ with the via 22 a of the dual damascene structure 22 partially filled with cobalt material 26 , prior to application of a barrier layer. More specifically, and similar to that described with respect to FIG. 4 , the cobalt material 26 will partially fill the via 22 a to a height that will avoid void formation of the subsequently deposited conductive material 28 . The height of the material 26 that partially fills the via 22 a can also depend on the required electrical performance of the device. The remaining portion of the via 22 a and the wiring trenches 22 b, 24 will be lined with the barrier liner 16 ′ and filled with the conductive material 28 , as already described herein.
  • the method(s) as described above is used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Abstract

The present disclosure relates to semiconductor structures and, more particularly, to a cobalt plated via integration scheme and methods of manufacture. The structure includes: a via structure composed of cobalt material; and a wiring structure above the via structure. The wiring structure is lined with a barrier liner and the cobalt material and filled with conductive material.

Description

    FIELD OF THE INVENTION
  • The present disclosure relates to semiconductor structures and, more particularly, to a cobalt plated via integration scheme and methods of manufacture.
  • BACKGROUND
  • Integrated circuit (IC) devices typically include discrete circuit elements, e.g., transistors, capacitors and resistors, which require interconnect structures to electrically couple or connect the discrete circuit elements into functional circuits. Typical middle of line (MOL) and back end of line (BEOL) metal interconnects may include a wiring line portion and a via portion; however, as technology nodes scale downwards, the interconnect structures become more challenging to fabricate due to the critical dimension (CD) scaling and process capabilities.
  • By way of example, the interconnect structures are typically fabricated from copper, and may include a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof. A problem with utilizing copper interconnect structures is that they are highly susceptible to electromigration (EM) which can lead to void formation and failure. One type of EM induced failure is referred to as “line-depletion”, which initiates from the Cu/Dielectric cap interface.
  • Also, as technology advances, problems arise with filling the interconnect structures, themselves. By way of example, conventional deposition of the TaN/Ta liner and Cu fill beyond a 10 nm node technology is challenging because it cannot provide sufficient coverage of the seed Cu and wider top opening before electro-plating. To this end, an issue is that the metal via fill will impact via void, and impact the die yield and device performance.
  • SUMMARY
  • In an aspect of the disclosure, a structure comprises: a via structure composed of cobalt material; and a wiring structure above the via structure. The wiring structure is lined with a barrier liner and the cobalt material and filled with conductive material.
  • In an aspect of the disclosure, a structure comprises: a lower level wiring structure; a via structure in electrical contact with the lower level wiring structure, the via structure including cobalt fill material; and an upper level wiring structure in electrical contact with the via structure, the wiring level wiring structure lined with at least the cobalt material and filled with conductive material.
  • In an aspect of the disclosure, a method comprises: forming a lower level wiring structure; forming a via which exposes the lower level wiring structure; forming a wiring trench above the via; filling the via with cobalt and lining wiring trench with the cobalt; and filling the wiring trench, on the cobalt lining, with conductive material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is described in the detailed description which follows, in reference to the noted plurality of drawings by way of non-limiting examples of exemplary embodiments of the present disclosure.
  • FIG. 1 shows a wiring structure and dual damascene structure, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 2 show a via of the dual damascene structure completely filled with material, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 3 show a wiring trench of the dual damascene structure filled with conductive wiring material, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 4 shows the via of the dual damascene structure partially filled with material and conductive wiring material, amongst other features, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • FIGS. 5 and 6 show the via of the dual damascene structure completely filled with material, prior to the deposition of a barrier liner, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • FIG. 7 shows the via of the dual damascene structure partially filled with material, prior to deposition of the barrier liner, and respective fabrication processes in accordance with additional aspects of the present disclosure.
  • DETAILED DESCRIPTION
  • The present disclosure relates to semiconductor structures and, more particularly, to a cobalt plated via integration scheme and methods of manufacture. More specifically, the present disclosure is directed to a partial or full cobalt plating via integration scheme in a dual damascene structure. Advantageously, the present disclosure provides improved (reduces) electromigration (EM) failure in back-end-of-line (BEOL) technologies, as an example.
  • In embodiments, the present disclosure provides a dual damascene structure lined with cobalt (Co) along its sidewalls, with Co partially or fully filling the via with or without a barrier liner. It should be understood that the present disclosure, though, is not limited to dual damascene structures and, as such, is equally applicable to vias and wiring lines fabricated using single damascene processes. In embodiments, the Co plating can be provided prior to copper (Cu) plating to form a Co via with a Cu wiring structure above the Co via. Further, the Co can be used to line both the wiring structure and the via of the dual damascene structure. The embodiments disclosed herein can also include different integration schemes including, e.g., partially or fully filled Co vias, each with or without a barrier liner or any combinations thereof on a same chip. By providing the Co vias, early EM induced failure can be significantly reduced.
  • The cobalt plating scheme of the present disclosure can be manufactured in a number of ways using a number of different tools. In general, though, the methodologies and tools are used to form structures with dimensions in the micrometer and nanometer scale. The methodologies, i.e., technologies, employed to manufacture the cobalt plating scheme of the present disclosure have been adopted from integrated circuit (IC) technology. For example, the structures are built on wafers and are realized in films of material patterned by photolithographic processes on the top of a wafer. In particular, the fabrication of the cobalt plating scheme uses three basic building blocks: (i) deposition of thin films of material on a substrate, (ii) applying a patterned mask on top of the films by photolithographic imaging, and (iii) etching the films selectively to the mask.
  • FIG. 1 shows a wiring structure and dual damascene structure, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure. More particularly, FIG. 1 shows a structure 10 with a lower level wiring structure 12 formed in a dielectric material 14. In embodiments, the lower level wiring structure 12 can be a copper wiring structure composed with a barrier liner 16. The barrier liner 16 can be any combination of, e.g., TiN, TaN, Ta and Ti; whereas, the conductive material for the metal wiring structure 12 can be copper, for example, deposited by a conventional chemical vapor deposition (CVD) process. The barrier liner 16 can be deposited by a plasma enhanced CVD (PECVD) process or low pressure chemical vapor deposition (LPCVD) process, as examples. The dielectric material 14 can be a low-k (oxide) or ultra-low-k interlevel dielectric material, as examples.
  • In more specific embodiments, the wiring structure 12 can be formed by conventional lithography, etching and deposition methods known to those of skill in the art. For example, a resist formed over the insulator material, e.g., dielectric material 14, is exposed to energy (light) to form a pattern (opening). An etching process with a selective chemistry, e.g., reactive ion etching (RIE), will be used to form one or more trenches in the dielectric material 14 through the openings of the resist. Following resist removal, the liner material 16 and conductive material 12 (e.g., metallization) can be deposited by any conventional deposition processes as described above. Any residual material on the surface of the dielectric material 14 can be removed by conventional chemical mechanical polishing (CMP) processes.
  • Still referring to FIG. 1, a capping material 18 is deposited over the surfaces of the wiring structure 12 and dielectric material 14. The capping material 18 can be a nitride material, as an example. In more specific embodiments, the capping material 18 can be SiN, SiNC or SiOC. An upper dielectric material 20 is deposited on the capping material 18. The upper dielectric material 20 can be any low-k or ultra-low-k dielectric material, as examples, deposited by, e.g., CVD.
  • A dual damascene structure 22 and a wiring trench 24 are formed in the upper dielectric material 20 using conventional lithography and etching processes as described herein. It should be understood by those of sill in the art that the dual damascene structure 22 can be fabricated using either dual or single damascene processes. In embodiments, the dual damascene structure is composed of a via 22 a (which exposes a surface of the underlying wiring structure 12) and an upper wiring trench 22 b (e.g., which is wider than the via 22 a). The via 22 a can be about 20 nm in width; although other dimensions are contemplated herein. The via 22 a, wiring trench 22 b and the wiring trench 24 can be lined with a barrier liner 16′ (e.g., on sidewalls of the dielectric material 20 and in direct electrical contact with the wiring structure 12) using conventional blanket deposition processes, e.g., PECVD or LPCVD, to a depth of about 1-3 nm. The barrier liner 16′ can be any combination of, e.g., TiN, TaN, Ta and Ti.
  • In FIG. 2, the via 22 a, wiring trench 22 b and the wiring trench 24 are lined with a cobalt material 26 in direct contact with the barrier liner 16′. In embodiments, the via 22 a is also completely (fully) filled with the cobalt material 26 (compared to FIG. 4 which shows the via 22 a partially filled with the cobalt material). The cobalt material 26 can be deposited by an atomic layer deposition (ALD) process (e.g., seed layer), followed by a plating process (e.g., electroplating processes) known to those of ordinary skill in the art. Advantageously, the deposition process of the cobalt material 26 is a bottom up plating process which provides improved flow capabilities (compared to copper fill processes), thereby eliminating or avoiding void formation within the via 22 a that may otherwise result from a pinch-off phenomenon.
  • In embodiments, the cobalt material 26 will also form a sidewall liner on the sidewalls of the wiring trench 22 b and the wiring trench 24 (over the barrier liner 16′). The sidewall liner, e.g., cobalt material 26, can be deposited to a depth of about 1 nm to about 3 nm directly on the barrier liner 16′; although other depths are also contemplated herein. For example, the liner can be at any depth that still allows the wiring trench 22 band the wiring trench 24 to be filled with conductive wiring material (e.g., copper) in subsequent processing steps. It should be understood that the cobalt material 26 (and barrier liner 16′) will also be deposited on exposed surfaces of the upper dielectric material 20.
  • As shown in FIG. 3, conductive material 28 is deposited directly on the cobalt material 26 (e.g., sidewall liner and fully filled via 22 a) in both the wiring trench 22 b and the wiring trench 24. In embodiments, the conductive material 28 is a copper material which is deposited using conventional deposition methods, e.g., depositing a seed layer followed by an electroplating process. In this way, the via 22 a is fully filled with cobalt (avoiding gap or void formation) with the wiring trenches 22 b, 24 lined with the cobalt material 26 and fully filled with the conductive material 28. Any excess conductive material 28, residual cobalt material 26 and barrier liner material 16′ on the upper surface of the dielectric material 20 can be removed by a conventional CMP process.
  • FIG. 4 shows an alternative structure 10″ with the via 22 a partially filled with cobalt material 26. In embodiments, the height (partial fill) of the cobalt material 26 within the via 22 a will depend on the dimensions (e.g., width and/or height) of the via 22 a. More specifically, the cobalt material 26 will partially fill the via 22 a to a height that will prevent void or gap formation of the subsequently deposited conductive material 28. The height of the material 26 that partially fills the via can also depend on the required electrical performance of the device. The remaining portion of the via 22 a and the wiring trenches 22 b, 24 will be filled with the conductive material, as already described herein. The remaining features shown in FIG. 4 are the same or substantially the same as shown and described with respect to FIG. 3.
  • FIGS. 5 and 6 show the via 22 a of the dual damascene structure fully filled with material 26, prior to application of a barrier layer. More specifically, the structure 10″ shown in FIG. 5 includes the lower level wiring structure 12 with the barrier liner 16 formed in the dielectric material 14. The capping material 18 is formed on the surfaces of the wiring structure 12 and dielectric material 14. The dual damascene structure 22, e.g., via 22 a and wiring trench 22 b, and wiring trench 24 are formed in the upper dielectric material 20 using conventional lithography and etching processes, as described herein.
  • In embodiments, the dual damascene structure 22, e.g., via 22 a and wiring trench 22 b, and the wiring trench 24, are lined with a material, e.g., cobalt material 26. In embodiments, the via 22 a is also completely filled with the cobalt material (compared to FIG. 7 which shows the via 22 a partially filled with the cobalt material). In embodiments, the cobalt material is deposited in the via 22 a by depositing a seed layer of the cobalt material 26 using an ALD process, followed by a plating process, e.g., electroplating process. The cobalt material 26 will also form a sidewall liner on the sidewalls of the wiring trench 22 b and the wiring trench 24. The sidewall liner, e.g., cobalt material 26, can be deposited to a depth of about 1 nm to about 3 nm or other depths which allow the wiring trench 22 b and the wiring trench 24 to be filled with conductive material in subsequent processing steps.
  • In FIG. 6, the barrier liner 16′ is deposited directly on the cobalt material 26. The barrier liner 16′ can be deposited by a conventional blanket deposition process, e.g., PECVD or LPCVD, to a depth of about 1-3 nm. The barrier liner 16′ can be any combination of, e.g., TiN, TaN, Ta and Ti. Following the deposition of the barrier liner 16′, conductive material 28 is deposited directly on the barrier liner 16′, e.g., in the wiring trench 22 b and the wiring trench 24 over the cobalt material 26. In embodiments, the conductive material 28 is a copper material which is deposited using conventional deposition methods, e.g., a seed layer followed by an electroplating process. Any excess conductive material 28, residual cobalt material 26 and barrier liner material 16′ on the upper surface of the dielectric material 20 can be removed by a conventional CMP process. The resultant structure is a dual damascene structure comprising a via 22 a fully filled with cobalt material 26, and wiring trenches 22 b, 24 lined with cobalt material 26 and filled with the conductive material 28.
  • FIG. 7 shows a structure 10′″ with the via 22 a of the dual damascene structure 22 partially filled with cobalt material 26, prior to application of a barrier layer. More specifically, and similar to that described with respect to FIG. 4, the cobalt material 26 will partially fill the via 22 a to a height that will avoid void formation of the subsequently deposited conductive material 28. The height of the material 26 that partially fills the via 22 a can also depend on the required electrical performance of the device. The remaining portion of the via 22 a and the wiring trenches 22 b, 24 will be lined with the barrier liner 16′ and filled with the conductive material 28, as already described herein.
  • The method(s) as described above is used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The descriptions of the various embodiments of the present disclosure have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (20)

1. A structure comprising:
a via structure composed of cobalt; and
a wiring structure above the via structure, the wiring structure lined on its sidewalls with a barrier liner and the cobalt and further composed of conductive material over the cobalt and the barrier liner, the conductive material being different material than the cobalt, wherein the barrier liner is above the cobalt such that the barrier liner separates the cobalt from the conductive material in both the wiring structure and the via structure.
2. The structure of claim 1, wherein the via structure is fully composed of the cobalt and the barrier liner is above the via structure.
3. (canceled)
4. (canceled)
5. The structure of claim 1, wherein the via structure is partially composed of the cobalt with a remaining portion of the via structure composed of the conductive material and the barrier liner.
6. (canceled)
7. (canceled)
8. The structure of claim 1, wherein the via structure is fully composed of the cobalt, the cobalt is a liner of the wiring structure, and the barrier liner is on an upper surface of the cobalt of the via structure and on the cobalt liner of the wiring structure.
9. The structure of claim 1, wherein the via structure is partially composed of the cobalt, the cobalt is a liner of the wiring structure, and the barrier liner is partially in the via structure and on a surface of the cobalt in the wiring structure.
10. The structure of claim 9, wherein the conductive material is copper and is located over the barrier liner in the via structure and the wiring structure.
11. A structure comprising:
a lower level wiring structure;
a via structure in electrical contact with the lower level wiring structure, the via structure composed of cobalt; and
an upper level wiring structure in electrical contact with the via structure, the wiring level wiring structure lined with at least the cobalt on its sidewalls, a barrier layer on the cobalt and extending over the via structure, and filled with conductive material which is different than the cobalt.
12. The structure of claim 11, wherein the via structure is fully filled with the cobalt.
13. (canceled)
14. The structure of claim 11, wherein the via structure is partially composed of the cobalt and the conductive material fills a remaining portion of the via structure.
15. (canceled)
16. (canceled)
17. The structure of claim 11, wherein the via structure is fully composed of the cobalt, the cobalt is a liner of the upper wiring structure, and the barrier liner is on an upper surface of the cobalt in the via structure and on the cobalt liner of the upper wiring structure.
18. The structure of claim 11, wherein the via structure is partially composed of the cobalt, the cobalt is a liner of the upper wiring structure, and the barrier liner is partially in the via structure and on a surface of the cobalt in the upper wiring structure.
19. A method comprising:
forming a lower level wiring structure;
forming a via which exposes the lower level wiring structure;
forming a wiring trench above the via;
filling the via with cobalt and lining sidewalls of the wiring trench with the cobalt in a same deposition process;
lining the cobalt with a barrier liner such that the barrier liner separates the cobalt from conductive material in both the wiring structure and the via structure, the barrier liner also extending over the via to separate the cobalt in the via and the conductive material in the wiring trench; and
filling the wiring trench, over the cobalt lining, with the conductive material which is different than the cobalt.
20. The method of claim 19, wherein the cobalt partially fills the via and the conductive material fills a remaining portion of the via and the wiring trench.
US15/860,318 2018-01-02 2018-01-02 Cobalt plated via integration scheme Active US10340183B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/860,318 US10340183B1 (en) 2018-01-02 2018-01-02 Cobalt plated via integration scheme
DE102018206436.9A DE102018206436B4 (en) 2018-01-02 2018-04-26 Wiring trenches coated with cobalt and barrier liners over cobalt-filled via structures and corresponding manufacturing processes
CN201810413309.1A CN109994450B (en) 2018-01-02 2018-05-03 Cobalt plated via integration scheme
TW107115760A TWI691039B (en) 2018-01-02 2018-05-09 Cobalt plated via integration scheme

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/860,318 US10340183B1 (en) 2018-01-02 2018-01-02 Cobalt plated via integration scheme

Publications (2)

Publication Number Publication Date
US10340183B1 US10340183B1 (en) 2019-07-02
US20190206729A1 true US20190206729A1 (en) 2019-07-04

Family

ID=66816999

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/860,318 Active US10340183B1 (en) 2018-01-02 2018-01-02 Cobalt plated via integration scheme

Country Status (4)

Country Link
US (1) US10340183B1 (en)
CN (1) CN109994450B (en)
DE (1) DE102018206436B4 (en)
TW (1) TWI691039B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4210094A1 (en) * 2022-01-07 2023-07-12 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
US11430735B2 (en) * 2020-02-14 2022-08-30 International Business Machines Corporation Barrier removal for conductor in top via integration scheme

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160016358A1 (en) * 2014-07-18 2016-01-21 The Boeing Company Apparatus and Methods for Bonding Laminate Structures
US20160163586A1 (en) * 2014-12-03 2016-06-09 Yongkong SIEW Methods of fabricating a semiconductor device having a via structure and an interconnection structure
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194315B1 (en) 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US6977224B2 (en) 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US20060188659A1 (en) 2005-02-23 2006-08-24 Enthone Inc. Cobalt self-initiated electroless via fill for stacked memory cells
US7544609B2 (en) * 2007-02-09 2009-06-09 International Business Machines Corporation Method for integrating liner formation in back end of line processing
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9793212B2 (en) * 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US9805972B1 (en) * 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160016358A1 (en) * 2014-07-18 2016-01-21 The Boeing Company Apparatus and Methods for Bonding Laminate Structures
US20160163586A1 (en) * 2014-12-03 2016-06-09 Yongkong SIEW Methods of fabricating a semiconductor device having a via structure and an interconnection structure
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4210094A1 (en) * 2022-01-07 2023-07-12 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
DE102018206436A1 (en) 2019-07-04
DE102018206436B4 (en) 2020-10-01
CN109994450B (en) 2023-08-15
US10340183B1 (en) 2019-07-02
CN109994450A (en) 2019-07-09
TWI691039B (en) 2020-04-11
TW201931555A (en) 2019-08-01

Similar Documents

Publication Publication Date Title
US10256186B2 (en) Interconnect structure having subtractive etch feature and damascene feature
US10573593B2 (en) Metal interconnects for super (skip) via integration
US9613861B2 (en) Damascene wires with top via structures
CN108493151B (en) Skip through hole structure
US9978708B2 (en) Wafer backside interconnect structure connected to TSVs
US10727122B2 (en) Self-aligned via interconnect structures
US9202743B2 (en) Graphene and metal interconnects
US7381646B2 (en) Method for using a Cu BEOL process to fabricate an integrated circuit (IC) originally having an al design
US7442637B2 (en) Method for processing IC designs for different metal BEOL processes
US10636698B2 (en) Skip via structures
US6806579B2 (en) Robust via structure and method
US10347529B2 (en) Interconnect structures
US10340183B1 (en) Cobalt plated via integration scheme
US8569888B2 (en) Wiring structure and method of forming the structure
US10236206B2 (en) Interconnects with hybrid metallization
US11114338B2 (en) Fully aligned via in ground rule region
US7291557B2 (en) Method for forming an interconnection structure for ic metallization
US20050112957A1 (en) Partial inter-locking metal contact structure for semiconductor devices and method of manufacture
CN116964736A (en) Self-aligned top via
US20200286777A1 (en) Interconnect structure and method for preparing the same
US11869808B2 (en) Top via process with damascene metal
US20230069830A1 (en) Metal-insulator-metal capacitor (mimcap) and methods of forming the same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4