US20180182845A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20180182845A1
US20180182845A1 US15/662,248 US201715662248A US2018182845A1 US 20180182845 A1 US20180182845 A1 US 20180182845A1 US 201715662248 A US201715662248 A US 201715662248A US 2018182845 A1 US2018182845 A1 US 2018182845A1
Authority
US
United States
Prior art keywords
pattern
active
gate
source
protective insulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/662,248
Other versions
US10026809B1 (en
Inventor
Geumjung Seong
Jeongyun Lee
Seungsoo Hong
Kyungseok MIN
SeungJu PARK
Youngmook Oh
Bora LIM
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MIN, KYUNGSEOK, HONG, Seungsoo, LEE, JEONGYUN, LIM, BORA, OH, YOUNGMOOK, PARK, SEUNGJU, SEONG, GEUMJUNG
Publication of US20180182845A1 publication Critical patent/US20180182845A1/en
Application granted granted Critical
Publication of US10026809B1 publication Critical patent/US10026809B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • the present inventive concept relates to a semiconductor device.
  • MOSFETs metal oxide semiconductor field effect transistors
  • a semiconductor device is provided as follows.
  • a plurality of active patterns protrudes from a substrate.
  • the plurality of active patterns includes a first active pattern, a second active pattern spaced apart from the first active pattern at a first distance, and a third active pattern spaced apart from the second active pattern at a second distance greater than the first distance.
  • a gate electrode runs across the first to third active patterns.
  • a gate spacer is disposed on a sidewall of the gate electrode.
  • a plurality of source/drain regions includes a first source/drain region, a second source/drain region and a third source/drain region, each of the plurality of source/drain regions being disposed on a region of one of the plurality of active patterns.
  • the region of one of the plurality of active patterns is disposed adjacent to a side of the gate electrode.
  • a first protective insulation pattern is disposed on the substrate between the first and second active patterns below the first and second source/drain regions.
  • a second protective insulation pattern is disposed on the substrate between the second and third active patterns below the second and third source/drain regions.
  • the first protective insulation pattern has a first thickness
  • the second protective insulation pattern has a second thickness less than the first thickness
  • the semiconductor device further includes a plurality of contact etch stop patterns each covering a sidewall of one of the plurality of source/drain regions.
  • the first protective insulation pattern is connected to the gate spacer, and the second protective insulation pattern is connected to one of the plurality of contact etch stop patterns.
  • the first protective insulation pattern includes the same material as a material of the gate spacer, and the second protective insulation pattern includes the same material as a material of each of the plurality of contact etch stop patterns.
  • facing sidewalls of the first source/drain region and the second source/drain region are in contact to each other so that an air gap is disposed in a space defined by the facing sidewalls of the first source/drain region and the second source/drain region.
  • the first protective insulation pattern is disposed under the space so that the air gap includes a bottom surface defined by the first protective insulation pattern.
  • the gate spacer comprises a first gate spacer and a second gate spacer that are sequentially disposed on the sidewall of the gate electrode, and the first gate spacer and the second gate spacer include different materials from each other.
  • the first protective insulation pattern comprises a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the substrate.
  • the first sub-protective insulation pattern includes the same material as a material of the first gate spacer.
  • the second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
  • the semiconductor device further includes a first source/drain contact connected in common to the first source/drain region and the second source/drain region, and a second source/drain contact connected to the third source/drain region.
  • a semiconductor device is provided as follows.
  • a substrate has a device isolation pattern.
  • a pair of a first active pattern and a second active pattern protrudes from the device isolation pattern of the substrate and is spaced apart at a first distance from each other in a first direction.
  • a pair of gate electrodes runs across the pair of first active pattern and the second active pattern and is spaced apart from each other in a second direction crossing the first direction.
  • a third active pattern protrudes from the device isolation pattern of the substrate and is spaced apart at a second distance from the second active pattern adjacent to the third active pattern. The second distance is greater than the first distance.
  • a pair of gate spacers is disposed on facing sidewalls of the pair of gate electrodes, respectively.
  • a pair of source/drain regions is disposed on the pair of first active pattern and the second active pattern, respectively, between one of the pair of gate electrodes and the other of the pair of gate electrodes.
  • a first protective insulation pattern is disposed on the device isolation pattern between the pair of gate electrodes and between the pair of first active pattern and the second active pattern.
  • a second protective insulation pattern is disposed on the device isolation pattern between the second active pattern and the third active pattern.
  • the first protective insulation pattern has a first thickness
  • the second protective insulation pattern has a second thickness less than the first thickness
  • the semiconductor device further includes a contact etch stop pattern covering the pair of source/drain regions.
  • the first protective insulation pattern is connected to a lower end of each of the pair of gate spacers so that a connected structure of the first protective insulation pattern and the pair of gate spacers is of U-shaped.
  • the second protective insulation pattern is connected to the contact etch stop pattern. The first protective insulation pattern and the second protective insulation pattern are in contact with the device isolation pattern.
  • one of the pair of source/drain regions and the other of the pair of source/drain regions are in contact to each other so that an air gap has a top surface defined by facing sidewalls of the pair of source/drain regions.
  • the air gap has a bottom surface defined by the first protective insulation pattern.
  • each of the pair of gate spacers includes a first gate spacer and a second gate spacer that are sequentially disposed on a corresponding one of the facing sidewalls of the pair of gate electrodes.
  • the first protective insulation pattern includes a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern.
  • the first sub-protective insulation pattern includes the same material as a material of the first gate spacer
  • the second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
  • a semiconductor device is provided as follows.
  • a device isolation pattern is disposed on a substrate.
  • the device isolation pattern includes a first part, a second part and a third part.
  • a first active pattern protrudes from the device isolation pattern.
  • a second active pattern is adjacent to the first active pattern in a first direction and protruding from the device isolation pattern.
  • a first gate structure is disposed on the substrate.
  • a second gate structure is adjacent to the first gate structure in a second direction crossing the first direction.
  • Each of the first active pattern and the second active pattern includes a first region and a second region. The first gate structure and the second gate structure run across the first region of each of the first active pattern and the second active pattern and the first part of the device isolation pattern.
  • a U-shaped structure includes a left gate spacer and a right gate spacer disposed on a sidewall of the first gate structure and a sidewall of the second gate structure, respectively.
  • the U-shaped structure further includes a first protective insulation pattern being in contact with a second part of the device isolation pattern between the first active pattern and the second active pattern and between the first gate structure and the second gate structure.
  • the semiconductor device further includes a third active pattern adjacent to the second active pattern in the first direction, and a second protective insulation pattern being in contact with a third part of the device isolation pattern between the second active pattern and the third active pattern.
  • the first active pattern and the second active pattern are spaced apart from each other at a first distance
  • the second active pattern and the third active pattern are spaced apart from each other at a second distance different from the first distance.
  • the first active pattern, the second active pattern and the third active pattern are of the same conductivity type.
  • a sidewall of the first active pattern is in contact with a sidewall of the second active pattern to form a merged source/drain part of the first active pattern and the second active pattern.
  • the air gap is defined by the merged source/drain part of the first active pattern and the second active pattern, the left gate spacer, the right gate spacer and the first protective insulation pattern.
  • each of the left gate spacer and the right gate spacer includes a first spacer and a second spacer.
  • the first protective insulation pattern includes a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern.
  • the first sub-protective insulation pattern is connected to a lower end of the first spacer of the left gate spacer and a lower end of the first spacer of the right gate spacer.
  • the second sub-protective insulation pattern is separated from the second spacer of each of the left gate spacer and the right gate spacer.
  • FIG. 1 is a plan view for showing a semiconductor device according to an exemplary embodiment of the present inventive concept
  • FIG. 2A shows cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1 , according to an exemplary embodiment of the present inventive concept;
  • FIG. 2B shows cross-sectional views taken along lines and IV-IV′ of FIG. 1 , according to an exemplary embodiment of the present inventive concept
  • FIG. 3 is an enlarged view corresponding to section A of FIG. 2A , according to an exemplary embodiment of the present inventive concept;
  • FIGS. 4A, 4B, 4C, and 4D are enlarged views corresponding to section B of FIG. 2B , according to an exemplary embodiment of the present inventive concept;
  • FIG. 5 is a cross-sectional view taken along line IV-IV′ of FIG. 1 , according to an exemplary embodiment of the present inventive concept;
  • FIGS. 6A to 13A are cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1 according to exemplary embodiment of the present inventive concept;
  • FIGS. 6B to 13B are cross-sectional views taken along lines and IV-IV′ of FIG. 1 according to an exemplary embodiment of the present inventive concept.
  • FIGS. 14 and 15 are enlarged views corresponding to section A shown in FIG. 12A according to an exemplary embodiment of the present inventive concept.
  • the cross-sectional view(s) of device structures illustrated herein provide support for a plurality of device structures that extend along two different directions as would be illustrated in a plan view, and/or in three different directions as would be illustrated in a perspective view.
  • the two different directions may or may not be orthogonal to each other.
  • the three different directions may include a third direction that may be orthogonal to the two different directions.
  • the plurality of device structures may be integrated in a same electronic device.
  • an electronic device may include a plurality of the device structures (e.g., memory cell structures or transistor structures), as would be illustrated by a plan view of the electronic device.
  • the plurality of device structures may be arranged in an array and/or in a two-dimensional pattern.
  • the values such as a material composition, height, thickness and width of a constituent element may be expressed using “substantially the same” or “about”, because the values measured in a semiconductor device fabricated according to the present inventive concept may be different from the exact value claimed below due to a process variation for forming the semiconductor device or due to a measurement error.
  • FIG. 1 is a plan view for showing a semiconductor device according to an exemplary embodiment of the present inventive concept.
  • FIG. 2A shows cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1 .
  • FIG. 2B shows cross-sectional views taken along lines and IV-IV′ of FIG. 1 .
  • FIG. 3 is an enlarged view corresponding to section A of FIG. 2A .
  • FIGS. 4A, 4B, 4C, and 4D are enlarged views corresponding to section B of FIG. 2B .
  • FIGS. 4B and 4C an illustration of some components (e.g., source/drain contact) will be omitted.
  • FIG. 5 is a cross-sectional view taken along line IV-IV′ of FIG. 1 .
  • a substrate 100 may be provided to include a first active region AR 1 and a second active region AR 2 .
  • the substrate 100 may be a semiconductor substrate.
  • the substrate 100 may be a silicon substrate, a germanium substrate, or an SOI (Silicon-On-Insulator) substrate.
  • the first and second active regions AR 1 and AR 2 may be arranged along, for example, a first direction D 1 .
  • the first active region AR 1 may be provided thereon with first type transistors
  • the second active region AR 2 may be provided thereon with second type transistors different from the first type transistors.
  • the first type transistors may be an n-type transistor
  • the second type transistors may be a p-type transistor, but the present inventive concept is not limited thereto.
  • the following description will be made on an exemplary embodiment in which n-type transistors are disposed on the first active region AR 1 and p-type transistors are disposed on the second active region AR 2 .
  • the present inventive concept is not limited thereto.
  • p-type transistors may be disposed on the first active region AR 1 and n-type transistors may be disposed on the second active region AR 2 .
  • the present inventive concept is also applicable where the same type transistors of having different distance d 1 and d 2 may be disposed on the first active region AR 1 or the second active region AR 2 .
  • the definitions of the distances d 1 and d 2 will be described below.
  • a plurality of active patterns AP including a first active pattern AP 1 , a second active pattern AP 2 and a third active pattern AP 3 may be provided on the active regions AR 1 and AR 2 .
  • the first active pattern AP 1 and the second active pattern AP 2 may be disposed on the first active region AR 1 .
  • a third active pattern AP 3 may be disposed on the second active region AR 2 .
  • the active patterns AP may be disposed along the first direction D 1 and may extend along a second direction D 2 crossing the first direction D 1 .
  • the active patterns AP may protrude from the substrate 100 in a direction perpendicular to a top surface of the substrate 100 , i.e., a third direction D 3 perpendicular to all of the first and second directions D 1 and D 2 .
  • the active patterns AP may be a portion of the substrate 100 .
  • each of the active patterns AP may be an epitaxial layer formed on the substrate 100 .
  • the first active pattern AP 1 and the second active pattern AP 2 may have a p-type conductivity
  • the third active pattern AP 3 may have an n-type conductivity.
  • Figures illustrate that two active patterns AP 1 and AP 2 adjacent to each other are disposed on the first active region AR 1 and one third active pattern AP 3 is disposed on the second active region AR 2 , but the present inventive concept is not limited thereto.
  • Three or more active patterns including the first active pattern AP 1 and the second active pattern AP 2 may be provided on the first active region AR 1 , and the third active pattern AP 3 may be provided in plural.
  • the first active pattern AP 1 may be spaced apart from the second active pattern AP 2 at a first distance d 1
  • the second active pattern AP 2 may be spaced apart at a second distance d 2 from the third active pattern AP 3 , which is adjacent to the second active pattern.
  • the second distance d 2 may be greater the first distance d 1
  • the second distance d 2 may be a spacing interval needed to separate the third active pattern AP 3 from the second active pattern AP 2 whose a conductivity type is different from a conductivity type of the third active pattern AP 3 . If the third active pattern AP 3 is provided in plural, neighboring third active patterns AP 3 may be spaced apart from each other at an interval equal to or greater than the first distance d 1 .
  • the first active region AR 1 may be provided with a plurality of active patterns arranged with at least two distances d 1 and d 2 .
  • the second distance d 2 may be a spacing interval between the third active pattern AP 3 and the second active pattern AP 2 whose a conductivity type is the same as a conductivity type of the third active pattern AP 3 .
  • the present inventive concept is not limited thereto.
  • the second active region AR 2 may be provided with a plurality of active patterns arranged with at least two distances d 1 and d 2 .
  • Each of the active patterns AP may include a first region R 1 below a gate structure GS which will be discussed below and second regions R 2 on opposite sides of the gate structure GS.
  • the first region R 1 may be overlapped with the gate structure GS; and the second regions R 2 of each of the active patterns AP may be non-overlapped with the gate structure GS.
  • the second region R 2 may have a top surface US 2 whose a height is less than a height of a top surface US 1 of the first region R 1 .
  • a height of a top surface of one component may be defined by a shortest distance from the substrate 100 to the top surface of one component along the third direction D 3 .
  • the substrate 100 may be provided thereon with a device isolation pattern ST exposing upper portions of the active patterns AP.
  • the first regions R 1 may have their upper portions that protrude from a top surface of the device isolation pattern ST and are exposed through the device isolation pattern ST.
  • the exposed upper portions of the first regions R 1 may be defined as active fins AF 1 and AF 2 .
  • the second regions R 2 may also have their upper portions that protrude from the top surface of the device isolation pattern ST and are exposed through the device isolation pattern ST.
  • the upper portion of the second region R 2 may have a protruding length less than protruding lengths of the active fins AF 1 and AF 2 .
  • the device isolation pattern ST may include, for example, silicon oxide.
  • the device isolation pattern ST may include first, second, and third parts P 1 , P 2 , and P 3 .
  • the first part P 1 may be positioned below the gate structure GS.
  • the first part P 1 may be a portion of the device isolation pattern ST that overlaps the gate structure GS.
  • the second parts P 2 of the device isolation pattern ST may be positioned on the opposite sides of each of the gate structures GS between the first active pattern AP 1 and the second active pattern AP 2 adjacent to each other.
  • the third parts P 3 may be positioned between the second active pattern AP 2 and the third active pattern AP 3 adjacent to each other or on sides of outermost active patterns AP 1 and AP 3 on a pair of the active regions AR 1 and AR 2 .
  • Each of the second and third parts P 2 and P 3 may have a recessed upper portion.
  • the second and third parts P 2 and P 3 may therefore have recessed top surfaces RS 1 and RS 2 , respectively.
  • a pattern density may cause the second and third parts P 2 and P 3 to have recess depths different from each other.
  • the recess depth may be smaller on a narrower region (i.e., a region having a higher pattern density) between the active patterns than on a wider region (i.e., a region having a lower pattern density) between the active patterns.
  • the second part P 2 may have the recessed top surface RS 1 whose a height is greater than a height of the recessed top surface RS 2 of the third part P 3 .
  • Figures illustrate that bottom surfaces of the first to third parts P 1 to P 3 are of the same height, but the present inventive concept is not limited thereto.
  • the third part P 3 may have a bottom surface deeper than a bottom surface of the second part P 2 .
  • the substrate 100 may be provided thereon with gate structures GS running across at least one of the active patterns AP.
  • the gate structures GS may extend in the first direction D 1 and be spaced apart from each other in the first direction D 1 (GS 2 and GS 3 ) or in the second direction D 2 (GS 1 and GS 2 or GS 1 and GS 3 ).
  • the gate structures GS may include a first gate structure GS 1 running across the active patterns AN, AP 2 and AP 3 , a second gate structure GS 2 spaced apart from the first gate structure GS 1 in the second direction D 2 and running across a pair of the first active pattern AP 1 and the second active pattern AP 2 , and a third gate structure GS 3 spaced apart from the second gate structure GS 2 in a direction reverse to the first direction D 1 and running across the third active pattern AP 3 .
  • Each of the first to third gate structures GS 1 to GS 3 may cover top surfaces and sidewalls of its corresponding active fins AF 1 and AF 2 and also cover top surfaces of the first parts P 1 of the device isolation pattern ST.
  • the active fins AF 1 and AF 2 locally disposed below each of the gate structures GS may serve as channels of transistors.
  • Each of the gate structures GS may include a gate electrode GE, a gate dielectric pattern GD, and a gate capping pattern GP.
  • the gate electrode GE may extend in the first direction D 1 and cover at least one of the active fins AF 1 and AF 2 .
  • the gate electrode GE may include an upper part GE_U positioned higher than the top surfaces of the active fins AF 1 and AF 2 and a lower part GE_L positioned lower than top surfaces of the active fins AF 1 and AF 2 .
  • Sidewalls of the upper part GE_U of the gate electrode GE may be substantially perpendicular to the top surface of the substrate 100
  • sidewalls of the lower part GE_L of the gate structure GE may include a downwardly inclined portion.
  • the upper part GE_U of the gate electrode GE may have a substantially uniform width
  • the lower part GE_L of the gate electrode GE may have a portion of which a width increases downwardly toward the substrate 100 .
  • the gate electrode GE may include one or more of conductive metal nitride (e.g., titanium nitride, tantalum nitride, etc.) and metal (e.g., aluminum, tungsten, etc.).
  • the gate dielectric pattern GD may be disposed between the gate electrode GE and the active fins AF 1 and AF 2 and may horizontally extend to cover the top surfaces of the first parts P 1 of the device isolation pattern ST.
  • the gate dielectric pattern GD may extend in the first direction D 1 along a bottom surface of the gate electrode GE.
  • the gate dielectric pattern GD may also be disposed between the gate electrode GE and a gate spacer SP which will be discussed below.
  • the gate dielectric pattern GD may include at least one of high-k dielectric layers.
  • the gate dielectric pattern GD may include one or more of hafnium oxide, hafnium silicate, zirconium oxide, and zirconium silicate, but the present inventive concept is not limited to these materials.
  • the gate capping pattern GP may be disposed on a top surface of the gate electrode GE and may extend in the first direction D 1 along the top surface of the gate electrode GE.
  • the gate capping pattern GP may include, for example, silicon nitride or silicon oxynitride.
  • a gate spacer SP may be disposed on sidewalls of the gate electrode GE.
  • the gate spacer SP may extend in the first direction D 1 along the sidewall of the gate electrode GE.
  • the gate spacer SP may have a single- or multi-layered structure.
  • the gate spacer SP may include a first gate spacer SP 1 and a second spacer SP 2 that are sequentially disposed on the sidewall of the gate electrode GE.
  • Each of the first and second gate spacers SP 1 and SP 2 may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer.
  • the first and second gate spacers SP 1 and SP 2 may include the same material.
  • the gate spacer SP may have a single-layered structure.
  • the first and second gate spacers SP 1 and SP 2 may include different materials from each other.
  • the first gate spacer SP 1 may include a silicon oxycarbonitride layer
  • the second spacer SP 2 may include a silicon nitride layer, or vice versa.
  • the gate spacer SP may have a double-layered structure.
  • the gate spacer SP may have a triple-layered structure of different materials.
  • the first gate spacer SP 1 may have a thickness substantially the same as or greater than a thickness of the second gate spacer SP 2 .
  • the present inventive concept is not limited thereto.
  • Protective insulation patterns 125 and 132 may be disposed on the top surface of the device isolation pattern ST exposed through the gate structures GS adjacent to each other.
  • the protective insulation patterns 125 and 132 may include first protective insulation patterns 125 on top surfaces of the second parts P 2 of the device isolation pattern ST and second protective insulation patterns 132 on top surfaces of the third parts P 3 of the device isolation pattern ST.
  • Each of the first protective insulation patterns 125 may be in contact with the top surface of its underlying device isolation pattern ST and connected to a lower end of its adjacent gate spacer SP.
  • the third parts P 3 each may be a region of the device isolation pattern ST exposed by two active patterns adjacent to each other at the second distance d 2 .
  • the second protective insulation patterns 132 each may be disposed on one of the third pars P 3 of the device isolation pattern ST.
  • the second protective insulation patterns 132 each may be in contact with one of the third pars P 3 of the device isolation pattern ST.
  • the second parts P 2 each may be a region of the device isolation pattern ST exposed by two active patterns adjacent to each other at the first distance d 1 .
  • the first protective insulating patterns 125 each may be disposed on one of the second parts P 2 of the device isolation pattern ST.
  • the first protective insulating patterns 125 each may be in contact with one of the second parts P 2 of the device isolation pattern ST.
  • the first protective insulation pattern 125 between the first and second gate structures GS 1 and GS 2 may be connected in common to the gate spacers SP on facing sidewalls of the first and second gate structures GS 1 and GS 2 .
  • a “U” shape may be given to the first protective insulation pattern 125 and the gate spacers SP connected to opposite sides of the first protective insulation pattern 125 .
  • a connected structure of the first protective insulation pattern 125 and the gate spacers SP may constitute a U-shaped structure.
  • a lower portion of a gate spacer SP (left gate spacer) on a sidewall of the first gate structure GS 1 may be connected to one end of the first protective insulation pattern 125
  • a lower portion of a gate spacer SP (right gate spacer) on a sidewall of the second gate structure GS 2 may be connected to an opposite end of the first protective insulation pattern 125 .
  • first protective insulation pattern 125 between the first and second gate structures GS 1 and GS 2 may cover the top surface of its underlying second part P 2 .
  • first protective insulation pattern 125 between the first and second gate structures GS 1 and GS 2 may be in contact with the top surface of its underlying second part P 2 .
  • the first protective insulation pattern 125 between the first and second gate structures GS 1 and GS 2 may cover all the top surface of its underlying second part P 2 exposed between two adjacent gate structures GS 1 and GS 2 .
  • Each of the first protective insulation patterns 125 may have a single- or multi-layered structure.
  • each of the first protective insulation patterns 125 may include a first sub-protective insulation pattern 121 P in contact with the top surface of its underlying device isolation pattern ST (i.e., the recessed top surface RS 1 of the second part P 2 ) and a second sub-protective insulation pattern 123 P on the first sub-protective insulation pattern 121 P.
  • the first protective insulation pattern 125 may be composed of only the first sub-protective insulation pattern 121 P.
  • the first sub-protective insulation pattern 121 P may include the same material as a material of the first gate spacers SP 1 .
  • the second sub-protective insulation patterns 123 P may include the same material as a material of the second gate spacers SP 2 .
  • each of the first and second sub-protective insulation patterns 121 P and 123 P may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer.
  • the first protective insulation pattern 125 may have a first thickness t 1 , which is defined by its vertical thickness on the recessed top surface RS 1 of the second part P 2 . In an exemplary embodiment, as shown in FIG.
  • the second region R 2 may have an upper sidewall protruding from the top surface of the device isolation pattern ST, and the first protective insulation pattern 125 may be in contact with the upper sidewall of the second region R 2 .
  • the present inventive concept is not limited thereto.
  • Each of the second protective insulation patterns 132 may be connected to its adjacent contact etch stop pattern 134 and in contact with the top surface of its underlying device isolation pattern ST (i.e., the recessed top surface RS 2 of the third part P 3 ).
  • the second protective insulation patterns 132 may be composed of a single layer, but the present inventive concept is not limited thereto.
  • the second protective insulation patterns 132 may have a second thickness t 2 , which is defined by its vertical thickness on the recessed top surface RS 2 of the third part P 3 .
  • the second thickness t 2 may be less than the first thickness t 1 .
  • the second protective insulation patterns 132 may include a silicon nitride layer, a silicon oxynitride layer or a silicon oxycarbonitride layer.
  • Source/drain regions SD may be disposed on the active patterns AP (i.e., the second regions R 2 ) on opposite sides of each of the gate structures GS.
  • the source/drain regions SD may include a first source/drain region SD 1 on the first active pattern AP 1 , a second source/drain region SD 2 on the second active pattern AP 2 and a third source/drain region SD 3 on the third active pattern AP 3 .
  • the first source/drain region SD 1 and the second source/drain region SD 2 may have an n-type conductivity
  • the third source/drain region SD 3 may have a p-type conductivity.
  • the present inventive concept is not limited thereto.
  • the first source/drain region SD 1 and the third source/drain region SD 3 may have the same conductivity type, if the active patterns AP may be disposed on the same active region of the first active region R 1 or the second active region R 2 .
  • each of the source/drain regions SD may be an epitaxial pattern grown from its underlying active pattern used as a seed layer.
  • the first and second source/drain regions SD 1 and SD 2 may include a material that provides the first active fins AF 1 with a tensile strain
  • the third source/drain region SD 3 may include a material that provides the second active fins AF 2 with a compressive strain.
  • the first and second source/drain regions SD 1 and SD 2 may include a silicon carbide (SiC) layer whose a lattice constant is less than a lattice constant of Si or a silicon (Si) layer whose a lattice constant is substantially the same as a lattice constant of the substrate 100 .
  • the third source/drain region SD 3 may include a SiGe layer whose a lattice constant is greater than a lattice constant of Si.
  • each of the first active fins AF 1 may be positioned between a pair of neighboring first source/drain regions SD 1 or a pair of neighboring second source/drain regions SD 2
  • each of the second active fins AF 2 may be positioned between a pair of neighboring third source/drain regions SD 3 .
  • the source/drain regions SD may have sidewalls each having a laterally sharp wedge shape where a lower sidewall and an upper sidewall meet each other.
  • each of the source/drain regions SD may have sidewalls each of which includes a lower sidewall substantially negatively inclined to the top surface of the substrate 100 and an upper sidewall substantially positively inclined to the top surface of the substrate 100 .
  • the first and second source/drain regions SD 1 and SD 2 adjacent to each other may have lower sidewalls (or facets) connected to each other.
  • An air gap AG may be formed below the sidewalls connected to each other.
  • the air gap AG may be a substantially hollow space where no solid material is provided.
  • first and second source/drain regions SD 1 and SD 2 may be in contact with each other to the extent that the air gap AG has a top surface defined by the lower sidewalls of the two adjacent first and second source/drain regions SD 1 and SD 2 .
  • the air gap AG may have a bottom surface defined by the top surface of the first protective insulation pattern 125 .
  • the air gap AG may have a bottom surface defined by a top surface of the first protective insulation pattern 125 .
  • the contact etch stop patterns 134 may be disposed on the source/drain regions SD.
  • the contact etch stop patterns 134 may conformally cover the sidewalls of the source/drain regions SD and extend onto sidewalls of the gate structures GS.
  • the contact etch stop patterns 134 and the second protective insulation patterns 132 may include the same material.
  • the contact etch stop patterns 134 may be connected to the second protective insulation patterns 132 .
  • the contact etch stop patterns 134 may include a silicon oxide layer, a silicon oxynitride layer or silicon oxycarbonitride layer.
  • the contact etch stop patterns 134 may have substantially the same thickness as the second thickness t 2 of the second protective insulation patterns 132 .
  • the second protective insulation patterns 132 and the contact etch stop patterns 134 may form a capping insulation patterns 135 .
  • one of the second protective insulation patterns 132 and at least one of the contact etch stop patterns 134 may be connected to each other to constitute a single insulation layer.
  • each of the second protective insulation patterns 132 and each of the contact etch stop patterns 134 may correspond to a portion of the single insulation layer.
  • the contact etch stop patterns 134 need not cover the lower sidewalls, facing each other, of the two adjacent first source/drain regions SD 1 which define the air gap AG.
  • the contact etch stop patterns 134 need not be present in the air gap AG on the second part P 2 of the device isolation pattern ST.
  • the first protective insulation patterns 125 or the source/drain regions SD may be provided in various shapes.
  • the first protective insulation pattern 125 may have an upper portion that protrudes from the top surface US 2 of the second region R 2 and is in contact with the sidewalls of the first and second source/drain regions SD 1 and SD 2 adjacent to each other.
  • residual protective insulation patterns 127 may be disposed on top surfaces RS 2 of the third parts P 3 of the device isolation pattern ST that are adjacent to the first and second active patterns AP 1 and AP 2 .
  • the first protective insulation pattern 125 may be disposed between the first active pattern AP 1 and the second active pattern AP 2
  • the residual protective insulation patterns 127 may be disposed on opposite sides of a pair of the first active pattern AP 1 and the second active pattern AP 2 .
  • the residual protective insulation pattern 127 and the first protective insulation pattern 125 adjacent to each other may be spaced apart from each other in the first direction D 1 across the pair of the first active pattern AP 1 and the second active pattern AP 2 .
  • each of the residual protective insulation patterns 127 may include a first residual spacer 121 R and a second residual spacer 123 R on the first residual spacer 121 R.
  • the first residual spacer 121 R may be in contact with an upper sidewall of the second region R 2 exposed by the device isolation pattern ST.
  • the first residual spacer 121 R may include the same material as a material of each of the first gate spacers SP 1 .
  • the second residual spacer 123 R may include the same material as a material of each of the second gate spacers SP 2 .
  • the first and second source/drain regions SD 1 and SD 2 adjacent to each other may have sidewalls facing each other and spaced apart from each other.
  • the facing sidewalls of the two adjacent first and second source/drain regions SD 1 and SD 2 may be spaced apart at a third distance d 3 less than about twice the second thickness t 2 of the second protective insulation patterns 132 .
  • the contact etch stop pattern 134 may fill a space of the third distance d 3 between the facing sidewalls of the two adjacent first and second source/drain regions SD 1 and SD 2 .
  • each of the contact etch stop patterns 134 may close the top surface of an air gap AG.
  • the air gap AG may be formed below the two adjacent first and second source/drain regions SD 1 and SD 2 , and the contact etch stop pattern 134 between the two adjacent first and second source/drain regions SD 1 and SD 2 .
  • configuration features of the embodiments depicted in FIGS. 4B and 4C may also be applicable to the embodiment of FIG. 4D .
  • the substrate 100 may be provided thereon with a first interlayer dielectric layer 140 covering the source/drain regions SD and the sidewalls of the gate structures GS.
  • the first interlayer dielectric layer 140 may have a top surface coplanar with top surfaces of the gate structures GS and top surfaces of the gate spacers SP at substantially the same height.
  • the first interlayer dielectric layer 140 may include, for example, one or more of a silicon oxide layer and a low-k dielectric layer.
  • a second interlayer dielectric layer 150 may be disposed on the first interlayer dielectric layer 140 .
  • the second interlayer dielectric layer 150 may cover the top surfaces of the gate structures GS.
  • the second interlayer dielectric layer 150 may include one or more of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, and a low-k dielectric layer.
  • Source/drain contacts 160 a and 160 b may be disposed on opposite sides of each of the gate structures GS.
  • the source/drain contacts 160 a and 160 b may penetrate the second interlayer dielectric layer 150 , the first interlayer dielectric layer 140 , and the contact etch stop patterns 134 such that the source/drain regions SD may be connected to the source/drain contacts 160 a and 160 b .
  • the source/drain contacts 160 a and 160 b may include a first source/drain contact 160 a connected to the first and second source/drain regions SD 1 and SD 2 and a second source/drain contact 160 b connected to the third source/drain region SD 3 .
  • the first source/drain contact 160 a may be commonly connected to a pair of the first and second source/drain regions SD 1 and SD 2 disposed on a side of the gate structure GS.
  • the first source/drain contact 160 a may be connected to the second source/drain contact 160 b to form a source/drain contact 160 .
  • the source/drain contact 160 may be commonly connected to a pair of the first and second source/drain regions SD 1 and SD 2 disposed on a side of the gate structure GS and the third source/drain region SD 3 adjacent to the pair of the first and second source/drain regions SD 1 and SD 2 .
  • the source/drain contact 160 may include an extension part EP extending between the second source/drain region SD 2 and the third source/drain region SD 3 adjacent to each other.
  • the extension part EP may have a bottom surface positioned lower than a contact surface between the source/drain contact 160 and the source/drain regions SD.
  • the second interlayer dielectric layer 150 may be provided thereon with routing lines (not shown) coupled to the source/drain contacts 160 a and 160 b .
  • the routing lines (not shown) may be configured to provide the source/drain regions SD with voltage through the source/drain contacts 160 a and 160 b .
  • the source/drain contacts 160 a and 160 b and the routing lines (not shown) may include a conductive material (e.g., metal and/or metal nitride).
  • a process of forming a device isolation pattern to fill a space between the active patterns adjacent to each other may have an insufficient process margin to the extent that a void VD, as shown in FIG. 3 , may be formed in an upper portion of the device isolation pattern ST.
  • the void VD may be adjacent to a lower portion of the gate electrode GE.
  • the void VD may provide a permeation path to an etchant used in a process where a gate electrode is formed in a gate last process. If an etchant permeated through the void VD may flow into the air gap AG below a source/drain region, etching damage may occur to the source/drain region (see FIG. 15 ).
  • this permeation path may be used as an outflow route of a conductive material constituting the gate electrode.
  • the gate electrode is electrically short with the source/drain region.
  • the protective insulation patterns 125 and 132 before the gate electrode is formed in the gate last process, are provided on the device isolation pattern ST between active patterns (e.g., the first protective insulation pattern 125 is provided on a narrower region (having the first distance d 1 ) between two active patterns AP 1 and AP 2 , and the second protective insulation pattern 132 is provided on a wider region (having the second distance d 2 ) between a second active pattern AP 2 and a third active pattern AP 3 adjacent to the second active pattern AP 2 ).
  • An etchant for removing a sacrificial gate pattern which is replaced with a gate electrode in the gate last process may be prevented from permeating into the void VD. (See FIGS. 7A to 13A ). If the etchant permeates into the void VD, the etchant may flow into the air gap AG to etch the source/drain region (see FIG. 14 ). An electrical short between the gate electrode and the source/drain region may be prevented by blocking an outflow of a gate electrode material through the etchant permeation path. As a result, a semiconductor device may have increased electrical characteristics and reliability.
  • FIGS. 6A to 13A are cross-sectional views taken along lines I-I′ and II-IP of FIG. 1 according to an exemplary embodiment of the present inventive concept.
  • FIGS. 6B to 13B are cross-sectional views taken along lines and IV-IV′ of FIG. 1 .
  • FIGS. 14 and 15 are enlarged views corresponding to section A shown in FIG. 12A . For brevity of the description, a repetitive explanation will be omitted.
  • a substrate 100 may be provided to include a first active region AR 1 and a second active region AR 2 .
  • the substrate 100 may be a semiconductor substrate.
  • the substrate 100 may be a silicon substrate, a germanium substrate, or an SOI (Silicon-On-Insulator) substrate.
  • an n-type transistor may be formed on the first active region AR 1
  • a p-type transistor may be formed on the second active region AR 2 .
  • the first and second active regions AR 1 and AR 2 may be arranged along, for example, a first direction D 1 .
  • the substrate 100 may be patterned to form trenches 101 defining active patterns AP including a first active pattern AP 1 , a second active pattern AP 2 and a third active pattern AP 3 .
  • the active patterns AP may be formed disposed along the first direction D 1 and extending in a second direction D 2 crossing the first direction D 1 .
  • the active patterns AP may protrude from the substrate 100 in a third direction D 3 perpendicular to a top surface of the substrate 100 .
  • the first active pattern AP 1 and the second active pattern AP 2 may be doped with an impurity to have a p-type conductivity
  • the third active pattern AP 3 may be doped with an impurity to have an n-type conductivity.
  • the first active pattern AP 1 and the second active pattern AP 2 may be spaced apart from each other at a first distance d 1
  • the second active pattern AP 2 may be spaced apart from the third active pattern AP 3 adjacent to the second active pattern AP 2 at a second distance d 2 .
  • the second distance d 2 may be greater the first distance d 1 . (See also, FIG. 1 ).
  • the first distance d 1 measured in parallel to the first direction D 1 , may be a shortest distance between facing sidewalls of two active patterns AP 1 and AP 2 adjacent to each other.
  • the second distance d 2 measured in parallel to the first direction D 1 , may be a shortest distance between a sidewall of the second active pattern AP 2 and a sidewall of the third active pattern AP 3 adjacent to the second active pattern AP 2 .
  • the sidewall of the second active pattern AP 2 and the sidewall of the third active pattern AP 3 adjacent to the second active pattern AP 2 may face to each other.
  • a device isolation pattern ST may be formed in the trenches 101 .
  • the device isolation pattern ST may be formed to expose upper portions of the first and second active patterns AP 1 and AP 2 .
  • the upper portions of the active patterns AP exposed through the device isolation pattern ST may be defined as first and second active fins AF 1 and AF 2 disposed in the first and second active regions AR 1 and AR 2 , respectively.
  • the device isolation pattern ST may be formed by forming an insulation layer to fill spaces between the active patterns AP and then recessing an upper portion of the insulation layer.
  • the insulation layer may be formed using a polysilazane-based inorganic SOG layer having superior gap-fill characteristics or using a flowable chemical vapor deposition (FCVD) process.
  • FCVD flowable chemical vapor deposition
  • sacrificial gate structures SG may be formed on the resulting structure of FIGS. 6A and 6B .
  • the sacrificial gate structures SG each may include an etch stop pattern 105 , a sacrificial gate pattern 110 , and a gate mask pattern 115 that are sequentially stacked.
  • an etch stop layer and a sacrificial gate layer may be sequentially formed on the substrate 100 , so that the active fins AF 1 and AF 2 and the device isolation pattern ST may be covered with the etch stop layer and the sacrificial gate layer.
  • the etch stop layer may include silicon oxide.
  • the sacrificial gate layer may include a material having etch selectivity with respect to the etch stop layer.
  • the sacrificial gate layer may include polysilicon.
  • the sacrificial gate layer may be formed by a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process, an atomic layer deposition (ALD) process, or so forth.
  • the sacrificial gate layer may be planarized on its top surface.
  • a gate mask pattern 115 may be formed on the planarized sacrificial gate layer, and then an anisotropic etching process may be performed using the gate mask pattern 115 as an etch mask.
  • sacrificial gate patterns 110 may be formed to run across at least one of the active patterns AP.
  • the gate mask pattern 115 may include, for example, silicon nitride.
  • the sacrificial gate pattern 110 may be formed to have an upper portion and a lower portion. The upper portion of the sacrificial gate pattern 110 may be positioned higher than top surfaces of the active fins AF 1 and AF 2 .
  • a sidewall of the sacrificial gate pattern 110 may be substantially vertical to the top surface of the substrate 100 .
  • the lower portion of the sacrificial gate pattern 110 may be positioned lower than the top surfaces of the active fins AF 1 and AF 2 .
  • the present inventive concept is not limited thereto.
  • the lower portion may have a downwardly inclined sidewall. This dissimilarity in shape between the upper and lower portions of the sacrificial gate pattern 110 may be caused from difference in etching depth or pattern density or both of an etching target when the sacrificial gate layer is etched.
  • the etch stop layer exposed through the sacrificial gate patterns 110 may be removed such that etch stop patterns 105 may remain below the sacrificial gate patterns 110 .
  • Each of the etch stop patterns 105 may extend along a bottom surface of the sacrificial gate pattern 110 to partially cover sidewalls and top surfaces of the active fins AF 1 and AF 2 and top surfaces of the device isolation patterns ST.
  • the formation of the sacrificial gate structures SG may then be done.
  • first and second regions R 1 and R 2 may be defined in each of the active patterns AP.
  • the first region R 1 may be a portion of each of the active patterns AP that is positioned below one of the sacrificial gate structures SG
  • the second regions R 2 may be portions of each of the active patterns AP that are positioned on opposite sides of each of the sacrificial gate structures SG.
  • the first region R 1 may divide each of the active patterns AP into portions horizontally spaced apart from each other in the second direction D 2 , which correspond to the second regions R 2 on which source/drains are to be formed.
  • First, second, and third parts P 1 , P 2 , and P 3 may also be defined in the device isolation pattern ST.
  • the first parts P 1 may be portions of the device isolation pattern ST that overlaps the sacrificial gate structures SG and are positioned below the sacrificial gate structures SG.
  • the second and third parts P 2 and P 3 may be other portions of the device isolation pattern ST that are vertically separated by the active patterns AP in the first direction D 1 .
  • the second parts P 2 may be positioned between the first active pattern AP 1 and the second active pattern AP 2 adjacent to each other, and the third parts P 3 may be positioned between the second active pattern AP 2 and the third active pattern AP 3 adjacent to each other or on sides of outermost active patterns AP 1 and AP 3 on a pair of the active regions AR 1 and AR 2 , for example.
  • the second and third parts P 2 and P 3 may be recessed on their upper portions.
  • the second and third parts P 2 and P 3 may thus have top surfaces each of whose profiles is concavely recessed toward the substrate 100 .
  • the second and third parts P 2 and P 3 may have recess depths that are different from each other depending on pattern density of the active patterns AP.
  • the second parts P 2 may have upper portions recessed shallower than those of the third parts P 3 .
  • a gate spacer layer 120 may be formed on the substrate 100 .
  • the gate spacer layer 120 may be formed conformally on the resulting structure of FIGS. 7A and 7B .
  • the gate spacer layer 120 may conformally cover top surfaces and sidewalls of the sacrificial gate structures SG, top surfaces and sidewalls of the second regions R 2 exposed through the device isolation pattern ST, and top surfaces of the second and third parts P 2 and P 3 of the device isolation pattern ST.
  • the gate spacer layer 120 may be formed to have a single layer or a multiple layer.
  • the gate spacer layer 120 may include a first gate spacer layer 121 and a second gate spacer layer 123 that are sequentially stacked.
  • the first gate spacer layer 121 may have a thickness substantially the same as or greater than a thickness of the second gate spacer layer 123 .
  • the present inventive concept is not limited thereto.
  • the first and second gate spacer layers 121 and 123 may be formed of the same material.
  • the first and second gate spacer layers 121 and 123 may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer.
  • the gate spacer layer 120 may be formed to have a single layer.
  • the first and second gate spacer layers 121 and 123 may be formed of different materials from each other.
  • the first gate spacer layer 121 may include a silicon oxycarbonitride layer
  • the second gate spacer layer 123 may include a silicon nitride layer, or vice versa.
  • the gate spacer layer 120 may be formed to have a double layer.
  • the gate spacer layer 120 may be formed to have a triple layer with different materials.
  • the first and second gate spacer layers 121 and 123 may be formed by a deposition process such as an ALD process.
  • a removal may be performed on upper portions of the active patterns AP on opposite sides of each of the sacrificial gate structures SG.
  • the upper portions of the active patterns AP disposed between two adjacent sacrificial gate structures SG may be partially recessed.
  • the second regions R 2 may be recessed on their upper portions.
  • the recessing of the upper portions of the second regions R 2 may include performing a dry or wet etching process at least once.
  • the second region R 2 may have a recessed top surface US 2 lower than a top surface US 1 of the first region R 1 .
  • the recessed top surface US 2 of the second region R 2 may be flat, but the present inventive concept is not limited thereto.
  • the recessed top surface US 2 of the second region R 2 may have a concavely curved shape toward the substrate 100 .
  • the surface US 2 of the second region R 2 may have a height that is variously changed, as shown in FIG. 4A or 4B , depending on a recess amount.
  • the gate spacer layer 120 may be patterned to form gate spacers SP on sidewalls of the sacrificial gate structures SG.
  • first protective insulation patterns 125 may be formed on the top surface (i.e., the top surfaces of the second parts P 2 ) of the device isolation pattern ST between the two adjacent active patterns AP 1 and AP 2 and between two adjacent sacrificial gate structures SG.
  • an etching amount of the gate spacer layer 120 may be smaller on a narrow region (having the first distance d 1 of FIG.
  • the gate spacer layer 120 may be completely removed from the third parts P 3 but may remain on the second parts P 2 to form the first protective insulation patterns 125 .
  • the first protective insulation patterns 125 may be portions of the gate spacer layer 120 .
  • the first protective insulation patterns 125 may be connected to the gate spacers SP on the sidewalls of the sacrificial gate structures SG adjacent to the first protective insulation patterns 125 .
  • each of the first protective insulation patterns 125 may include a first sub-protective insulation pattern 121 P and a second sub-protective insulation pattern 123 P.
  • the first sub-protective insulation pattern 121 P may include the same material as a material of the first gate spacers SP 1 .
  • the second sub-protective insulation patterns 123 P may include the same material as a material of the second gate spacers SP 2 .
  • the first protective insulation pattern 125 may be composed of only the first sub-protective insulation pattern 121 P.
  • the first protective insulation patterns 125 may be formed by controlling etch conditions (e.g., etch rate, etch selectivity, etc.) for the gate spacer layer 120 and the active patterns AP or by allowing the gate spacer layer 120 to have a thickness to the extent that a space between the gate spacer layers 120 on facing sidewalls of the two adjacent active patterns AP 1 and AP 2 is narrow and the gate spacer layers 120 , after being etched, may be partially remain in the space to form the first protective insulation patterns 125 .
  • etch conditions e.g., etch rate, etch selectivity, etc.
  • the first protective insulation pattern 125 may be in contact with an upper sidewall of the second region R 2 that protrudes from the top surface of the device isolation pattern ST.
  • the first protective insulation pattern 125 may be in contact with the upper sidewall of the second region R 2 protruding from the top surface of the device isolation pattern ST.
  • the present inventive concept is not limited thereto.
  • a shape of the first protective insulation pattern 125 may be variously changed depending on an etching condition for recessing the gate spacer layer 120 or the upper portions of the second regions R 2 or both.
  • a portion of the gate spacer layer 120 may remain on the top surfaces of the third parts P 3 adjacent to the second regions R 2 such that the residual protective insulation patterns 127 may be formed as discussed with reference to FIG. 4C .
  • source/drain regions may be formed on the active patterns AP (i.e., the second regions R 2 ) on opposite sides of each of the sacrificial gate structures SG.
  • a selective epitaxial growth process may be performed to form each of the source/drain regions SD using their underlying active patterns as a seed layer.
  • a first source/drain region SD 1 and a second source/drain region SD 2 may be formed to induce a tensile strain to the first active fins AF 1 interposed therebetween.
  • the substrate 100 is a silicon substrate
  • the first and second source/drain regions SD 1 and SD 2 may be formed of a Si or SiC layer.
  • Third source/drain region SD 3 may be formed to induce a compressive strain to the second active fins AF 2 interposed therebetween.
  • the third source/drain region SD 3 may be formed of a SiGe layer.
  • the source/drain regions SD may be doped with an impurity.
  • the first and second source/drain regions SD 1 and SD 2 may be doped with an n-type impurity, and the third source/drain region SD 3 may be doped with a p-type impurity.
  • the source/drain regions SD may rise up (or be elevated) from a top surface of the first region R 1 .
  • the source/drain regions SD may have their sidewalls each having a laterally sharp wedge shape.
  • each of the source/drain regions SD may have a sidewall including a lower sidewall substantially negatively inclined to the top surface of the substrate 100 and an upper sidewall substantially positively inclined to the top surface of the substrate 100 .
  • the first and second source/drain regions SD 1 and SD 2 adjacent to each other may have facingly connected sidewalls. In this case, an air gap AG may be formed below the sidewalls of the first and second source/drain regions SD 1 and SD 2 .
  • two adjacent first and second source/drain regions SD 1 and SD 2 may be in contact with each other to the extent that the air gap AG has a top surface defined by the lower sidewalls of the two adjacent first and second source/drain regions SD 1 and SD 2 .
  • the air gap AG may have a bottom surface defined by the first protective insulation pattern 125 .
  • the present inventive concept is not limited thereto.
  • two adjacent first and second source/drain regions SD 1 and SD 2 adjacent to each other may have their sidewalls that are spaced apart at a third distance d 3 .
  • the spacing at the third distance d 3 between the sidewalls of the first and second source/drain regions SD 1 and SD 2 may be filled with a capping insulation layer 130 which will be discussed below.
  • the air gap AG may be formed below the adjacent first and second source/drain regions SD 1 and SD 2 .
  • An upper surface of the air gap AG may be defined by the lower sidewalls of the two adjacent first and second source/drain regions SD 1 and SD 2 spaced apart from each other at the third distance d 3 and the capping insulation layer 130 , as shown in FIG. 4D .
  • the two adjacent first and second source/drain regions SD 1 may be merged to each over on the second part P 2 of the device isolation pattern ST to form a merged source/drain part SD-MP.
  • the capping insulation layer 130 may be formed on the substrate 100 .
  • the capping insulation layer 130 may be formed conformally on the resulting structure of FIGS. 10A and 10B .
  • the capping insulation layer 130 may conformally cover the top surfaces of the third parts P 3 of the device isolation pattern ST and extend onto the source/drain regions SD and the gate mask pattern 115 .
  • the capping insulation layer 130 may be formed of a material having etch selectivity with respect to a first interlayer dielectric layer 140 which will be discussed below with respect to FIGS. 12A and 12B .
  • the capping insulation layer 130 may include a silicon nitride layer or a silicon oxynitride layer.
  • the capping insulation layer 130 may be formed by a CVD process or an ALD process.
  • the first interlayer dielectric layer 140 may be formed on the substrate 100 .
  • the first interlayer dielectric layer 140 may be formed on the resulting structure of FIGS. 11A and 11B .
  • the first interlayer dielectric layer 140 may be formed to cover the source/drain regions SD and the sacrificial gate structures SG.
  • the first interlayer dielectric layer 140 may include one or more of a silicon oxide layer and a low-k dielectric layer.
  • the gate mask pattern 115 , the sacrificial gate pattern 110 , and the etch stop pattern 105 may be removed so that a gap region 145 may be formed between the gate spacers SP.
  • the gap region 145 may expose the active fins AF 1 and AF 2 and top surfaces of the first parts P 1 .
  • the first interlayer dielectric layer 140 and the gate spacers SP may be partially etched.
  • the gap region 145 may be formed by performing an etching process that partially etches the sacrificial gate pattern 110 and the etch stop pattern 105 .
  • the gate spacer SP may be partially etched on its sidewall exposed to the gap region 145 .
  • a void VD is present in an upper portion of the device isolation pattern ST below the gap region 145 , and an etchant may permeate through the void VD into the device isolation pattern ST.
  • the etchant permeated into the device isolation pattern ST may flow into the air gap AG and then etch the first source/drain region SD 1 exposed to the air gap AG (see arrow 147 ).
  • the first protective insulation pattern 125 may be formed on the top surface of the second part P 2 of the device isolation pattern ST below the first source/drain region SD 1 , and the first protective insulation pattern 125 may prevent the etchant permeated through the void VD from flowing into the air gap AG during the formation of the gap region 145 (see arrow 146 of FIG. 14 ). It thus may be possible to prevent etching damage to the merged source/drain part SD-MP of the first and second source/drain regions SD 1 and SD 2 and also prevent an electrical short between the first source/drain region D 1 and a gate electrode GE which will be formed later.
  • the merged source/drain part SD-MP may be disposed on the air gap AG. As a result, a semiconductor device may have increased electrical characteristics and reliability.
  • the capping insulation layer 130 on the gate mask pattern 115 may be partially removed to form a capping insulation pattern 135 .
  • the capping insulation pattern 135 may have portions, which cover the top surfaces of the third parts P 3 of the device isolation pattern ST, defined as second protective insulation patterns 132 and also have other portions, which cover sidewalls of the gate spacer SP and the source/drain regions SD, defined as contact etch stop patterns 134 .
  • the second protective insulation patterns 132 are formed on the top surfaces of the third parts P 3 of the device isolation pattern ST, it may prevent etchant permeation occurred during the formation of the gap region 145 .
  • a gate dielectric pattern GD and a gate electrode GE may be formed to fill the gap region 145 .
  • a gate dielectric layer may be formed on the substrate 100 to partially fill the gap region 145 .
  • the gap dielectric layer may be formed to cover the active fins AF 1 and AF 2 and the top surfaces of the first parts P 1 .
  • the gate dielectric layer may include at least one of high-k dielectric layers.
  • the gate dielectric layer may include one or more of hafnium oxide, hafnium silicate, zirconium oxide, and zirconium silicate, but the present inventive concept is not limited to these materials.
  • the gate dielectric layer may be formed by performing, for example, an ALD process or a CVD process.
  • a gate layer may be formed on the gate dielectric layer to fill a remaining portion of the gap region 145 .
  • the gate layer may include one or more of metal nitride (e.g., titanium nitride, tantalum nitride, or tungsten nitride) and metal (e.g., aluminum or tungsten).
  • a planarization process may be performed on the gate dielectric layer and the gate layer that are sequentially stacked, and thus the gate dielectric pattern GD and the gate electrode GE may be formed within the gap region 145 .
  • the planarization process may expose top surfaces of the first interlayer dielectric layer 140 and the gate spacers SP.
  • the gate dielectric pattern GD may extend along a bottom surface of the gate electrode GE and toward opposite sidewalls of the gate electrode GE to interpose between the gate electrode GE and each of the gate spacers SP.
  • the gate electrode GE may be recessed on its upper portion. During the recessing the upper portion of the gate electrode GE, an upper portion of the gate dielectric pattern GD may also be removed.
  • a gate capping pattern GP may be formed in a space where the gate electrode GE and the gate dielectric pattern GD are removed.
  • the gate capping pattern GP may include, for example, silicon nitride.
  • a gate structure GS may be defined to include the gate dielectric pattern GD, the gate electrode GE, and the gate capping pattern GP.
  • a second interlayer dielectric layer 150 may be formed on the substrate 100 on which the gate structure GS is formed.
  • the second interlayer dielectric layer 150 may include silicon oxide, silicon nitride, or silicon oxynitride.
  • Contact holes may be formed to penetrate the second interlayer dielectric layer 150 , the first interlayer dielectric layer 140 , and the contact etch stop patterns 134 in such a way that the first and second source/drain regions SD are exposed through the contact holes. Upper portions of the source/drain regions SD may be partially removed by an etching process for forming the contact holes. Subsequently, the contact holes may be filled with a conductive material to form source/drain contacts 160 a and 160 b.
  • the second interlayer dielectric layer 150 may be provided thereon with routing lines (not shown) coupled to the source/drain contacts 160 a and 160 b .
  • the routing lines (not shown) may be configured to provide the source/drain regions SD with voltage through the source/drain contacts 160 a and 160 b.
  • protective insulation patterns may be provided on a device isolation pattern between active patterns.
  • the protective insulation patterns may prevent a source/drain region from being etched by an etchant, which permeates into the device isolation pattern and flows into an air gap below the source/drain region, during forming a gate electrode. It may also be possible to prevent an electrical short between the gate electrode and the source/drain region by blocking an outflow of a gate electrode material through an etchant permeation path. As a result, a semiconductor device may have enhanced electrical characteristics and reliability.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Active patterns protrude from a substrate. The active patterns include a first active pattern, a second active pattern spaced apart from the first active pattern at a first distance, and a third active pattern spaced apart from the second active pattern at a second distance greater than the first distance. A gate spacer is disposed on sidewalls of a gate electrode running across the active patterns. Source/drain regions include a first to a third source/drain regions disposed on a region of one of the active patterns. The region of one of the active patterns is disposed adjacent to a side of the gate electrode. First and second protective insulation patterns are disposed on the substrate between the first and second active patterns below the first and second source/drain regions and between the second and third active patterns below the second and third source/drain regions, respectively.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority under 35 U.S.C § 119 of Korean Patent Application No. 10-2016-0177019, filed on Dec. 22, 2016 in the Korean Intellectual Property Office, the disclosure of which is incorporated by reference herein in its entirety.
  • TECHNICAL FIELD
  • The present inventive concept relates to a semiconductor device.
  • DISCUSSION OF RELATED ART
  • Semiconductor devices include integrated circuits in which metal oxide semiconductor field effect transistors (MOSFETs) are connected to each other to perform various operations of the semiconductor devices. As size and design rule of the semiconductor devices are gradually decreased, the sizes of the MOSFETs are also scaled down.
  • SUMMARY
  • According to an exemplary embodiment of the present inventive concept, a semiconductor device is provided as follows. A plurality of active patterns protrudes from a substrate. The plurality of active patterns includes a first active pattern, a second active pattern spaced apart from the first active pattern at a first distance, and a third active pattern spaced apart from the second active pattern at a second distance greater than the first distance. A gate electrode runs across the first to third active patterns. A gate spacer is disposed on a sidewall of the gate electrode. A plurality of source/drain regions includes a first source/drain region, a second source/drain region and a third source/drain region, each of the plurality of source/drain regions being disposed on a region of one of the plurality of active patterns. The region of one of the plurality of active patterns is disposed adjacent to a side of the gate electrode. A first protective insulation pattern is disposed on the substrate between the first and second active patterns below the first and second source/drain regions. A second protective insulation pattern is disposed on the substrate between the second and third active patterns below the second and third source/drain regions.
  • According to an exemplary embodiment of the present inventive concept, the first protective insulation pattern has a first thickness, and the second protective insulation pattern has a second thickness less than the first thickness.
  • According to an exemplary embodiment of the present inventive concept, the semiconductor device further includes a plurality of contact etch stop patterns each covering a sidewall of one of the plurality of source/drain regions. The first protective insulation pattern is connected to the gate spacer, and the second protective insulation pattern is connected to one of the plurality of contact etch stop patterns.
  • According to an exemplary embodiment, the first protective insulation pattern includes the same material as a material of the gate spacer, and the second protective insulation pattern includes the same material as a material of each of the plurality of contact etch stop patterns.
  • According to an exemplary embodiment of the present inventive concept, facing sidewalls of the first source/drain region and the second source/drain region are in contact to each other so that an air gap is disposed in a space defined by the facing sidewalls of the first source/drain region and the second source/drain region. The first protective insulation pattern is disposed under the space so that the air gap includes a bottom surface defined by the first protective insulation pattern.
  • According to an exemplary embodiment, the gate spacer comprises a first gate spacer and a second gate spacer that are sequentially disposed on the sidewall of the gate electrode, and the first gate spacer and the second gate spacer include different materials from each other.
  • According to an exemplary embodiment of the present inventive concept, the first protective insulation pattern comprises a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the substrate. The first sub-protective insulation pattern includes the same material as a material of the first gate spacer. The second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
  • According to an exemplary embodiment of the present inventive concept, the semiconductor device further includes a first source/drain contact connected in common to the first source/drain region and the second source/drain region, and a second source/drain contact connected to the third source/drain region.
  • According to an exemplary embodiment of the present inventive concept, a semiconductor device is provided as follows. A substrate has a device isolation pattern. A pair of a first active pattern and a second active pattern protrudes from the device isolation pattern of the substrate and is spaced apart at a first distance from each other in a first direction. A pair of gate electrodes runs across the pair of first active pattern and the second active pattern and is spaced apart from each other in a second direction crossing the first direction. A third active pattern protrudes from the device isolation pattern of the substrate and is spaced apart at a second distance from the second active pattern adjacent to the third active pattern. The second distance is greater than the first distance. A pair of gate spacers is disposed on facing sidewalls of the pair of gate electrodes, respectively. A pair of source/drain regions is disposed on the pair of first active pattern and the second active pattern, respectively, between one of the pair of gate electrodes and the other of the pair of gate electrodes. A first protective insulation pattern is disposed on the device isolation pattern between the pair of gate electrodes and between the pair of first active pattern and the second active pattern. A second protective insulation pattern is disposed on the device isolation pattern between the second active pattern and the third active pattern.
  • According to an exemplary embodiment of the present inventive concept, the first protective insulation pattern has a first thickness, and the second protective insulation pattern has a second thickness less than the first thickness.
  • According to an exemplary embodiment of the present inventive concept, the semiconductor device further includes a contact etch stop pattern covering the pair of source/drain regions. The first protective insulation pattern is connected to a lower end of each of the pair of gate spacers so that a connected structure of the first protective insulation pattern and the pair of gate spacers is of U-shaped. The second protective insulation pattern is connected to the contact etch stop pattern. The first protective insulation pattern and the second protective insulation pattern are in contact with the device isolation pattern.
  • According to an exemplary embodiment of the present inventive concept, one of the pair of source/drain regions and the other of the pair of source/drain regions are in contact to each other so that an air gap has a top surface defined by facing sidewalls of the pair of source/drain regions. The air gap has a bottom surface defined by the first protective insulation pattern.
  • According to an exemplary embodiment of the present inventive concept, each of the pair of gate spacers includes a first gate spacer and a second gate spacer that are sequentially disposed on a corresponding one of the facing sidewalls of the pair of gate electrodes. The first protective insulation pattern includes a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern. The first sub-protective insulation pattern includes the same material as a material of the first gate spacer, and the second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
  • According to an exemplary embodiment of the present inventive concept, a semiconductor device is provided as follows. A device isolation pattern is disposed on a substrate. The device isolation pattern includes a first part, a second part and a third part. A first active pattern protrudes from the device isolation pattern. A second active pattern is adjacent to the first active pattern in a first direction and protruding from the device isolation pattern. A first gate structure is disposed on the substrate. A second gate structure is adjacent to the first gate structure in a second direction crossing the first direction. Each of the first active pattern and the second active pattern includes a first region and a second region. The first gate structure and the second gate structure run across the first region of each of the first active pattern and the second active pattern and the first part of the device isolation pattern. The second region of each of the first active pattern and the second active pattern is adjacent to the first region of each of the first active pattern and the second active pattern. A U-shaped structure includes a left gate spacer and a right gate spacer disposed on a sidewall of the first gate structure and a sidewall of the second gate structure, respectively. The U-shaped structure further includes a first protective insulation pattern being in contact with a second part of the device isolation pattern between the first active pattern and the second active pattern and between the first gate structure and the second gate structure.
  • According to an exemplary embodiment of the present inventive concept, the semiconductor device further includes a third active pattern adjacent to the second active pattern in the first direction, and a second protective insulation pattern being in contact with a third part of the device isolation pattern between the second active pattern and the third active pattern.
  • According to an exemplary embodiment of the present inventive concept, the first active pattern and the second active pattern are spaced apart from each other at a first distance, and the second active pattern and the third active pattern are spaced apart from each other at a second distance different from the first distance.
  • According to an exemplary embodiment of the present inventive concept, the first active pattern, the second active pattern and the third active pattern are of the same conductivity type.
  • According to an exemplary embodiment of the present inventive concept, a sidewall of the first active pattern is in contact with a sidewall of the second active pattern to form a merged source/drain part of the first active pattern and the second active pattern. The air gap is defined by the merged source/drain part of the first active pattern and the second active pattern, the left gate spacer, the right gate spacer and the first protective insulation pattern.
  • According to an exemplary embodiment of the present inventive concept, each of the left gate spacer and the right gate spacer includes a first spacer and a second spacer. The first protective insulation pattern includes a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern. The first sub-protective insulation pattern is connected to a lower end of the first spacer of the left gate spacer and a lower end of the first spacer of the right gate spacer. The second sub-protective insulation pattern is separated from the second spacer of each of the left gate spacer and the right gate spacer.
  • BRIEF DESCRIPTION OF DRAWINGS
  • These and other features of the present inventive concept will become more apparent by describing in detail exemplary embodiments thereof with reference to the accompanying drawings of which:
  • FIG. 1 is a plan view for showing a semiconductor device according to an exemplary embodiment of the present inventive concept;
  • FIG. 2A shows cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1, according to an exemplary embodiment of the present inventive concept;
  • FIG. 2B shows cross-sectional views taken along lines and IV-IV′ of FIG. 1, according to an exemplary embodiment of the present inventive concept;
  • FIG. 3 is an enlarged view corresponding to section A of FIG. 2A, according to an exemplary embodiment of the present inventive concept;
  • FIGS. 4A, 4B, 4C, and 4D are enlarged views corresponding to section B of FIG. 2B, according to an exemplary embodiment of the present inventive concept;
  • FIG. 5 is a cross-sectional view taken along line IV-IV′ of FIG. 1, according to an exemplary embodiment of the present inventive concept;
  • FIGS. 6A to 13A are cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1 according to exemplary embodiment of the present inventive concept;
  • FIGS. 6B to 13B are cross-sectional views taken along lines and IV-IV′ of FIG. 1 according to an exemplary embodiment of the present inventive concept; and
  • FIGS. 14 and 15 are enlarged views corresponding to section A shown in FIG. 12A according to an exemplary embodiment of the present inventive concept.
  • Although corresponding plan views and/or perspective views of some cross-sectional view(s) may not be shown, the cross-sectional view(s) of device structures illustrated herein provide support for a plurality of device structures that extend along two different directions as would be illustrated in a plan view, and/or in three different directions as would be illustrated in a perspective view. The two different directions may or may not be orthogonal to each other. The three different directions may include a third direction that may be orthogonal to the two different directions. The plurality of device structures may be integrated in a same electronic device. For example, when a device structure (e.g., a memory cell structure or a transistor structure) is illustrated in a cross-sectional view, an electronic device may include a plurality of the device structures (e.g., memory cell structures or transistor structures), as would be illustrated by a plan view of the electronic device. The plurality of device structures may be arranged in an array and/or in a two-dimensional pattern.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • Exemplary embodiments of the present inventive concept will be described below in detail with reference to the accompanying drawings. However, the inventive concept may be embodied in different forms and should not be construed as limited to the embodiments set forth herein. In the drawings, the thickness of layers and regions may be exaggerated for clarity. It will also be understood that when an element is referred to as being “on” another element or substrate, it may be directly on the other element or substrate, or intervening layers may also be present. It will also be understood that when an element is referred to as being “coupled to” or “connected to” another element, it may be directly coupled to or connected to the other element, or intervening elements may also be present. Like reference numerals may refer to the like elements throughout the specification and drawings.
  • The values such as a material composition, height, thickness and width of a constituent element may be expressed using “substantially the same” or “about”, because the values measured in a semiconductor device fabricated according to the present inventive concept may be different from the exact value claimed below due to a process variation for forming the semiconductor device or due to a measurement error.
  • FIG. 1 is a plan view for showing a semiconductor device according to an exemplary embodiment of the present inventive concept. FIG. 2A shows cross-sectional views taken along lines I-I′ and II-II′ of FIG. 1. FIG. 2B shows cross-sectional views taken along lines and IV-IV′ of FIG. 1. FIG. 3 is an enlarged view corresponding to section A of FIG. 2A. FIGS. 4A, 4B, 4C, and 4D are enlarged views corresponding to section B of FIG. 2B. In FIGS. 4B and 4C, an illustration of some components (e.g., source/drain contact) will be omitted. FIG. 5 is a cross-sectional view taken along line IV-IV′ of FIG. 1.
  • Referring to FIGS. 1, 2A, 2B, 3, and 4A, a substrate 100 may be provided to include a first active region AR1 and a second active region AR2. The substrate 100 may be a semiconductor substrate. For example, the substrate 100 may be a silicon substrate, a germanium substrate, or an SOI (Silicon-On-Insulator) substrate. The first and second active regions AR1 and AR2 may be arranged along, for example, a first direction D1. The first active region AR1 may be provided thereon with first type transistors, and the second active region AR2 may be provided thereon with second type transistors different from the first type transistors. For example, the first type transistors may be an n-type transistor, and the second type transistors may be a p-type transistor, but the present inventive concept is not limited thereto. For convenience of the description, the following description will be made on an exemplary embodiment in which n-type transistors are disposed on the first active region AR1 and p-type transistors are disposed on the second active region AR2. The present inventive concept, however, is not limited thereto. For example, p-type transistors may be disposed on the first active region AR1 and n-type transistors may be disposed on the second active region AR2. The present inventive concept is also applicable where the same type transistors of having different distance d1 and d2 may be disposed on the first active region AR1 or the second active region AR2. The definitions of the distances d1 and d2 will be described below.
  • A plurality of active patterns AP including a first active pattern AP1, a second active pattern AP2 and a third active pattern AP3 may be provided on the active regions AR1 and AR2. The first active pattern AP1 and the second active pattern AP2 may be disposed on the first active region AR1. A third active pattern AP3 may be disposed on the second active region AR2. The active patterns AP may be disposed along the first direction D1 and may extend along a second direction D2 crossing the first direction D1. The active patterns AP may protrude from the substrate 100 in a direction perpendicular to a top surface of the substrate 100, i.e., a third direction D3 perpendicular to all of the first and second directions D1 and D2. In an exemplary embodiment, the active patterns AP may be a portion of the substrate 100. The present inventive concept is not limited thereto. For example, each of the active patterns AP may be an epitaxial layer formed on the substrate 100. For example, the first active pattern AP1 and the second active pattern AP2 may have a p-type conductivity, and the third active pattern AP3 may have an n-type conductivity.
  • Figures illustrate that two active patterns AP1 and AP2 adjacent to each other are disposed on the first active region AR1 and one third active pattern AP3 is disposed on the second active region AR2, but the present inventive concept is not limited thereto. Three or more active patterns including the first active pattern AP1 and the second active pattern AP2 may be provided on the first active region AR1, and the third active pattern AP3 may be provided in plural.
  • In an exemplary embodiment, the first active pattern AP1 may be spaced apart from the second active pattern AP2 at a first distance d1, and the second active pattern AP2 may be spaced apart at a second distance d2 from the third active pattern AP3, which is adjacent to the second active pattern. The second distance d2 may be greater the first distance d1. The second distance d2 may be a spacing interval needed to separate the third active pattern AP3 from the second active pattern AP2 whose a conductivity type is different from a conductivity type of the third active pattern AP3. If the third active pattern AP3 is provided in plural, neighboring third active patterns AP3 may be spaced apart from each other at an interval equal to or greater than the first distance d1.
  • In an exemplary embodiment, the first active region AR1 may be provided with a plurality of active patterns arranged with at least two distances d1 and d2. In this case, the second distance d2 may be a spacing interval between the third active pattern AP3 and the second active pattern AP2 whose a conductivity type is the same as a conductivity type of the third active pattern AP3. The present inventive concept is not limited thereto. For example, the second active region AR2 may be provided with a plurality of active patterns arranged with at least two distances d1 and d2.
  • Each of the active patterns AP may include a first region R1 below a gate structure GS which will be discussed below and second regions R2 on opposite sides of the gate structure GS. For example, the first region R1 may be overlapped with the gate structure GS; and the second regions R2 of each of the active patterns AP may be non-overlapped with the gate structure GS. The second region R2 may have a top surface US2 whose a height is less than a height of a top surface US1 of the first region R1. In this description, a height of a top surface of one component may be defined by a shortest distance from the substrate 100 to the top surface of one component along the third direction D3.
  • The substrate 100 may be provided thereon with a device isolation pattern ST exposing upper portions of the active patterns AP. For example, the first regions R1 may have their upper portions that protrude from a top surface of the device isolation pattern ST and are exposed through the device isolation pattern ST. The exposed upper portions of the first regions R1 may be defined as active fins AF1 and AF2. In an exemplary embodiment, the second regions R2 may also have their upper portions that protrude from the top surface of the device isolation pattern ST and are exposed through the device isolation pattern ST. The upper portion of the second region R2 may have a protruding length less than protruding lengths of the active fins AF1 and AF2. The device isolation pattern ST may include, for example, silicon oxide.
  • In an exemplary embodiment, the device isolation pattern ST may include first, second, and third parts P1, P2, and P3. The first part P1 may be positioned below the gate structure GS. For example, the first part P1 may be a portion of the device isolation pattern ST that overlaps the gate structure GS. The second parts P2 of the device isolation pattern ST may be positioned on the opposite sides of each of the gate structures GS between the first active pattern AP1 and the second active pattern AP2 adjacent to each other. The third parts P3 may be positioned between the second active pattern AP2 and the third active pattern AP3 adjacent to each other or on sides of outermost active patterns AP1 and AP3 on a pair of the active regions AR1 and AR2. Each of the second and third parts P2 and P3 may have a recessed upper portion. The second and third parts P2 and P3 may therefore have recessed top surfaces RS1 and RS2, respectively. A pattern density may cause the second and third parts P2 and P3 to have recess depths different from each other. For example, the recess depth may be smaller on a narrower region (i.e., a region having a higher pattern density) between the active patterns than on a wider region (i.e., a region having a lower pattern density) between the active patterns. For example, the second part P2 may have the recessed top surface RS1 whose a height is greater than a height of the recessed top surface RS2 of the third part P3. Figures illustrate that bottom surfaces of the first to third parts P1 to P3 are of the same height, but the present inventive concept is not limited thereto. For example, differently from those shown in the figures, the third part P3 may have a bottom surface deeper than a bottom surface of the second part P2.
  • The substrate 100 may be provided thereon with gate structures GS running across at least one of the active patterns AP. The gate structures GS may extend in the first direction D1 and be spaced apart from each other in the first direction D1 (GS2 and GS3) or in the second direction D2 (GS1 and GS2 or GS1 and GS3). For example, the gate structures GS may include a first gate structure GS1 running across the active patterns AN, AP2 and AP3, a second gate structure GS2 spaced apart from the first gate structure GS1 in the second direction D2 and running across a pair of the first active pattern AP1 and the second active pattern AP2, and a third gate structure GS3 spaced apart from the second gate structure GS2 in a direction reverse to the first direction D1 and running across the third active pattern AP3. Each of the first to third gate structures GS1 to GS3 may cover top surfaces and sidewalls of its corresponding active fins AF1 and AF2 and also cover top surfaces of the first parts P1 of the device isolation pattern ST. The active fins AF1 and AF2 locally disposed below each of the gate structures GS may serve as channels of transistors.
  • Each of the gate structures GS may include a gate electrode GE, a gate dielectric pattern GD, and a gate capping pattern GP. The gate electrode GE may extend in the first direction D1 and cover at least one of the active fins AF1 and AF2. The gate electrode GE may include an upper part GE_U positioned higher than the top surfaces of the active fins AF1 and AF2 and a lower part GE_L positioned lower than top surfaces of the active fins AF1 and AF2. Sidewalls of the upper part GE_U of the gate electrode GE may be substantially perpendicular to the top surface of the substrate 100, and sidewalls of the lower part GE_L of the gate structure GE may include a downwardly inclined portion. For example, the upper part GE_U of the gate electrode GE may have a substantially uniform width, and the lower part GE_L of the gate electrode GE may have a portion of which a width increases downwardly toward the substrate 100. The gate electrode GE may include one or more of conductive metal nitride (e.g., titanium nitride, tantalum nitride, etc.) and metal (e.g., aluminum, tungsten, etc.).
  • The gate dielectric pattern GD may be disposed between the gate electrode GE and the active fins AF1 and AF2 and may horizontally extend to cover the top surfaces of the first parts P1 of the device isolation pattern ST. For example, the gate dielectric pattern GD may extend in the first direction D1 along a bottom surface of the gate electrode GE. In addition, the gate dielectric pattern GD may also be disposed between the gate electrode GE and a gate spacer SP which will be discussed below. The gate dielectric pattern GD may include at least one of high-k dielectric layers. For example, the gate dielectric pattern GD may include one or more of hafnium oxide, hafnium silicate, zirconium oxide, and zirconium silicate, but the present inventive concept is not limited to these materials. The gate capping pattern GP may be disposed on a top surface of the gate electrode GE and may extend in the first direction D1 along the top surface of the gate electrode GE. The gate capping pattern GP may include, for example, silicon nitride or silicon oxynitride.
  • A gate spacer SP may be disposed on sidewalls of the gate electrode GE. The gate spacer SP may extend in the first direction D1 along the sidewall of the gate electrode GE. In an exemplary embodiment, the gate spacer SP may have a single- or multi-layered structure. For example, the gate spacer SP may include a first gate spacer SP1 and a second spacer SP2 that are sequentially disposed on the sidewall of the gate electrode GE. Each of the first and second gate spacers SP1 and SP2 may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer. In an exemplary embodiment, the first and second gate spacers SP1 and SP2 may include the same material. In this case, the gate spacer SP may have a single-layered structure. In an exemplary embodiment, the first and second gate spacers SP1 and SP2 may include different materials from each other. For example, the first gate spacer SP1 may include a silicon oxycarbonitride layer, and the second spacer SP2 may include a silicon nitride layer, or vice versa. In this case, the gate spacer SP may have a double-layered structure. In an exemplary embodiment, the gate spacer SP may have a triple-layered structure of different materials. The first gate spacer SP1 may have a thickness substantially the same as or greater than a thickness of the second gate spacer SP2. The present inventive concept, however, is not limited thereto.
  • Protective insulation patterns 125 and 132 may be disposed on the top surface of the device isolation pattern ST exposed through the gate structures GS adjacent to each other. The protective insulation patterns 125 and 132 may include first protective insulation patterns 125 on top surfaces of the second parts P2 of the device isolation pattern ST and second protective insulation patterns 132 on top surfaces of the third parts P3 of the device isolation pattern ST.
  • Each of the first protective insulation patterns 125 may be in contact with the top surface of its underlying device isolation pattern ST and connected to a lower end of its adjacent gate spacer SP.
  • For example, the third parts P3 each may be a region of the device isolation pattern ST exposed by two active patterns adjacent to each other at the second distance d2. In FIG. 2B, the second protective insulation patterns 132 each may be disposed on one of the third pars P3 of the device isolation pattern ST. In an exemplary embodiment, the second protective insulation patterns 132 each may be in contact with one of the third pars P3 of the device isolation pattern ST.
  • For example, the second parts P2 each may be a region of the device isolation pattern ST exposed by two active patterns adjacent to each other at the first distance d1. In FIG. 2A, the first protective insulating patterns 125 each may be disposed on one of the second parts P2 of the device isolation pattern ST. In an exemplary embodiment, the first protective insulating patterns 125 each may be in contact with one of the second parts P2 of the device isolation pattern ST.
  • For example, the first protective insulation pattern 125 between the first and second gate structures GS1 and GS2 may be connected in common to the gate spacers SP on facing sidewalls of the first and second gate structures GS1 and GS2. As viewed in cross-section taken along the second direction D2, a “U” shape may be given to the first protective insulation pattern 125 and the gate spacers SP connected to opposite sides of the first protective insulation pattern 125. For example, a connected structure of the first protective insulation pattern 125 and the gate spacers SP may constitute a U-shaped structure. In the U-shaped structure, a lower portion of a gate spacer SP (left gate spacer) on a sidewall of the first gate structure GS1 may be connected to one end of the first protective insulation pattern 125, and a lower portion of a gate spacer SP (right gate spacer) on a sidewall of the second gate structure GS2 may be connected to an opposite end of the first protective insulation pattern 125.
  • In addition, the first protective insulation pattern 125 between the first and second gate structures GS1 and GS2 may cover the top surface of its underlying second part P2. For example, the first protective insulation pattern 125 between the first and second gate structures GS1 and GS2 may be in contact with the top surface of its underlying second part P2. In an exemplary embodiment, the first protective insulation pattern 125 between the first and second gate structures GS1 and GS2 may cover all the top surface of its underlying second part P2 exposed between two adjacent gate structures GS1 and GS2.
  • Each of the first protective insulation patterns 125 may have a single- or multi-layered structure. For example, each of the first protective insulation patterns 125 may include a first sub-protective insulation pattern 121P in contact with the top surface of its underlying device isolation pattern ST (i.e., the recessed top surface RS1 of the second part P2) and a second sub-protective insulation pattern 123P on the first sub-protective insulation pattern 121P. In an exemplary embodiment, the first protective insulation pattern 125 may be composed of only the first sub-protective insulation pattern 121P. The first sub-protective insulation pattern 121P may include the same material as a material of the first gate spacers SP1. The second sub-protective insulation patterns 123P may include the same material as a material of the second gate spacers SP2. For example, each of the first and second sub-protective insulation patterns 121P and 123P may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer. The first protective insulation pattern 125 may have a first thickness t1, which is defined by its vertical thickness on the recessed top surface RS1 of the second part P2. In an exemplary embodiment, as shown in FIG. 4A, the second region R2 may have an upper sidewall protruding from the top surface of the device isolation pattern ST, and the first protective insulation pattern 125 may be in contact with the upper sidewall of the second region R2. The present inventive concept, however, is not limited thereto.
  • Each of the second protective insulation patterns 132 may be connected to its adjacent contact etch stop pattern 134 and in contact with the top surface of its underlying device isolation pattern ST (i.e., the recessed top surface RS2 of the third part P3). The second protective insulation patterns 132 may be composed of a single layer, but the present inventive concept is not limited thereto. The second protective insulation patterns 132 may have a second thickness t2, which is defined by its vertical thickness on the recessed top surface RS2 of the third part P3. The second thickness t2 may be less than the first thickness t1. For example, the second protective insulation patterns 132 may include a silicon nitride layer, a silicon oxynitride layer or a silicon oxycarbonitride layer.
  • Source/drain regions SD may be disposed on the active patterns AP (i.e., the second regions R2) on opposite sides of each of the gate structures GS. The source/drain regions SD may include a first source/drain region SD1 on the first active pattern AP1, a second source/drain region SD2 on the second active pattern AP2 and a third source/drain region SD3 on the third active pattern AP3. For example, the first source/drain region SD1 and the second source/drain region SD2 may have an n-type conductivity, and the third source/drain region SD3 may have a p-type conductivity. The present inventive concept, however, is not limited thereto. For example, the first source/drain region SD1 and the third source/drain region SD3 may have the same conductivity type, if the active patterns AP may be disposed on the same active region of the first active region R1 or the second active region R2.
  • In an exemplary embodiment, each of the source/drain regions SD may be an epitaxial pattern grown from its underlying active pattern used as a seed layer. In this case, the first and second source/drain regions SD1 and SD2 may include a material that provides the first active fins AF1 with a tensile strain, and the third source/drain region SD3 may include a material that provides the second active fins AF2 with a compressive strain. For example, when the substrate 100 is a silicon substrate, the first and second source/drain regions SD1 and SD2 may include a silicon carbide (SiC) layer whose a lattice constant is less than a lattice constant of Si or a silicon (Si) layer whose a lattice constant is substantially the same as a lattice constant of the substrate 100. The third source/drain region SD3 may include a SiGe layer whose a lattice constant is greater than a lattice constant of Si. From the viewpoint of horizontal position, each of the first active fins AF1 may be positioned between a pair of neighboring first source/drain regions SD1 or a pair of neighboring second source/drain regions SD2, and each of the second active fins AF2 may be positioned between a pair of neighboring third source/drain regions SD3.
  • As viewed in cross-section taken along the first direction D1, the source/drain regions SD may have sidewalls each having a laterally sharp wedge shape where a lower sidewall and an upper sidewall meet each other. For example, each of the source/drain regions SD may have sidewalls each of which includes a lower sidewall substantially negatively inclined to the top surface of the substrate 100 and an upper sidewall substantially positively inclined to the top surface of the substrate 100. In an exemplary embodiment, the first and second source/drain regions SD1 and SD2 adjacent to each other may have lower sidewalls (or facets) connected to each other. An air gap AG may be formed below the sidewalls connected to each other. The air gap AG may be a substantially hollow space where no solid material is provided. For example, two adjacent first and second source/drain regions SD1 and SD2 may be in contact with each other to the extent that the air gap AG has a top surface defined by the lower sidewalls of the two adjacent first and second source/drain regions SD1 and SD2. The air gap AG may have a bottom surface defined by the top surface of the first protective insulation pattern 125. In an exemplary embodiment, the air gap AG may have a bottom surface defined by a top surface of the first protective insulation pattern 125.
  • The contact etch stop patterns 134 may be disposed on the source/drain regions SD. The contact etch stop patterns 134 may conformally cover the sidewalls of the source/drain regions SD and extend onto sidewalls of the gate structures GS. The contact etch stop patterns 134 and the second protective insulation patterns 132 may include the same material. The contact etch stop patterns 134 may be connected to the second protective insulation patterns 132. For example, the contact etch stop patterns 134 may include a silicon oxide layer, a silicon oxynitride layer or silicon oxycarbonitride layer. In addition, the contact etch stop patterns 134 may have substantially the same thickness as the second thickness t2 of the second protective insulation patterns 132. The second protective insulation patterns 132 and the contact etch stop patterns 134 may form a capping insulation patterns 135. For example, one of the second protective insulation patterns 132 and at least one of the contact etch stop patterns 134 may be connected to each other to constitute a single insulation layer. As such, each of the second protective insulation patterns 132 and each of the contact etch stop patterns 134 may correspond to a portion of the single insulation layer. In contrast, the contact etch stop patterns 134 need not cover the lower sidewalls, facing each other, of the two adjacent first source/drain regions SD1 which define the air gap AG. For example, the contact etch stop patterns 134 need not be present in the air gap AG on the second part P2 of the device isolation pattern ST.
  • The first protective insulation patterns 125 or the source/drain regions SD may be provided in various shapes. In an exemplary embodiment, as shown in FIG. 4B, the first protective insulation pattern 125 may have an upper portion that protrudes from the top surface US2 of the second region R2 and is in contact with the sidewalls of the first and second source/drain regions SD1 and SD2 adjacent to each other.
  • In an exemplary embodiment, as shown in FIG. 4C, residual protective insulation patterns 127 may be disposed on top surfaces RS2 of the third parts P3 of the device isolation pattern ST that are adjacent to the first and second active patterns AP1 and AP2. For example, the first protective insulation pattern 125 may be disposed between the first active pattern AP1 and the second active pattern AP2, and the residual protective insulation patterns 127 may be disposed on opposite sides of a pair of the first active pattern AP1 and the second active pattern AP2. For example, the residual protective insulation pattern 127 and the first protective insulation pattern 125 adjacent to each other may be spaced apart from each other in the first direction D1 across the pair of the first active pattern AP1 and the second active pattern AP2. For example, each of the residual protective insulation patterns 127 may include a first residual spacer 121R and a second residual spacer 123R on the first residual spacer 121R. The first residual spacer 121R may be in contact with an upper sidewall of the second region R2 exposed by the device isolation pattern ST. The first residual spacer 121R may include the same material as a material of each of the first gate spacers SP1. The second residual spacer 123R may include the same material as a material of each of the second gate spacers SP2.
  • In an exemplary embodiment, as shown in FIG. 4D, the first and second source/drain regions SD1 and SD2 adjacent to each other may have sidewalls facing each other and spaced apart from each other. For example, the facing sidewalls of the two adjacent first and second source/drain regions SD1 and SD2 may be spaced apart at a third distance d3 less than about twice the second thickness t2 of the second protective insulation patterns 132. The contact etch stop pattern 134 may fill a space of the third distance d3 between the facing sidewalls of the two adjacent first and second source/drain regions SD1 and SD2. For example, each of the contact etch stop patterns 134 may close the top surface of an air gap AG. In this case, the air gap AG may be formed below the two adjacent first and second source/drain regions SD1 and SD2, and the contact etch stop pattern 134 between the two adjacent first and second source/drain regions SD1 and SD2. As not shown in figures, configuration features of the embodiments depicted in FIGS. 4B and 4C may also be applicable to the embodiment of FIG. 4D.
  • Referring to FIGS. 1, 2A, 2B, 3, and 4A, the substrate 100 may be provided thereon with a first interlayer dielectric layer 140 covering the source/drain regions SD and the sidewalls of the gate structures GS. The first interlayer dielectric layer 140 may have a top surface coplanar with top surfaces of the gate structures GS and top surfaces of the gate spacers SP at substantially the same height. The first interlayer dielectric layer 140 may include, for example, one or more of a silicon oxide layer and a low-k dielectric layer. A second interlayer dielectric layer 150 may be disposed on the first interlayer dielectric layer 140. The second interlayer dielectric layer 150 may cover the top surfaces of the gate structures GS. The second interlayer dielectric layer 150 may include one or more of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, and a low-k dielectric layer.
  • Source/ drain contacts 160 a and 160 b may be disposed on opposite sides of each of the gate structures GS. The source/ drain contacts 160 a and 160 b may penetrate the second interlayer dielectric layer 150, the first interlayer dielectric layer 140, and the contact etch stop patterns 134 such that the source/drain regions SD may be connected to the source/ drain contacts 160 a and 160 b. The source/ drain contacts 160 a and 160 b may include a first source/drain contact 160 a connected to the first and second source/drain regions SD1 and SD2 and a second source/drain contact 160 b connected to the third source/drain region SD3. In an exemplary embodiment, the first source/drain contact 160 a may be commonly connected to a pair of the first and second source/drain regions SD1 and SD2 disposed on a side of the gate structure GS.
  • In an exemplary embodiment, the first source/drain contact 160 a may be connected to the second source/drain contact 160 b to form a source/drain contact 160. For example, as shown in FIG. 5, the source/drain contact 160 may be commonly connected to a pair of the first and second source/drain regions SD1 and SD2 disposed on a side of the gate structure GS and the third source/drain region SD3 adjacent to the pair of the first and second source/drain regions SD1 and SD2. In this case, the source/drain contact 160 may include an extension part EP extending between the second source/drain region SD2 and the third source/drain region SD3 adjacent to each other. The extension part EP may have a bottom surface positioned lower than a contact surface between the source/drain contact 160 and the source/drain regions SD.
  • The second interlayer dielectric layer 150 may be provided thereon with routing lines (not shown) coupled to the source/ drain contacts 160 a and 160 b. The routing lines (not shown) may be configured to provide the source/drain regions SD with voltage through the source/ drain contacts 160 a and 160 b. The source/ drain contacts 160 a and 160 b and the routing lines (not shown) may include a conductive material (e.g., metal and/or metal nitride).
  • As a pitch between active patterns becomes reduced, a process of forming a device isolation pattern to fill a space between the active patterns adjacent to each other may have an insufficient process margin to the extent that a void VD, as shown in FIG. 3, may be formed in an upper portion of the device isolation pattern ST. The void VD may be adjacent to a lower portion of the gate electrode GE. The void VD may provide a permeation path to an etchant used in a process where a gate electrode is formed in a gate last process. If an etchant permeated through the void VD may flow into the air gap AG below a source/drain region, etching damage may occur to the source/drain region (see FIG. 15). In addition, this permeation path may be used as an outflow route of a conductive material constituting the gate electrode. For example, if the conductive material is present in the permeation path, the gate electrode is electrically short with the source/drain region. However, according to an exemplary embodiment of the present inventive concept, the protective insulation patterns 125 and 132, before the gate electrode is formed in the gate last process, are provided on the device isolation pattern ST between active patterns (e.g., the first protective insulation pattern 125 is provided on a narrower region (having the first distance d1) between two active patterns AP1 and AP2, and the second protective insulation pattern 132 is provided on a wider region (having the second distance d2) between a second active pattern AP2 and a third active pattern AP3 adjacent to the second active pattern AP2). An etchant for removing a sacrificial gate pattern which is replaced with a gate electrode in the gate last process may be prevented from permeating into the void VD. (See FIGS. 7A to 13A). If the etchant permeates into the void VD, the etchant may flow into the air gap AG to etch the source/drain region (see FIG. 14). An electrical short between the gate electrode and the source/drain region may be prevented by blocking an outflow of a gate electrode material through the etchant permeation path. As a result, a semiconductor device may have increased electrical characteristics and reliability.
  • A method of fabricating a semiconductor device according to an exemplary embodiment of the present inventive concept will be described hereinafter. FIGS. 6A to 13A are cross-sectional views taken along lines I-I′ and II-IP of FIG. 1 according to an exemplary embodiment of the present inventive concept. FIGS. 6B to 13B are cross-sectional views taken along lines and IV-IV′ of FIG. 1. FIGS. 14 and 15 are enlarged views corresponding to section A shown in FIG. 12A. For brevity of the description, a repetitive explanation will be omitted.
  • Referring to FIGS. 6A, and 6B, a substrate 100 may be provided to include a first active region AR1 and a second active region AR2. The substrate 100 may be a semiconductor substrate. For example, the substrate 100 may be a silicon substrate, a germanium substrate, or an SOI (Silicon-On-Insulator) substrate. For example, an n-type transistor may be formed on the first active region AR1, and a p-type transistor may be formed on the second active region AR2. The first and second active regions AR1 and AR2 may be arranged along, for example, a first direction D1.
  • In an exemplary embodiment, the substrate 100 may be patterned to form trenches 101 defining active patterns AP including a first active pattern AP1, a second active pattern AP2 and a third active pattern AP3. The active patterns AP may be formed disposed along the first direction D1 and extending in a second direction D2 crossing the first direction D1. The active patterns AP may protrude from the substrate 100 in a third direction D3 perpendicular to a top surface of the substrate 100. The first active pattern AP1 and the second active pattern AP2 may be doped with an impurity to have a p-type conductivity, and the third active pattern AP3 may be doped with an impurity to have an n-type conductivity. The first active pattern AP1 and the second active pattern AP2 may be spaced apart from each other at a first distance d1, and the second active pattern AP2 may be spaced apart from the third active pattern AP3 adjacent to the second active pattern AP2 at a second distance d2. The second distance d2 may be greater the first distance d1. (See also, FIG. 1). In an exemplary embodiment, the first distance d1, measured in parallel to the first direction D1, may be a shortest distance between facing sidewalls of two active patterns AP1 and AP2 adjacent to each other. In an exemplary embodiment, the second distance d2, measured in parallel to the first direction D1, may be a shortest distance between a sidewall of the second active pattern AP2 and a sidewall of the third active pattern AP3 adjacent to the second active pattern AP2. The sidewall of the second active pattern AP2 and the sidewall of the third active pattern AP3 adjacent to the second active pattern AP2 may face to each other.
  • A device isolation pattern ST may be formed in the trenches 101. The device isolation pattern ST may be formed to expose upper portions of the first and second active patterns AP1 and AP2. The upper portions of the active patterns AP exposed through the device isolation pattern ST may be defined as first and second active fins AF1 and AF2 disposed in the first and second active regions AR1 and AR2, respectively. The device isolation pattern ST may be formed by forming an insulation layer to fill spaces between the active patterns AP and then recessing an upper portion of the insulation layer. For example, the insulation layer may be formed using a polysilazane-based inorganic SOG layer having superior gap-fill characteristics or using a flowable chemical vapor deposition (FCVD) process. During the formation of the insulation layer, a void VD as shown in FIG. 3 may be formed in an upper portion of the insulation layer.
  • Referring to FIGS. 7A and 7B, sacrificial gate structures SG may be formed on the resulting structure of FIGS. 6A and 6B. The sacrificial gate structures SG each may include an etch stop pattern 105, a sacrificial gate pattern 110, and a gate mask pattern 115 that are sequentially stacked.
  • For example, an etch stop layer and a sacrificial gate layer may be sequentially formed on the substrate 100, so that the active fins AF1 and AF2 and the device isolation pattern ST may be covered with the etch stop layer and the sacrificial gate layer. For example, the etch stop layer may include silicon oxide. The sacrificial gate layer may include a material having etch selectivity with respect to the etch stop layer. For example, the sacrificial gate layer may include polysilicon. The sacrificial gate layer may be formed by a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process, an atomic layer deposition (ALD) process, or so forth. After the sacrificial gate layer is formed, the sacrificial gate layer may be planarized on its top surface. A gate mask pattern 115 may be formed on the planarized sacrificial gate layer, and then an anisotropic etching process may be performed using the gate mask pattern 115 as an etch mask. As a result, sacrificial gate patterns 110 may be formed to run across at least one of the active patterns AP. The gate mask pattern 115 may include, for example, silicon nitride. In an exemplary embodiment, the sacrificial gate pattern 110 may be formed to have an upper portion and a lower portion. The upper portion of the sacrificial gate pattern 110 may be positioned higher than top surfaces of the active fins AF1 and AF2. A sidewall of the sacrificial gate pattern 110 may be substantially vertical to the top surface of the substrate 100. The lower portion of the sacrificial gate pattern 110 may be positioned lower than the top surfaces of the active fins AF1 and AF2. The present inventive concept is not limited thereto. For example, the lower portion may have a downwardly inclined sidewall. This dissimilarity in shape between the upper and lower portions of the sacrificial gate pattern 110 may be caused from difference in etching depth or pattern density or both of an etching target when the sacrificial gate layer is etched.
  • After the sacrificial gate patterns 110 are formed, the etch stop layer exposed through the sacrificial gate patterns 110 may be removed such that etch stop patterns 105 may remain below the sacrificial gate patterns 110. Each of the etch stop patterns 105 may extend along a bottom surface of the sacrificial gate pattern 110 to partially cover sidewalls and top surfaces of the active fins AF1 and AF2 and top surfaces of the device isolation patterns ST. The formation of the sacrificial gate structures SG may then be done.
  • As the sacrificial gate structures SG are formed to run across at least one of the active patterns AP, first and second regions R1 and R2 may be defined in each of the active patterns AP. In this description, the first region R1 may be a portion of each of the active patterns AP that is positioned below one of the sacrificial gate structures SG, and the second regions R2 may be portions of each of the active patterns AP that are positioned on opposite sides of each of the sacrificial gate structures SG. The first region R1 may divide each of the active patterns AP into portions horizontally spaced apart from each other in the second direction D2, which correspond to the second regions R2 on which source/drains are to be formed. First, second, and third parts P1, P2, and P3 may also be defined in the device isolation pattern ST. The first parts P1 may be portions of the device isolation pattern ST that overlaps the sacrificial gate structures SG and are positioned below the sacrificial gate structures SG. The second and third parts P2 and P3 may be other portions of the device isolation pattern ST that are vertically separated by the active patterns AP in the first direction D1. The second parts P2 may be positioned between the first active pattern AP1 and the second active pattern AP2 adjacent to each other, and the third parts P3 may be positioned between the second active pattern AP2 and the third active pattern AP3 adjacent to each other or on sides of outermost active patterns AP1 and AP3 on a pair of the active regions AR1 and AR2, for example. When the sacrificial gate structures SG are formed, the second and third parts P2 and P3 may be recessed on their upper portions. The second and third parts P2 and P3 may thus have top surfaces each of whose profiles is concavely recessed toward the substrate 100. The second and third parts P2 and P3 may have recess depths that are different from each other depending on pattern density of the active patterns AP. For example, the second parts P2 may have upper portions recessed shallower than those of the third parts P3.
  • Referring to FIGS. 8A and 8B, a gate spacer layer 120 may be formed on the substrate 100. For example, the gate spacer layer 120 may be formed conformally on the resulting structure of FIGS. 7A and 7B. The gate spacer layer 120 may conformally cover top surfaces and sidewalls of the sacrificial gate structures SG, top surfaces and sidewalls of the second regions R2 exposed through the device isolation pattern ST, and top surfaces of the second and third parts P2 and P3 of the device isolation pattern ST. The gate spacer layer 120 may be formed to have a single layer or a multiple layer. For example, the gate spacer layer 120 may include a first gate spacer layer 121 and a second gate spacer layer 123 that are sequentially stacked. The first gate spacer layer 121 may have a thickness substantially the same as or greater than a thickness of the second gate spacer layer 123. The present inventive concept, however, is not limited thereto. In an exemplary embodiment, the first and second gate spacer layers 121 and 123 may be formed of the same material. For example, the first and second gate spacer layers 121 and 123 may include a silicon nitride layer, a silicon oxynitride layer, or a silicon oxycarbonitride layer. In this case, the gate spacer layer 120 may be formed to have a single layer. In an exemplary embodiment, the first and second gate spacer layers 121 and 123 may be formed of different materials from each other. For example, the first gate spacer layer 121 may include a silicon oxycarbonitride layer, and the second gate spacer layer 123 may include a silicon nitride layer, or vice versa. In this case, the gate spacer layer 120 may be formed to have a double layer. In an exemplary embodiment, the gate spacer layer 120 may be formed to have a triple layer with different materials. The first and second gate spacer layers 121 and 123 may be formed by a deposition process such as an ALD process.
  • Referring to FIGS. 9A and 9B, a removal may be performed on upper portions of the active patterns AP on opposite sides of each of the sacrificial gate structures SG. For example, the upper portions of the active patterns AP disposed between two adjacent sacrificial gate structures SG may be partially recessed. In this case, the second regions R2 may be recessed on their upper portions. The recessing of the upper portions of the second regions R2 may include performing a dry or wet etching process at least once. The second region R2 may have a recessed top surface US2 lower than a top surface US1 of the first region R1. In an exemplary embodiment, the recessed top surface US2 of the second region R2 may be flat, but the present inventive concept is not limited thereto. For example, the recessed top surface US2 of the second region R2 may have a concavely curved shape toward the substrate 100. The surface US2 of the second region R2 may have a height that is variously changed, as shown in FIG. 4A or 4B, depending on a recess amount.
  • During the recessing of the upper portions of the second regions R2, the gate spacer layer 120 may be patterned to form gate spacers SP on sidewalls of the sacrificial gate structures SG. In addition, first protective insulation patterns 125 may be formed on the top surface (i.e., the top surfaces of the second parts P2) of the device isolation pattern ST between the two adjacent active patterns AP1 and AP2 and between two adjacent sacrificial gate structures SG. During the recessing the upper portions of the second regions R2, an etching amount of the gate spacer layer 120 may be smaller on a narrow region (having the first distance d1 of FIG. 1) between active patterns (e.g., between the first active pattern AP1 and the second active pattern AP2) than on a wider region (having the second distance d2 of FIG. 1) between the second active pattern AP2 and the third active pattern AP3 adjacent to each other. Accordingly, after the recessing of the second regions R2 is done, the gate spacer layer 120 may be completely removed from the third parts P3 but may remain on the second parts P2 to form the first protective insulation patterns 125. For example, the first protective insulation patterns 125 may be portions of the gate spacer layer 120. The first protective insulation patterns 125 may be connected to the gate spacers SP on the sidewalls of the sacrificial gate structures SG adjacent to the first protective insulation patterns 125. In an exemplary embodiment, each of the first protective insulation patterns 125 may include a first sub-protective insulation pattern 121P and a second sub-protective insulation pattern 123P. The first sub-protective insulation pattern 121P may include the same material as a material of the first gate spacers SP1. The second sub-protective insulation patterns 123P may include the same material as a material of the second gate spacers SP2. In an exemplary embodiment, the first protective insulation pattern 125 may be composed of only the first sub-protective insulation pattern 121P. The first protective insulation patterns 125 may be formed by controlling etch conditions (e.g., etch rate, etch selectivity, etc.) for the gate spacer layer 120 and the active patterns AP or by allowing the gate spacer layer 120 to have a thickness to the extent that a space between the gate spacer layers 120 on facing sidewalls of the two adjacent active patterns AP1 and AP2 is narrow and the gate spacer layers 120, after being etched, may be partially remain in the space to form the first protective insulation patterns 125.
  • In an exemplary embodiment, the first protective insulation pattern 125 may be in contact with an upper sidewall of the second region R2 that protrudes from the top surface of the device isolation pattern ST. For example, the first protective insulation pattern 125 may be in contact with the upper sidewall of the second region R2 protruding from the top surface of the device isolation pattern ST. The present inventive concept, however, is not limited thereto. A shape of the first protective insulation pattern 125 may be variously changed depending on an etching condition for recessing the gate spacer layer 120 or the upper portions of the second regions R2 or both. In an exemplary embodiment, during recessing of the upper portions of the second regions R2, a portion of the gate spacer layer 120 may remain on the top surfaces of the third parts P3 adjacent to the second regions R2 such that the residual protective insulation patterns 127 may be formed as discussed with reference to FIG. 4C.
  • Referring to FIGS. 10A and 10B, source/drain regions may be formed on the active patterns AP (i.e., the second regions R2) on opposite sides of each of the sacrificial gate structures SG. In an exemplary embodiment, a selective epitaxial growth process may be performed to form each of the source/drain regions SD using their underlying active patterns as a seed layer. A first source/drain region SD1 and a second source/drain region SD2 may be formed to induce a tensile strain to the first active fins AF1 interposed therebetween. For example, if the substrate 100 is a silicon substrate, the first and second source/drain regions SD1 and SD2 may be formed of a Si or SiC layer. Third source/drain region SD3 may be formed to induce a compressive strain to the second active fins AF2 interposed therebetween. For example, when the substrate 100 is a silicon substrate, the third source/drain region SD3 may be formed of a SiGe layer. Simultaneously with or after the epitaxial growth process, the source/drain regions SD may be doped with an impurity. The first and second source/drain regions SD1 and SD2 may be doped with an n-type impurity, and the third source/drain region SD3 may be doped with a p-type impurity. The source/drain regions SD may rise up (or be elevated) from a top surface of the first region R1.
  • As viewed in cross-section taken along the first direction D1, the source/drain regions SD may have their sidewalls each having a laterally sharp wedge shape. For example, each of the source/drain regions SD may have a sidewall including a lower sidewall substantially negatively inclined to the top surface of the substrate 100 and an upper sidewall substantially positively inclined to the top surface of the substrate 100. In an exemplary embodiment, the first and second source/drain regions SD1 and SD2 adjacent to each other may have facingly connected sidewalls. In this case, an air gap AG may be formed below the sidewalls of the first and second source/drain regions SD1 and SD2. For example, two adjacent first and second source/drain regions SD1 and SD2 may be in contact with each other to the extent that the air gap AG has a top surface defined by the lower sidewalls of the two adjacent first and second source/drain regions SD1 and SD2. The air gap AG may have a bottom surface defined by the first protective insulation pattern 125. The present inventive concept, however, is not limited thereto. For example, as shown in FIG. 4D, two adjacent first and second source/drain regions SD1 and SD2 adjacent to each other may have their sidewalls that are spaced apart at a third distance d3. The spacing at the third distance d3 between the sidewalls of the first and second source/drain regions SD1 and SD2 may be filled with a capping insulation layer 130 which will be discussed below. In this case, the air gap AG may be formed below the adjacent first and second source/drain regions SD1 and SD2. An upper surface of the air gap AG may be defined by the lower sidewalls of the two adjacent first and second source/drain regions SD1 and SD2 spaced apart from each other at the third distance d3 and the capping insulation layer 130, as shown in FIG. 4D.
  • The two adjacent first and second source/drain regions SD1 may be merged to each over on the second part P2 of the device isolation pattern ST to form a merged source/drain part SD-MP.
  • Referring to FIGS. 11A and 11B, the capping insulation layer 130 may be formed on the substrate 100. For example, the capping insulation layer 130 may be formed conformally on the resulting structure of FIGS. 10A and 10B. The capping insulation layer 130 may conformally cover the top surfaces of the third parts P3 of the device isolation pattern ST and extend onto the source/drain regions SD and the gate mask pattern 115. The capping insulation layer 130 may be formed of a material having etch selectivity with respect to a first interlayer dielectric layer 140 which will be discussed below with respect to FIGS. 12A and 12B. For example, the capping insulation layer 130 may include a silicon nitride layer or a silicon oxynitride layer. The capping insulation layer 130 may be formed by a CVD process or an ALD process.
  • Referring to FIGS. 12A and 12B, the first interlayer dielectric layer 140 may be formed on the substrate 100. For example, the first interlayer dielectric layer 140 may be formed on the resulting structure of FIGS. 11A and 11B. The first interlayer dielectric layer 140 may be formed to cover the source/drain regions SD and the sacrificial gate structures SG. The first interlayer dielectric layer 140 may include one or more of a silicon oxide layer and a low-k dielectric layer.
  • After the first interlayer dielectric layer 140 is formed, the gate mask pattern 115, the sacrificial gate pattern 110, and the etch stop pattern 105 may be removed so that a gap region 145 may be formed between the gate spacers SP. The gap region 145 may expose the active fins AF1 and AF2 and top surfaces of the first parts P1. During an etch process for removing the gate mask pattern 115, the first interlayer dielectric layer 140 and the gate spacers SP may be partially etched. The gap region 145 may be formed by performing an etching process that partially etches the sacrificial gate pattern 110 and the etch stop pattern 105. During the formation of the gap region 145, the gate spacer SP may be partially etched on its sidewall exposed to the gap region 145.
  • As shown in FIG. 15, comparative example without the first protective insulation pattern 125 with respect to the present inventive concept, a void VD is present in an upper portion of the device isolation pattern ST below the gap region 145, and an etchant may permeate through the void VD into the device isolation pattern ST. The etchant permeated into the device isolation pattern ST may flow into the air gap AG and then etch the first source/drain region SD1 exposed to the air gap AG (see arrow 147). However, according to an exemplary embodiment of the present inventive concept, the first protective insulation pattern 125 may be formed on the top surface of the second part P2 of the device isolation pattern ST below the first source/drain region SD1, and the first protective insulation pattern 125 may prevent the etchant permeated through the void VD from flowing into the air gap AG during the formation of the gap region 145 (see arrow 146 of FIG. 14). It thus may be possible to prevent etching damage to the merged source/drain part SD-MP of the first and second source/drain regions SD1 and SD2 and also prevent an electrical short between the first source/drain region D1 and a gate electrode GE which will be formed later. In an exemplary embodiment, the merged source/drain part SD-MP may be disposed on the air gap AG. As a result, a semiconductor device may have increased electrical characteristics and reliability.
  • Meanwhile, during the formation of the gap region 145, the capping insulation layer 130 on the gate mask pattern 115 may be partially removed to form a capping insulation pattern 135. The capping insulation pattern 135 may have portions, which cover the top surfaces of the third parts P3 of the device isolation pattern ST, defined as second protective insulation patterns 132 and also have other portions, which cover sidewalls of the gate spacer SP and the source/drain regions SD, defined as contact etch stop patterns 134. As the second protective insulation patterns 132 are formed on the top surfaces of the third parts P3 of the device isolation pattern ST, it may prevent etchant permeation occurred during the formation of the gap region 145.
  • Referring to FIGS. 13A and 13B, a gate dielectric pattern GD and a gate electrode GE may be formed to fill the gap region 145. First, a gate dielectric layer may be formed on the substrate 100 to partially fill the gap region 145. The gap dielectric layer may be formed to cover the active fins AF1 and AF2 and the top surfaces of the first parts P1. The gate dielectric layer may include at least one of high-k dielectric layers. For example, the gate dielectric layer may include one or more of hafnium oxide, hafnium silicate, zirconium oxide, and zirconium silicate, but the present inventive concept is not limited to these materials. The gate dielectric layer may be formed by performing, for example, an ALD process or a CVD process. A gate layer may be formed on the gate dielectric layer to fill a remaining portion of the gap region 145. The gate layer may include one or more of metal nitride (e.g., titanium nitride, tantalum nitride, or tungsten nitride) and metal (e.g., aluminum or tungsten). A planarization process may be performed on the gate dielectric layer and the gate layer that are sequentially stacked, and thus the gate dielectric pattern GD and the gate electrode GE may be formed within the gap region 145. The planarization process may expose top surfaces of the first interlayer dielectric layer 140 and the gate spacers SP. The gate dielectric pattern GD may extend along a bottom surface of the gate electrode GE and toward opposite sidewalls of the gate electrode GE to interpose between the gate electrode GE and each of the gate spacers SP.
  • The gate electrode GE may be recessed on its upper portion. During the recessing the upper portion of the gate electrode GE, an upper portion of the gate dielectric pattern GD may also be removed. A gate capping pattern GP may be formed in a space where the gate electrode GE and the gate dielectric pattern GD are removed. The gate capping pattern GP may include, for example, silicon nitride. A gate structure GS may be defined to include the gate dielectric pattern GD, the gate electrode GE, and the gate capping pattern GP.
  • Referring back to FIGS. 2A and 2B, a second interlayer dielectric layer 150 may be formed on the substrate 100 on which the gate structure GS is formed. The second interlayer dielectric layer 150 may include silicon oxide, silicon nitride, or silicon oxynitride. Contact holes may be formed to penetrate the second interlayer dielectric layer 150, the first interlayer dielectric layer 140, and the contact etch stop patterns 134 in such a way that the first and second source/drain regions SD are exposed through the contact holes. Upper portions of the source/drain regions SD may be partially removed by an etching process for forming the contact holes. Subsequently, the contact holes may be filled with a conductive material to form source/ drain contacts 160 a and 160 b.
  • As not shown in figures, the second interlayer dielectric layer 150 may be provided thereon with routing lines (not shown) coupled to the source/ drain contacts 160 a and 160 b. The routing lines (not shown) may be configured to provide the source/drain regions SD with voltage through the source/ drain contacts 160 a and 160 b.
  • According to an exemplary embodiment of the present inventive concept, protective insulation patterns may be provided on a device isolation pattern between active patterns. The protective insulation patterns may prevent a source/drain region from being etched by an etchant, which permeates into the device isolation pattern and flows into an air gap below the source/drain region, during forming a gate electrode. It may also be possible to prevent an electrical short between the gate electrode and the source/drain region by blocking an outflow of a gate electrode material through an etchant permeation path. As a result, a semiconductor device may have enhanced electrical characteristics and reliability.
  • While the present inventive concept has been shown and described with reference to exemplary embodiments thereof, it will be apparent to those of ordinary skill in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the inventive concept as defined by the following claims.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a plurality of active patterns protruding from a substrate,
wherein the plurality of active patterns includes a first active pattern, a second active pattern spaced apart from the first active pattern at a first distance, and a third active pattern spaced apart from the second active pattern at a second distance greater than the first distance;
a gate electrode running across the plurality of active patterns;
a gate spacer on a sidewall of the gate electrode;
a plurality of source/drain regions including a first source/drain region, a second source/drain region and a third source/drain region, each of the plurality of source/drain regions being disposed on a region of one of the plurality of active patterns,
wherein the region of one of the plurality of active patterns is disposed adjacent to a side of the gate electrode;
a first protective insulation pattern disposed on the substrate between the first and second active patterns and below the first and second source/drain regions; and
a second protective insulation pattern disposed on the substrate between the second and third active patterns and below the second and third source/drain regions.
2. The semiconductor device of claim 1,
wherein the first protective insulation pattern has a first thickness, and
wherein the second protective insulation pattern has a second thickness less than the first thickness.
3. The semiconductor device of claim 1, further comprising:
a plurality of contact etch stop patterns each covering a sidewall of one of the plurality of source/drain regions,
wherein the first protective insulation pattern is connected to the gate spacer, and
wherein the second protective insulation pattern is connected to one of the plurality of contact etch stop patterns.
4. The semiconductor device of claim 3,
wherein the first protective insulation pattern comprises the same material as a material of the gate spacer, and
wherein the second protective insulation pattern comprises the same material as a material of each of the plurality of contact etch stop patterns.
5. The semiconductor device of claim 1,
wherein facing sidewalls of the first source/drain region and the second source/drain region are in contact to each other so that an air gap is disposed in a space defined by the facing sidewalls of the first source/drain region and the second source/drain region, and
wherein the first protective insulation pattern is disposed under the space so that the air gap includes a bottom surface defined by the first protective insulation pattern.
6. The semiconductor device of claim 1,
wherein the gate spacer comprises a first gate spacer and a second gate spacer that are sequentially disposed on the sidewall of the gate electrode, and
wherein the first gate spacer and the second gate spacer include different materials from each other.
7. The semiconductor device of claim 6,
wherein the first protective insulation pattern comprises a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the substrate,
wherein the first sub-protective insulation pattern includes the same material as a material of the first gate spacer, and
wherein the second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
8. The semiconductor device of claim 1, further comprising:
a first source/drain contact connected in common to the first source/drain region and the second source/drain region; and
a second source/drain contact connected to the third source/drain region.
9. A semiconductor device, comprising:
a substrate having a device isolation pattern;
a pair of a first active pattern and a second active pattern protruding from the device isolation pattern of the substrate and spaced apart at a first distance from each other in a first direction;
a pair of gate electrodes running across the pair of the first active pattern and the second active pattern and spaced apart from each other in a second direction crossing the first direction;
a third active pattern protruding from the device isolation pattern of the substrate and spaced apart at a second distance from the second active pattern adjacent to the third active pattern, the second distance being greater than the first distance;
a pair of gate spacers disposed on facing sidewalls of the pair of gate electrodes, respectively;
a pair of source/drain regions on the pair of the first active pattern and the second active pattern, respectively, between one of the pair of gate electrodes and the other of the pair of gate electrodes;
a first protective insulation pattern on the device isolation pattern between the pair of gate electrodes and between the pair of the first active pattern and the second active pattern; and
a second protective insulation pattern on the device isolation pattern between the second active pattern and the third active pattern.
10. The semiconductor device of claim 9,
wherein the first protective insulation pattern has a first thickness, and wherein the second protective insulation pattern has a second thickness less than the first thickness.
11. The semiconductor device of claim 9, further comprising:
a contact etch stop pattern covering the pair of source/drain regions,
wherein the first protective insulation pattern is connected to a lower end of each of the pair of gate spacers so that a connected structure of the first protective insulation pattern and the pair of gate spacers is of U-shaped,
wherein the second protective insulation pattern is connected to the contact etch stop pattern, and
wherein the first protective insulation pattern and the second protective insulation pattern are in contact with the device isolation pattern.
12. The semiconductor device of claim 11,
wherein one of the pair of source/drain regions and the other of the pair of source/drain regions are in contact to each other so that an air gap has a top surface defined by facing sidewalls of the pair of source/drain regions, and
wherein the air gap has a bottom surface defined by the first protective insulation pattern.
13. The semiconductor device of claim 9,
wherein each of the pair of gate spacers comprises a first gate spacer and a second gate spacer that are sequentially disposed on a corresponding one of the facing sidewalls of the pair of gate electrodes,
wherein the first protective insulation pattern comprises a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern, and
wherein the first sub-protective insulation pattern includes the same material as a material of the first gate spacer, and the second sub-protective insulation pattern includes the same material as a material of the second gate spacer.
14. A semiconductor device, comprising:
a device isolation pattern disposed on a substrate, wherein the device isolation pattern includes a first part, a second part and a third part;
a first active pattern protruding from the device isolation pattern;
a second active pattern adjacent to the first active pattern in a first direction and protruding from the device isolation pattern;
a first gate structure disposed on the substrate;
a second gate structure adjacent to the first gate structure in a second direction crossing the first direction,
wherein each of the first active pattern and the second active pattern includes a first region and a second region,
wherein the first gate structure and the second gate structure run across the first region of each of the first active pattern and the second active pattern and the first part of the device isolation pattern, and
wherein the second region of each of the first active pattern and the second active pattern is adjacent to the first region of each of the first active pattern and the second active pattern; and
a U-shaped structure including a left gate spacer and a right gate spacer disposed on a sidewall of the first gate structure and a sidewall of the second gate structure, respectively,
wherein the U-shaped structure further includes a first protective insulation pattern being in contact with a second part of the device isolation pattern between the first active pattern and the second active pattern and between the first gate structure and the second gate structure.
15. The semiconductor device of claim 14, further comprising:
a third active pattern adjacent to the second active pattern in the first direction; and
a second protective insulation pattern being in contact with a third part of the device isolation pattern between the second active pattern and the third active pattern.
16. The semiconductor device of claim 15,
wherein the first active pattern and the second active pattern are spaced apart from each other at a first distance, and
wherein the second active pattern and the third active pattern are spaced apart from each other at a second distance different from the first distance.
17. The semiconductor device of claim 15,
wherein the first active pattern, the second active pattern and the third active pattern are of the same conductivity type.
18. The semiconductor device of claim 14, further comprising:
an air gap disposed within the U-shaped structure; and
a pair of source/drain regions, wherein one of the pair of source/drain regions is disposed on the second region of the first active pattern and the other of the pair of source/drain regions is disposed on the second region of the second active pattern.
19. The semiconductor device of claim 18,
wherein a sidewall of the first active pattern is in contact with a sidewall of the second active pattern to form a merged source/drain part of the first active pattern and the second active pattern, and
wherein the air gap is defined by the merged source/drain part of the first active pattern and the second active pattern, the left gate spacer, the right gate spacer and the first protective insulation pattern.
20. The semiconductor device of claim 14,
wherein each of the left gate spacer and the right gate spacer includes a first spacer and a second spacer,
wherein the first protective insulation pattern includes a first sub-protective insulation pattern and a second sub-protective insulation pattern that are sequentially stacked on the device isolation pattern,
wherein the first sub-protective insulation pattern is connected to a lower end of the first spacer of the left gate spacer and a lower end of the first spacer of the right gate spacer,
wherein the second sub-protective insulation pattern is separated from the second spacer of each of the left gate spacer and the right gate spacer.
US15/662,248 2016-12-22 2017-07-27 Semiconductor device Active US10026809B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160177019A KR102587891B1 (en) 2016-12-22 2016-12-22 Semiconductor device
KR10-2016-0177019 2016-12-22

Publications (2)

Publication Number Publication Date
US20180182845A1 true US20180182845A1 (en) 2018-06-28
US10026809B1 US10026809B1 (en) 2018-07-17

Family

ID=62630011

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/662,248 Active US10026809B1 (en) 2016-12-22 2017-07-27 Semiconductor device

Country Status (3)

Country Link
US (1) US10026809B1 (en)
KR (1) KR102587891B1 (en)
CN (1) CN108231765B (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190043761A1 (en) * 2017-08-03 2019-02-07 Samsung Electronics Co., Ltd. Semiconductor device
US10354876B1 (en) * 2018-05-24 2019-07-16 United Microelectronics Corp. Semiconductor device and method of forming the same
US20200035804A1 (en) * 2018-07-27 2020-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10651030B2 (en) * 2017-11-30 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10879128B2 (en) * 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
CN112186038A (en) * 2019-07-02 2021-01-05 三星电子株式会社 Semiconductor device and method of manufacturing the same
US20210167071A1 (en) * 2018-08-29 2021-06-03 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US20210320180A1 (en) * 2020-04-09 2021-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
US20220352210A1 (en) * 2021-05-03 2022-11-03 Globalfoundries U.S. Inc. Switches in bulk substrate
US20220359763A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with embedded epitaxial structure
US20230253479A1 (en) * 2020-06-30 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Air Spacer and Method of Forming Same
US11810957B2 (en) * 2020-11-16 2023-11-07 Samsung Electronics Co., Ltd. Semiconductor device
US11901455B2 (en) 2019-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a FinFET by implanting a dielectric with a dopant

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102618493B1 (en) * 2018-08-03 2023-12-27 삼성전자주식회사 Semiconductor devices
KR20200120816A (en) * 2019-04-12 2020-10-22 삼성전자주식회사 Semiconductor devices and methods of manufucturing the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101520380B1 (en) * 2008-12-09 2015-05-14 삼성전자주식회사 Semiconductor device with have buried gate electrode structure without bitline shoulder attack and method for manufacturing the same
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8921191B2 (en) 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
KR102017611B1 (en) * 2014-04-04 2019-09-04 삼성전자주식회사 Semiconductor device and method of manufacturing the same
KR102146469B1 (en) 2014-04-30 2020-08-21 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9337306B2 (en) 2014-06-30 2016-05-10 Globalfoundries Inc. Multi-phase source/drain/gate spacer-epi formation
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9324713B1 (en) 2015-03-16 2016-04-26 Globalfoundries Inc. Eliminating field oxide loss prior to FinFET source/drain epitaxial growth
KR102340329B1 (en) * 2015-03-25 2021-12-21 삼성전자주식회사 Semiconductor device

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11239120B2 (en) 2017-08-03 2022-02-01 Samsung Electronics Co., Ltd. Semiconductor device
US11776857B2 (en) 2017-08-03 2023-10-03 Samsung Electronics Co., Ltd. Semiconductor device
US20190043761A1 (en) * 2017-08-03 2019-02-07 Samsung Electronics Co., Ltd. Semiconductor device
US10580702B2 (en) * 2017-08-03 2020-03-03 Samsung Electronics Co., Ltd. Semiconductor device
US11721544B2 (en) 2017-11-30 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US11239072B2 (en) 2017-11-30 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10651030B2 (en) * 2017-11-30 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10354876B1 (en) * 2018-05-24 2019-07-16 United Microelectronics Corp. Semiconductor device and method of forming the same
US11929419B2 (en) 2018-07-27 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10700180B2 (en) * 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US20200035804A1 (en) * 2018-07-27 2020-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10872965B2 (en) 2018-07-27 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure
US20210167071A1 (en) * 2018-08-29 2021-06-03 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US11810860B2 (en) * 2018-08-29 2023-11-07 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with conductive structure and insulation layer of different width
US10879128B2 (en) * 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
CN112186038A (en) * 2019-07-02 2021-01-05 三星电子株式会社 Semiconductor device and method of manufacturing the same
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
US11901455B2 (en) 2019-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a FinFET by implanting a dielectric with a dopant
US20210320180A1 (en) * 2020-04-09 2021-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US11489053B2 (en) * 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11935932B2 (en) 2020-04-09 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230253479A1 (en) * 2020-06-30 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Air Spacer and Method of Forming Same
US11810957B2 (en) * 2020-11-16 2023-11-07 Samsung Electronics Co., Ltd. Semiconductor device
US11605649B2 (en) * 2021-05-03 2023-03-14 Globalfoundries U.S. Inc. Switches in bulk substrate
US20220352210A1 (en) * 2021-05-03 2022-11-03 Globalfoundries U.S. Inc. Switches in bulk substrate
US20220359763A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with embedded epitaxial structure

Also Published As

Publication number Publication date
CN108231765B (en) 2023-03-28
CN108231765A (en) 2018-06-29
KR102587891B1 (en) 2023-10-12
KR20180073789A (en) 2018-07-03
US10026809B1 (en) 2018-07-17

Similar Documents

Publication Publication Date Title
US10026809B1 (en) Semiconductor device
KR101986245B1 (en) Method of manufacturing a vertical type semiconductor device
US10636790B2 (en) Semiconductor devices and methods for manufacturing the same
CN107068671B (en) Semiconductor device and method for manufacturing the same
KR102318560B1 (en) Semiconductor device
KR102564325B1 (en) Semiconductor devices having channel regions
KR102523125B1 (en) Semiconductor device
KR102413371B1 (en) Semiconductor device
KR101368021B1 (en) Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US10879237B2 (en) Semiconductor device and method of manufacturing the same
KR20190140647A (en) Semiconductor device having gate isolation layer and method of manufacturing the same
KR102574320B1 (en) Semiconductor device including fin-FET
US11063060B2 (en) Methods of manufacturing a vertical memory device
US10593596B2 (en) Semiconductor device, method of fabricating the same, and patterning method
KR20130005434A (en) Non-volatile memory device
KR102500943B1 (en) Semiconductor device and method of fabricating thereof
US20210384309A1 (en) Method for forming memory device
US10811505B2 (en) Gate electrode having upper and lower capping patterns
US11004981B2 (en) Semiconductor device
TWI539557B (en) Self-aligned method of forming a semiconductor memory array of floating gate memory cells with single poly layer
US11239344B2 (en) Semiconductor devices and methods of manufacturing the same
US11201224B2 (en) Semiconductor device including gate structure and separation structure
US11342328B2 (en) Semiconductor device
KR20230043455A (en) Semiconductor devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEONG, GEUMJUNG;LEE, JEONGYUN;HONG, SEUNGSOO;AND OTHERS;SIGNING DATES FROM 20170510 TO 20170512;REEL/FRAME:043122/0494

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4