US20170323827A1 - Method for Forming Interconnect Structure - Google Patents

Method for Forming Interconnect Structure Download PDF

Info

Publication number
US20170323827A1
US20170323827A1 US15/657,828 US201715657828A US2017323827A1 US 20170323827 A1 US20170323827 A1 US 20170323827A1 US 201715657828 A US201715657828 A US 201715657828A US 2017323827 A1 US2017323827 A1 US 2017323827A1
Authority
US
United States
Prior art keywords
barrier layer
over
dielectric layer
metal line
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/657,828
Other versions
US10629481B2 (en
Inventor
Bor-Zen Tien
Jhu-Ming Song
Hsuan-Han Lin
Kuang-Hsin Chen
Mu-Yi Lin
Tzong-Sheng Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/657,828 priority Critical patent/US10629481B2/en
Publication of US20170323827A1 publication Critical patent/US20170323827A1/en
Priority to US16/831,313 priority patent/US11011419B2/en
Application granted granted Critical
Publication of US10629481B2 publication Critical patent/US10629481B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05559Shape in side view non conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Definitions

  • active devices such as transistors and the like are formed at the top surface of a substrate of the wafer-level chip scale package structure.
  • a variety of metallization layers comprising interconnect structures are formed over the substrate.
  • a metal pad is formed over the top metallization layer and electrically coupled to the interconnect structures.
  • a passivation layer and a first polymer layer may be formed over the metal pad. The metal pad is exposed through the openings in the passivation layer and the first polymer layer.
  • Interconnection structures of a semiconductor device may comprise a plurality of lateral interconnections such as metal lines and a plurality of vertical interconnections such as vias.
  • Various active circuits of the semiconductor may be coupled to external circuits through a variety of conductive channels formed by the vertical and lateral interconnections.
  • Interconnection structures of a semiconductor device can be fabricated using suitable semiconductor fabrication techniques such as etching, Damascene and the like.
  • Damascene processes can be divided into categories, namely single damascene processes and dual damascene processes.
  • single damascene technology a metal via and its adjacent metal line may have different process steps. As a result, each may require a chemical mechanical planarization process to clean the surface.
  • dual damascene technology a metal via and its adjacent metal line may be formed within a single damascene trench. As a result, one chemical mechanical planarization process is required in a dual damascene process to form the metal via and its adjacent metal line.
  • FIG. 1 illustrates a cross sectional view of a semiconductor device after various electrical circuits have been formed in the substrate in accordance with various embodiments of the present disclosure
  • FIG. 2 illustrates a cross sectional view of the semiconductor device shown in FIG. 1 after a plurality of metal lines are formed over the substrate in accordance with various embodiments of the present disclosure
  • FIG. 3 illustrates a cross sectional view of the semiconductor device shown in FIG. 2 after a passivation layer is formed on the top of the inter-metal dielectric layer in accordance with various embodiments of the present disclosure
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a patterning process is applied to the passivation layer in accordance with various embodiments of the present disclosure
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after a first barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a second barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure
  • FIG. 7 illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after a pad layer is formed on top of the second barrier layer in accordance with in accordance with various embodiments of the present disclosure
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7 after an etching process is applied to the pad layer in accordance with in accordance with various embodiments of the present disclosure.
  • FIG. 9 is a process flow of the fabrication steps shown in FIGS. 1-8 .
  • FIG. 1 illustrates a cross sectional view of a semiconductor device after various electrical circuits have been formed in the substrate in accordance with various embodiments of the present disclosure.
  • the semiconductor device 100 includes a transistor device 200 , which is formed in a substrate 102 . As shown in FIG. 1 , there may be two isolation regions 104 formed on opposite sides of the transistor device 200 .
  • the transistor device 200 includes a first drain/source region 106 and a second drain/source region 108 .
  • the first drain/source region 106 and the second drain/source region 108 are formed on opposite sides of a gate structure of the transistor device 200 .
  • the gate structure is formed in a dielectric layer 112 and over the substrate 102 .
  • the gate structure may comprise a gate dielectric layer 113 , a gate electrode 114 and spacers 116 .
  • the substrate 102 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof.
  • the substrate 102 may also be in the form of silicon-on-insulator (SOI).
  • SOI substrate may comprise a layer of a semiconductor material (e.g., silicon, germanium and/or the like) formed over an insulator layer (e.g., buried oxide or the like), which is formed in a silicon substrate.
  • insulator layer e.g., buried oxide or the like
  • other substrates that may be used include multi-layered substrates, gradient substrates, hybrid orientation substrates and/or the like.
  • the substrate 102 may further comprise a variety of electrical circuits (not shown).
  • the electrical circuits formed on the substrate 102 may be any type of circuitry suitable for a particular application.
  • the electrical circuits may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photo-diodes, fuses and/or the like.
  • the electrical circuits may be interconnected to perform one or more functions.
  • the functions may include memory structures, processing structures, sensors, amplifiers, power distribution, input/output circuitry and/or the like.
  • the substrate 102 may comprise a variety of electrical circuits such as metal oxide semiconductor (MOS) transistors (e.g., transistor device 200 ) and the associated contact plugs (e.g., contact plug 118 ).
  • MOS metal oxide semiconductor
  • the contact plugs e.g., contact plug 118 .
  • the isolation regions 104 may be shallow trench isolation (STI) regions.
  • the STI regions may be formed by etching the substrate 102 to form a trench and filling the trench with a dielectric material as is known in the art.
  • the isolation regions 104 may be filled with a dielectric material such as an oxide material, a high-density plasma (HDP) oxide and/or the like.
  • a planarization process such as a chemical mechanical planarization (CMP) process may be applied to the top surface so that the excess dielectric material may be removed as a result.
  • CMP chemical mechanical planarization
  • the gate dielectric layer 113 may be a dielectric material such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof and/or the like.
  • the gate dielectric layer 113 may have a relative permittivity value greater than about 4.
  • Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, any combinations thereof and/or the like.
  • the gate dielectric layer 113 may be formed by suitable deposition processes such as a plasma enhanced chemical vapor deposition (PECVD) process using tetraethoxysilane (TEOS) and oxygen as a precursor.
  • PECVD plasma enhanced chemical vapor deposition
  • TEOS tetraethoxysilane
  • the gate dielectric layer 113 may be of a thickness in a range from about 8 ⁇ to about 200 ⁇ .
  • the gate electrode 114 may comprise a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, combinations thereof and/or the like.
  • a metal e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium
  • a metal silicide e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide
  • a metal nitride e.g., titanium nitride, tantalum nitride
  • the gate electrode 114 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 ⁇ to about 2,400 ⁇ .
  • LPCVD low-pressure chemical vapor deposition
  • the spacers 116 may be formed by blanket depositing one or more spacer layers (not shown) over the gate electrode 114 and the substrate 102 .
  • the spacers 116 may comprise suitable dielectric materials such as SiN, oxynitride, SiC, SiON, oxide and/or the like.
  • the spacers 116 may be formed by commonly used techniques such as chemical vapor deposition (CVD), PECVD, sputter and/or the like.
  • the first and second drain/source regions 106 and 108 may be formed in the substrate 102 on opposing sides of the gate dielectric layer 113 .
  • the drain/source regions 106 and 108 may be formed by implanting appropriate p-type dopants such as boron, gallium, indium and/or the like.
  • the drain/source regions 106 and 108 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic and/or the like.
  • the dielectric layer 112 is formed over the substrate 102 .
  • the contact plug 118 is formed over the gate electrode 114 to provide an electrical connection between the transistor device 200 and the interconnect structure (not shown but illustrated in FIG. 2 ) formed over the dielectric layer 112 .
  • the contact plug 118 may be formed by using photolithography techniques to deposit and pattern a photoresist material (not shown) on the dielectric layer 112 . A portion of the photoresist is exposed according to the location and shape of the contact plug 118 .
  • An etching process such as an anisotropic dry etch process, may be used to create an opening in the dielectric layer 112 .
  • a conductive material is then filled in the opening.
  • the conductive material may be deposited by using CVD, plasma vapor deposition (PVD), atomic layer deposition (ALD) and/or the like.
  • the conductive material is deposited in the contact plug opening. Excess portions of the conductive material are removed from the top surface of the dielectric layer 112 by using a planarization process such as CMP.
  • the conductive material may be copper, tungsten, aluminum, silver, titanium, titanium nitride, tantalum and any combinations thereof and/or the like.
  • the dielectric layer 112 is formed on top of the substrate 102 .
  • the dielectric layer 112 may be formed, for example, of a low-K dielectric material, such as silicon oxide.
  • the dielectric layer 112 may be formed by any suitable method known in the art, such as spinning, CVD and PECVD. It should also be noted that one skilled in the art will recognize while FIG. 1 illustrates a single dielectric layer, the dielectric layer 112 may comprise a plurality of dielectric layers.
  • FIG. 2 illustrates a cross sectional view of the semiconductor device shown in FIG. 1 after a plurality of metal lines are formed over the substrate in accordance with various embodiments of the present disclosure.
  • a first inter-metal dielectric layer 201 is formed over the dielectric layer 112 .
  • two additional metallization layers are formed over the first metallization layer. While FIG.
  • FIG. 2 shows two metallization layers formed over the first metallization layer
  • more inter-metal dielectric layers (not shown) and the associated metal lines and plugs (not shown) may be formed between the metallization layers (e.g., layers 206 and 216 ) shown in FIG. 2
  • the layers between the metallization layers shown in FIG. 2 may be formed by alternating layers of dielectric (e.g., extremely low-k dielectric material) and conductive materials (e.g., copper).
  • the metallization layers shown in FIG. 2 may be formed by a dual damascene process, although other suitable techniques such as deposition, single damascene may alternatively be used.
  • the dual damascene process is well known in the art, and hence is not discussed herein.
  • the second metal line 202 and the plug 204 are formed by a dual damascene process.
  • the second metal line 202 is embedded in a second inter-metal dielectric layer 206 , which is similar to the first inter-metal dielectric layer 201 .
  • the plug 204 is formed in the first inter-metal dielectric layer 201 . More particularly, the second metal line 202 and the metal line 203 are coupled to each other through the plug 204 .
  • the second metal line 202 and the plug 204 may be formed of metal materials such as copper, copper alloys, aluminum, silver, gold, any combinations thereof and/or the like.
  • the third metal line 212 and the plug 214 are similar to the second metal line 202 and the plug 204 , and hence are not discussed to avoid repetition.
  • FIG. 3 illustrates a cross sectional view of the semiconductor device shown in FIG. 2 after a passivation layer is formed on the top of the inter-metal dielectric layer in accordance with various embodiments of the present disclosure.
  • the passivation layer 302 is formed of non-organic materials such as un-doped silicate glass, silicon nitride, silicon oxide, silicon oxynitride, boron-doped silicon oxide, phosphorus-doped silicon oxide and/or the like.
  • the passivation layer 302 may be formed of low-k dielectric such as carbon doped oxide and/or the like.
  • extremely low-k (ELK) dielectrics such as porous carbon doped silicon dioxide can be employed to form the passivation layer 154 .
  • the passivation layer 302 may be formed through any suitable techniques such as CVD.
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a patterning process is applied to the passivation layer in accordance with various embodiments of the present disclosure.
  • the patterning process may be implemented by using suitable patterning techniques such as an etching process, a laser ablation process and/or the like.
  • an etching process such as an anisotropic dry etch process or a laser beam (not shown) may be applied to the top surface of the passivation layer 302 .
  • a portion of the passivation layer 302 is removed to form an opening 402 as shown in FIG. 4 .
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after a first barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure.
  • the first barrier layer 502 may be formed of suitable materials such as tantalum nitride (TaN) and the like.
  • the first barrier layer 502 is deposited on the bottom, sidewalls of the opening 402 as well as the top surface of the passivation layer 302 through an ALD process.
  • the first barrier layer 502 may be of a thickness of about 10 angstroms in accordance with some embodiments.
  • the first barrier layer 502 may be coupled to the ground plane of the semiconductor device 100 .
  • the ground-connected barrier layer such as the first barrier layer 502 helps to release the charge in the subsequent PVD process.
  • the PVD process will be described below with respect to FIG. 6 .
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a second barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure.
  • the second barrier layer 602 is formed over the first barrier layer 502 .
  • the second barrier layer 602 may be of the same material as the first barrier layer 502 .
  • the second barrier layer 602 may be formed of other suitable materials such as titanium, tantalum and combinations thereof and/or the like.
  • the second barrier layer 602 may be of a thickness of about 600 angstroms in accordance with some embodiments.
  • Both the first barrier layer 502 and the second barrier layer 602 may function as a barrier to prevent copper (e.g., metal line 212 ) from diffusing into the surrounding areas.
  • the second barrier layer 602 may be deposited on the first barrier layer 502 using a plasma based deposition process such as PVD.
  • the first barrier layer 502 is deposited over the semiconductor device through a non plasma based deposition process such as ALD.
  • ALD plasma-induced damage
  • the ground-connected barrier layer 502 helps to release the charge of the PVD process so as to avoid the PID to the gate dielectric layer 113 .
  • FIG. 7 illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after a pad layer is formed on top of the second barrier layer in accordance with in accordance with various embodiments of the present disclosure.
  • a conductive material may be filled in the opening (e.g., opening 402 shown in FIG. 6 ) to form the pad layer 702 .
  • the conductive material may be aluminum copper, but can be any suitable conductive materials, such as copper alloys, aluminum, tungsten, silver, any combinations thereof and/or the like.
  • the pad layer 702 may be formed by suitable techniques such as CVD, PVD, an electro-less plating process, electroplating and/or the like.
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7 after an etching process is applied to the pad layer in accordance with in accordance with various embodiments of the present disclosure.
  • the pad layer 702 may be patterned and portions of the barrier layers and the pad layer 72 may be removed to form the pad 802 .
  • the removal process may be a suitable etching process such as wet-etching, dry-etching and/or the like.
  • the detailed operations of either the dry etching process or the wet etching process are well known in the art, and hence are not discussed herein to avoid repetition.
  • FIG. 9 is a process flow of the fabrication steps shown in FIGS. 1-8 .
  • a transistor device is formed in a substrate and a gate structure is formed over the substrate in a dielectric layer. The formation of the gate structure as well as the other parts of the transistor is discussed in detail with respect to FIG. 1 .
  • a plurality of interconnect structures such as metal lines are formed over the substrate as shown in FIG. 2 .
  • a dielectric layer is formed over a top metal line of the interconnect structure.
  • an opening is formed in the dielectric layer as shown in FIG. 4 .
  • a first barrier layer is deposited on the bottom as well as the sidewalls of the opening through an ALD process.
  • a second barrier layer is formed over the first barrier layer through a PVD process. During the PVD process, the first barrier layer is coupled to ground.
  • a PAD layer is formed through suitable deposition techniques.
  • the pad layer is patterned to form a pad as shown in FIG. 8 .
  • an apparatus comprises a plurality of interconnect structures over a substrate, a dielectric layer formed over a top metal line of the plurality of interconnect structures, a first barrier layer on a bottom and sidewalls of an opening in the dielectric layer, wherein the first barrier layer is formed of a first material and has a first thickness, a second barrier layer over the first barrier layer, wherein the second barrier layer is formed of a second material different from the first material and has a second thickness and a pad over the second barrier layer, wherein the pad is formed of a third material.
  • a device comprises a first drain/source region and a second drain/source region in a substrate and between a first isolation region and a second isolation region, a gate structure in a first dielectric layer and over the substrate, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure, a contact plug over the gate structure and in the first dielectric layer, a first metal line in contact with the contact plug and in a first inter-metal dielectric layer, a second metal line over the first metal line and in a second inter-metal dielectric layer, wherein the second metal line is electrically coupled to the first metal line through a plurality of vias and metal lines, a second dielectric layer over the second inter-metal dielectric layer, a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer, a second barrier layer over the first barrier layer, wherein a thickness of the second barrier layer is greater than a thickness of the first barrier layer, and the first barrier layer and the second barrier layer and the second barrier
  • a device comprises a first drain/source region and a second drain/source region in a substrate and between a first isolation region and a second isolation region, a gate structure in a first dielectric layer and over the substrate, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure, a contact plug over the gate structure and in the first dielectric layer, a first metal line in contact with the contact plug and in a first inter-metal dielectric layer, a second metal line over the first metal line and in a second inter-metal dielectric layer, wherein the second metal line is electrically coupled to the first metal line through a plurality of vias and metal lines, a second dielectric layer over the second inter-metal dielectric layer, a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer, a second barrier layer over the first barrier layer, wherein a thickness of the second barrier layer is greater than a thickness of the first barrier layer, and the first barrier layer and the second barrier layer and the second barrier

Abstract

An apparatus includes a plurality of interconnect structures over a substrate, a dielectric layer formed over a top metal line of the plurality of interconnect structures, a first barrier layer on a bottom and sidewalls of an opening in the dielectric layer, wherein the first barrier layer is formed of a first material and has a first thickness, a second barrier layer over the first barrier layer, wherein the second barrier layer is formed of a second material different from the first material and has a second thickness and a pad over the second barrier layer, wherein the pad is formed of a third material.

Description

  • This application is a Continuation of U.S. patent application Ser. No. 14/918,316, entitled “Method for Forming Interconnect Structure,” filed on Oct. 20, 2015, which application is a Continuation of U.S. patent application Ser. No. 13/791,076, entitled “Method for Forming Interconnect Structure,” filed on Mar. 8, 2013, now U.S. Pat. No. 9,190,319 issued Nov. 17, 2015, which application is incorporated herein by reference.
  • BACKGROUND
  • The semiconductor industry has experienced rapid growth due to continuous improvements in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density has come from repeated reductions in minimum feature size, which allows more components to be integrated into a given area. As semiconductor technologies evolve, wafer-level chip scale package structures have emerged as an effective alternative to further reduce the physical size of semiconductor devices.
  • In a wafer-level chip scale package structure, active devices such as transistors and the like are formed at the top surface of a substrate of the wafer-level chip scale package structure. A variety of metallization layers comprising interconnect structures are formed over the substrate. A metal pad is formed over the top metallization layer and electrically coupled to the interconnect structures. A passivation layer and a first polymer layer may be formed over the metal pad. The metal pad is exposed through the openings in the passivation layer and the first polymer layer.
  • Interconnection structures of a semiconductor device may comprise a plurality of lateral interconnections such as metal lines and a plurality of vertical interconnections such as vias. Various active circuits of the semiconductor may be coupled to external circuits through a variety of conductive channels formed by the vertical and lateral interconnections.
  • Interconnection structures of a semiconductor device can be fabricated using suitable semiconductor fabrication techniques such as etching, Damascene and the like. Damascene processes can be divided into categories, namely single damascene processes and dual damascene processes. In single damascene technology, a metal via and its adjacent metal line may have different process steps. As a result, each may require a chemical mechanical planarization process to clean the surface. In contrast, in dual damascene technology, a metal via and its adjacent metal line may be formed within a single damascene trench. As a result, one chemical mechanical planarization process is required in a dual damascene process to form the metal via and its adjacent metal line.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross sectional view of a semiconductor device after various electrical circuits have been formed in the substrate in accordance with various embodiments of the present disclosure;
  • FIG. 2 illustrates a cross sectional view of the semiconductor device shown in FIG. 1 after a plurality of metal lines are formed over the substrate in accordance with various embodiments of the present disclosure;
  • FIG. 3 illustrates a cross sectional view of the semiconductor device shown in FIG. 2 after a passivation layer is formed on the top of the inter-metal dielectric layer in accordance with various embodiments of the present disclosure;
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a patterning process is applied to the passivation layer in accordance with various embodiments of the present disclosure;
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after a first barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a second barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure;
  • FIG. 7 illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after a pad layer is formed on top of the second barrier layer in accordance with in accordance with various embodiments of the present disclosure;
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7 after an etching process is applied to the pad layer in accordance with in accordance with various embodiments of the present disclosure; and
  • FIG. 9 is a process flow of the fabrication steps shown in FIGS. 1-8.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the various embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The present invention will be described with respect to preferred embodiments in a specific context, a method for forming interconnect structures for a semiconductor device including a transistor. The invention may also be applied, however, to a variety of semiconductor devices. Hereinafter, various embodiments will be explained in detail with reference to the accompanying drawings.
  • FIG. 1 illustrates a cross sectional view of a semiconductor device after various electrical circuits have been formed in the substrate in accordance with various embodiments of the present disclosure. The semiconductor device 100 includes a transistor device 200, which is formed in a substrate 102. As shown in FIG. 1, there may be two isolation regions 104 formed on opposite sides of the transistor device 200.
  • The transistor device 200 includes a first drain/source region 106 and a second drain/source region 108. The first drain/source region 106 and the second drain/source region 108 are formed on opposite sides of a gate structure of the transistor device 200. The gate structure is formed in a dielectric layer 112 and over the substrate 102. The gate structure may comprise a gate dielectric layer 113, a gate electrode 114 and spacers 116.
  • The substrate 102 may be formed of silicon, although it may also be formed of other group III, group IV, and/or group V elements, such as silicon, germanium, gallium, arsenic, and combinations thereof. The substrate 102 may also be in the form of silicon-on-insulator (SOI). The SOI substrate may comprise a layer of a semiconductor material (e.g., silicon, germanium and/or the like) formed over an insulator layer (e.g., buried oxide or the like), which is formed in a silicon substrate. In addition, other substrates that may be used include multi-layered substrates, gradient substrates, hybrid orientation substrates and/or the like.
  • The substrate 102 may further comprise a variety of electrical circuits (not shown). The electrical circuits formed on the substrate 102 may be any type of circuitry suitable for a particular application. In accordance with an embodiment, the electrical circuits may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photo-diodes, fuses and/or the like. The electrical circuits may be interconnected to perform one or more functions. The functions may include memory structures, processing structures, sensors, amplifiers, power distribution, input/output circuitry and/or the like. One of ordinary skill in the art will appreciate that the above examples are provided for illustrative purposes only and are not intended to limit the various embodiments to any particular applications.
  • The substrate 102 may comprise a variety of electrical circuits such as metal oxide semiconductor (MOS) transistors (e.g., transistor device 200) and the associated contact plugs (e.g., contact plug 118). For simplicity, only a single MOS transistor and a single contact plug are presented to illustrate the innovative aspects of various embodiments.
  • The isolation regions 104 may be shallow trench isolation (STI) regions. The STI regions may be formed by etching the substrate 102 to form a trench and filling the trench with a dielectric material as is known in the art. For example, the isolation regions 104 may be filled with a dielectric material such as an oxide material, a high-density plasma (HDP) oxide and/or the like. A planarization process such as a chemical mechanical planarization (CMP) process may be applied to the top surface so that the excess dielectric material may be removed as a result.
  • The gate dielectric layer 113 may be a dielectric material such as silicon oxide, silicon oxynitride, silicon nitride, an oxide, a nitrogen-containing oxide, a combination thereof and/or the like. The gate dielectric layer 113 may have a relative permittivity value greater than about 4. Other examples of such materials include aluminum oxide, lanthanum oxide, hafnium oxide, zirconium oxide, hafnium oxynitride, any combinations thereof and/or the like. In an embodiment in which the gate dielectric layer 113 comprises an oxide layer, the gate dielectric layer 113 may be formed by suitable deposition processes such as a plasma enhanced chemical vapor deposition (PECVD) process using tetraethoxysilane (TEOS) and oxygen as a precursor.
  • In accordance with an embodiment, the gate dielectric layer 113 may be of a thickness in a range from about 8 Å to about 200 Å.
  • The gate electrode 114 may comprise a conductive material, such as a metal (e.g., tantalum, titanium, molybdenum, tungsten, platinum, aluminum, hafnium, ruthenium), a metal silicide (e.g., titanium silicide, cobalt silicide, nickel silicide, tantalum silicide), a metal nitride (e.g., titanium nitride, tantalum nitride), doped poly-crystalline silicon, other conductive materials, combinations thereof and/or the like. In an embodiment in which the gate electrode 114 is formed of poly-silicon, the gate electrode 114 may be formed by depositing doped or undoped poly-silicon by low-pressure chemical vapor deposition (LPCVD) to a thickness in the range of about 400 Å to about 2,400 Å.
  • The spacers 116 may be formed by blanket depositing one or more spacer layers (not shown) over the gate electrode 114 and the substrate 102. The spacers 116 may comprise suitable dielectric materials such as SiN, oxynitride, SiC, SiON, oxide and/or the like. The spacers 116 may be formed by commonly used techniques such as chemical vapor deposition (CVD), PECVD, sputter and/or the like.
  • The first and second drain/ source regions 106 and 108 may be formed in the substrate 102 on opposing sides of the gate dielectric layer 113. In an embodiment in which the substrate 102 is an n-type substrate, the drain/ source regions 106 and 108 may be formed by implanting appropriate p-type dopants such as boron, gallium, indium and/or the like. Alternatively, in an embodiment in which the substrate 102 is a p-type substrate, the drain/ source regions 106 and 108 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic and/or the like.
  • As shown in FIG. 1, the dielectric layer 112 is formed over the substrate 102. There may be a contact plug 118 formed in the dielectric layer 112. The contact plug 118 is formed over the gate electrode 114 to provide an electrical connection between the transistor device 200 and the interconnect structure (not shown but illustrated in FIG. 2) formed over the dielectric layer 112.
  • The contact plug 118 may be formed by using photolithography techniques to deposit and pattern a photoresist material (not shown) on the dielectric layer 112. A portion of the photoresist is exposed according to the location and shape of the contact plug 118. An etching process, such as an anisotropic dry etch process, may be used to create an opening in the dielectric layer 112.
  • A conductive material is then filled in the opening. The conductive material may be deposited by using CVD, plasma vapor deposition (PVD), atomic layer deposition (ALD) and/or the like. The conductive material is deposited in the contact plug opening. Excess portions of the conductive material are removed from the top surface of the dielectric layer 112 by using a planarization process such as CMP. The conductive material may be copper, tungsten, aluminum, silver, titanium, titanium nitride, tantalum and any combinations thereof and/or the like.
  • The dielectric layer 112 is formed on top of the substrate 102. The dielectric layer 112 may be formed, for example, of a low-K dielectric material, such as silicon oxide. The dielectric layer 112 may be formed by any suitable method known in the art, such as spinning, CVD and PECVD. It should also be noted that one skilled in the art will recognize while FIG. 1 illustrates a single dielectric layer, the dielectric layer 112 may comprise a plurality of dielectric layers.
  • FIG. 2 illustrates a cross sectional view of the semiconductor device shown in FIG. 1 after a plurality of metal lines are formed over the substrate in accordance with various embodiments of the present disclosure. A first inter-metal dielectric layer 201 is formed over the dielectric layer 112. As shown in FIG. 2, there may be one metal line 203 formed in the first inter-metal dielectric layer 201. As shown in FIG. 2, two additional metallization layers are formed over the first metallization layer. While FIG. 2 shows two metallization layers formed over the first metallization layer, one skilled in the art will recognize that more inter-metal dielectric layers (not shown) and the associated metal lines and plugs (not shown) may be formed between the metallization layers (e.g., layers 206 and 216) shown in FIG. 2. In particular, the layers between the metallization layers shown in FIG. 2 may be formed by alternating layers of dielectric (e.g., extremely low-k dielectric material) and conductive materials (e.g., copper).
  • It should further be noted that the metallization layers shown in FIG. 2 may be formed by a dual damascene process, although other suitable techniques such as deposition, single damascene may alternatively be used. The dual damascene process is well known in the art, and hence is not discussed herein.
  • The second metal line 202 and the plug 204 are formed by a dual damascene process. The second metal line 202 is embedded in a second inter-metal dielectric layer 206, which is similar to the first inter-metal dielectric layer 201. The plug 204 is formed in the first inter-metal dielectric layer 201. More particularly, the second metal line 202 and the metal line 203 are coupled to each other through the plug 204.
  • The second metal line 202 and the plug 204 may be formed of metal materials such as copper, copper alloys, aluminum, silver, gold, any combinations thereof and/or the like. The third metal line 212 and the plug 214 are similar to the second metal line 202 and the plug 204, and hence are not discussed to avoid repetition.
  • FIG. 3 illustrates a cross sectional view of the semiconductor device shown in FIG. 2 after a passivation layer is formed on the top of the inter-metal dielectric layer in accordance with various embodiments of the present disclosure. The passivation layer 302 is formed of non-organic materials such as un-doped silicate glass, silicon nitride, silicon oxide, silicon oxynitride, boron-doped silicon oxide, phosphorus-doped silicon oxide and/or the like. Alternatively, the passivation layer 302 may be formed of low-k dielectric such as carbon doped oxide and/or the like. In addition, extremely low-k (ELK) dielectrics such as porous carbon doped silicon dioxide can be employed to form the passivation layer 154. The passivation layer 302 may be formed through any suitable techniques such as CVD.
  • FIG. 4 illustrates a cross sectional view of the semiconductor device shown in FIG. 3 after a patterning process is applied to the passivation layer in accordance with various embodiments of the present disclosure. The patterning process may be implemented by using suitable patterning techniques such as an etching process, a laser ablation process and/or the like. In accordance with the shape and location of the pad (not shown but illustrated in FIG. 8) of the semiconductor device 100, an etching process such as an anisotropic dry etch process or a laser beam (not shown) may be applied to the top surface of the passivation layer 302. As a result, a portion of the passivation layer 302 is removed to form an opening 402 as shown in FIG. 4.
  • FIG. 5 illustrates a cross sectional view of the semiconductor device shown in FIG. 4 after a first barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure. The first barrier layer 502 may be formed of suitable materials such as tantalum nitride (TaN) and the like. The first barrier layer 502 is deposited on the bottom, sidewalls of the opening 402 as well as the top surface of the passivation layer 302 through an ALD process.
  • The first barrier layer 502 may be of a thickness of about 10 angstroms in accordance with some embodiments. In addition, the first barrier layer 502 may be coupled to the ground plane of the semiconductor device 100. The ground-connected barrier layer such as the first barrier layer 502 helps to release the charge in the subsequent PVD process. The PVD process will be described below with respect to FIG. 6.
  • FIG. 6 illustrates a cross sectional view of the semiconductor device shown in FIG. 5 after a second barrier layer is formed over the top surface of the semiconductor device in accordance with various embodiments of the present disclosure. The second barrier layer 602 is formed over the first barrier layer 502. The second barrier layer 602 may be of the same material as the first barrier layer 502. Alternatively, the second barrier layer 602 may be formed of other suitable materials such as titanium, tantalum and combinations thereof and/or the like. The second barrier layer 602 may be of a thickness of about 600 angstroms in accordance with some embodiments. Both the first barrier layer 502 and the second barrier layer 602 may function as a barrier to prevent copper (e.g., metal line 212) from diffusing into the surrounding areas. The second barrier layer 602 may be deposited on the first barrier layer 502 using a plasma based deposition process such as PVD.
  • One advantageous feature of having the first barrier layer 502 is that the first barrier layer 502 is deposited over the semiconductor device through a non plasma based deposition process such as ALD. The ALD process does not cause a plasma-induced damage (PID) to the gate dielectric layer 113, which is electrically coupled to the metal line 212. Furthermore, during the PVD process for forming the second barrier layer 602, the ground-connected barrier layer 502 helps to release the charge of the PVD process so as to avoid the PID to the gate dielectric layer 113.
  • FIG. 7 illustrates a cross sectional view of the semiconductor device shown in FIG. 6 after a pad layer is formed on top of the second barrier layer in accordance with in accordance with various embodiments of the present disclosure. As shown in FIG. 7, a conductive material may be filled in the opening (e.g., opening 402 shown in FIG. 6) to form the pad layer 702. The conductive material may be aluminum copper, but can be any suitable conductive materials, such as copper alloys, aluminum, tungsten, silver, any combinations thereof and/or the like. The pad layer 702 may be formed by suitable techniques such as CVD, PVD, an electro-less plating process, electroplating and/or the like.
  • FIG. 8 illustrates a cross sectional view of the semiconductor device shown in FIG. 7 after an etching process is applied to the pad layer in accordance with in accordance with various embodiments of the present disclosure. In consideration with the shape and location of the pad of the semiconductor device 100, the pad layer 702 may be patterned and portions of the barrier layers and the pad layer 72 may be removed to form the pad 802. The removal process may be a suitable etching process such as wet-etching, dry-etching and/or the like. The detailed operations of either the dry etching process or the wet etching process are well known in the art, and hence are not discussed herein to avoid repetition.
  • FIG. 9 is a process flow of the fabrication steps shown in FIGS. 1-8. At step 902, a transistor device is formed in a substrate and a gate structure is formed over the substrate in a dielectric layer. The formation of the gate structure as well as the other parts of the transistor is discussed in detail with respect to FIG. 1. At step 904, a plurality of interconnect structures such as metal lines are formed over the substrate as shown in FIG. 2. At step 906, a dielectric layer is formed over a top metal line of the interconnect structure.
  • At step 908, an opening is formed in the dielectric layer as shown in FIG. 4. At step 910, as shown in FIG. 5, a first barrier layer is deposited on the bottom as well as the sidewalls of the opening through an ALD process. At step 912, as shown in FIG. 6, a second barrier layer is formed over the first barrier layer through a PVD process. During the PVD process, the first barrier layer is coupled to ground. At step 914, as shown in FIG. 7, a PAD layer is formed through suitable deposition techniques. At step 916, the pad layer is patterned to form a pad as shown in FIG. 8.
  • In accordance with an embodiment, an apparatus comprises a plurality of interconnect structures over a substrate, a dielectric layer formed over a top metal line of the plurality of interconnect structures, a first barrier layer on a bottom and sidewalls of an opening in the dielectric layer, wherein the first barrier layer is formed of a first material and has a first thickness, a second barrier layer over the first barrier layer, wherein the second barrier layer is formed of a second material different from the first material and has a second thickness and a pad over the second barrier layer, wherein the pad is formed of a third material.
  • In accordance with an embodiment, a device comprises a first drain/source region and a second drain/source region in a substrate and between a first isolation region and a second isolation region, a gate structure in a first dielectric layer and over the substrate, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure, a contact plug over the gate structure and in the first dielectric layer, a first metal line in contact with the contact plug and in a first inter-metal dielectric layer, a second metal line over the first metal line and in a second inter-metal dielectric layer, wherein the second metal line is electrically coupled to the first metal line through a plurality of vias and metal lines, a second dielectric layer over the second inter-metal dielectric layer, a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer, a second barrier layer over the first barrier layer, wherein a thickness of the second barrier layer is greater than a thickness of the first barrier layer, and the first barrier layer and the second barrier layer are formed of two different materials and a pad over the second barrier layer.
  • In accordance with an embodiment, a device comprises a first drain/source region and a second drain/source region in a substrate and between a first isolation region and a second isolation region, a gate structure in a first dielectric layer and over the substrate, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure, a contact plug over the gate structure and in the first dielectric layer, a first metal line in contact with the contact plug and in a first inter-metal dielectric layer, a second metal line over the first metal line and in a second inter-metal dielectric layer, wherein the second metal line is electrically coupled to the first metal line through a plurality of vias and metal lines, a second dielectric layer over the second inter-metal dielectric layer, a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer, a second barrier layer over the first barrier layer, wherein a thickness of the second barrier layer is greater than a thickness of the first barrier layer, and the first barrier layer and the second barrier layer are formed of two different materials and a pad over the second barrier layer.
  • Although embodiments of the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. An apparatus comprising:
a plurality of interconnect structures over a substrate;
a dielectric layer formed over a top metal line of the plurality of interconnect structures;
a first barrier layer on a bottom and sidewalls of an opening in the dielectric layer, wherein the first barrier layer is formed of a first material and has a first thickness;
a second barrier layer over the first barrier layer, wherein the second barrier layer is formed of a second material different from the first material and has a second thickness; and
a pad over the second barrier layer, wherein the pad is formed of a third material.
2. The apparatus of claim 1, wherein:
the first material is tantalum nitride;
the second material is titanium; and
the third material is aluminum copper.
3. The apparatus of claim 1, wherein:
the second thickness is about sixty times greater than the first thickness.
4. The apparatus of claim 3, wherein:
the first thickness is about 10 angstroms; and
the second thickness is about 600 angstroms.
5. The apparatus of claim 1, wherein:
a width of the top metal line is greater than a width of the pad.
6. The apparatus of claim 1, wherein:
the dielectric layer is formed of oxide.
7. A device comprising:
a gate structure over a substrate, wherein the gate structure comprises:
a gate dielectric layer over the substrate; and
a gate electrode over the gate dielectric layer;
a contact plug over the gate electrode and in a first dielectric layer;
a bottom metal line in contact with the contact plug and in a first inter-metal dielectric layer;
a top metal line over the bottom metal line and in a second inter-metal dielectric layer;
a second dielectric layer over the second inter-metal dielectric layer;
a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer, wherein the first barrier layer is of a first thickness;
a second barrier layer over the first barrier layer, wherein the second barrier layer is of a second thickness greater than the first thickness; and
a pad over the second barrier layer.
8. The device of claim 7, wherein:
the first thickness is about 10 angstroms; and
the second thickness is about 600 angstroms.
9. The device of claim 7, wherein:
a width of the bottom metal line is substantially equal to a width of the top metal line.
10. The device of claim 9, wherein:
edges of the bottom metal line are substantially aligned with edges of the top metal line.
11. The device of claim 7, further comprising:
a first drain/source region in the substrate and between a first isolation region and the gate structure; and
a second drain/source region in the substrate and between a second isolation region and the gate structure, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure.
12. The device of claim 11, wherein:
a distance between the first isolation region and the second isolation region is greater than a width of the bottom metal line.
13. The device of claim 7, wherein:
the first barrier layer comprises a first top portion over the second dielectric layer, a first sidewall portion and a second sidewall portion on sidewalls of the opening, a bottom portion between the first sidewall portion and the second sidewall portion, and a second top portion over the second dielectric layer.
14. The device of claim 13, wherein:
a lower portion of the pad is surrounded by the first sidewall portion and the second sidewall portion of the first barrier layer;
a first outer edge of an upper portion of the pad is vertically aligned with an edge of the first top portion of the first barrier layer; and
a second outer edge of an upper portion of the pad is vertically aligned with an edge of the second top portion of the first barrier layer.
15. A device comprising:
a first drain/source region and a second drain/source region in a substrate and between a first isolation region and a second isolation region;
a gate structure in a first dielectric layer and over the substrate, wherein the first drain/source region and the second drain/source region are on opposite sides of the gate structure;
a contact plug over the gate structure and in the first dielectric layer;
a first metal line in contact with the contact plug and in a first inter-metal dielectric layer;
a second metal line over the first metal line and in a second inter-metal dielectric layer, wherein the second metal line is electrically coupled to the first metal line through a plurality of vias and metal lines;
a second dielectric layer over the second inter-metal dielectric layer;
a first barrier layer on a bottom and sidewalls of an opening in the second dielectric layer;
a second barrier layer over the first barrier layer, wherein:
a thickness of the second barrier layer is greater than a thickness of the first barrier layer; and
the first barrier layer and the second barrier layer are formed of two different materials; and
a pad over the second barrier layer.
16. The device of claim 15, wherein the gate structure comprises:
a gate dielectric layer over the substrate; and
a gate electrode over the gate dielectric layer and the gate structure.
17. The device of claim 15, wherein:
the first barrier layer is formed of tantalum nitride; and
the second barrier layer is formed of titanium.
18. The device of claim 15, wherein:
the pad is formed of aluminum copper.
19. The device of claim 15, wherein:
the thickness of the first barrier layer is about 10 angstroms; and
the thickness of the second barrier layer is about 600 angstroms.
20. The device of claim 15, wherein:
the first metal line and the second metal line are formed of copper.
US15/657,828 2013-03-08 2017-07-24 Method for forming interconnect structure Active 2033-07-21 US10629481B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/657,828 US10629481B2 (en) 2013-03-08 2017-07-24 Method for forming interconnect structure
US16/831,313 US11011419B2 (en) 2013-03-08 2020-03-26 Method for forming interconnect structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/791,076 US9190319B2 (en) 2013-03-08 2013-03-08 Method for forming interconnect structure
US14/918,316 US9716034B2 (en) 2013-03-08 2015-10-20 Method for forming interconnect structure
US15/657,828 US10629481B2 (en) 2013-03-08 2017-07-24 Method for forming interconnect structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/918,316 Continuation US9716034B2 (en) 2013-03-08 2015-10-20 Method for forming interconnect structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/831,313 Continuation US11011419B2 (en) 2013-03-08 2020-03-26 Method for forming interconnect structure

Publications (2)

Publication Number Publication Date
US20170323827A1 true US20170323827A1 (en) 2017-11-09
US10629481B2 US10629481B2 (en) 2020-04-21

Family

ID=51385438

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/791,076 Expired - Fee Related US9190319B2 (en) 2013-03-08 2013-03-08 Method for forming interconnect structure
US14/918,316 Active 2033-03-17 US9716034B2 (en) 2013-03-08 2015-10-20 Method for forming interconnect structure
US15/657,828 Active 2033-07-21 US10629481B2 (en) 2013-03-08 2017-07-24 Method for forming interconnect structure
US16/831,313 Active US11011419B2 (en) 2013-03-08 2020-03-26 Method for forming interconnect structure

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/791,076 Expired - Fee Related US9190319B2 (en) 2013-03-08 2013-03-08 Method for forming interconnect structure
US14/918,316 Active 2033-03-17 US9716034B2 (en) 2013-03-08 2015-10-20 Method for forming interconnect structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/831,313 Active US11011419B2 (en) 2013-03-08 2020-03-26 Method for forming interconnect structure

Country Status (3)

Country Link
US (4) US9190319B2 (en)
KR (1) KR101496550B1 (en)
DE (1) DE102013104368B4 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11145564B2 (en) * 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11450563B2 (en) * 2020-04-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method
US20230343642A1 (en) * 2022-04-20 2023-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Film scheme to reduce plasma-induced damage

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US20040212021A1 (en) * 2003-04-24 2004-10-28 Mitsubishi Denki Kabushiki Kaisha High voltage integrated circuit
US20050250320A1 (en) * 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100304717B1 (en) 1998-08-18 2001-11-15 김덕중 Semiconductor device having a trench type gate and method for fabricating therefor
JP2003133423A (en) * 2001-10-30 2003-05-09 Mitsubishi Electric Corp Semiconductor device having element for inspection and inspection method using it
DE10302644B3 (en) * 2003-01-23 2004-11-25 Advanced Micro Devices, Inc., Sunnyvale Process for producing a metal layer over a structured dielectric by means of electroless deposition using a catalyst
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7741714B2 (en) 2004-11-02 2010-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure with stress-buffering layer capping interconnection metal layer
KR100701426B1 (en) 2005-06-30 2007-03-30 주식회사 하이닉스반도체 Multi layer metal in semiconductor device and method for manufacturing the same
KR20080036679A (en) 2006-10-24 2008-04-29 삼성전자주식회사 Method of forming a non-volatile memory device
US20080111237A1 (en) * 2006-11-14 2008-05-15 Texas Instruments Incorporated Semiconductor device manufactured using an electrochemical deposition process for copper interconnects
US8531035B2 (en) * 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US20040212021A1 (en) * 2003-04-24 2004-10-28 Mitsubishi Denki Kabushiki Kaisha High voltage integrated circuit
US20050250320A1 (en) * 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance

Also Published As

Publication number Publication date
US10629481B2 (en) 2020-04-21
US20140252621A1 (en) 2014-09-11
US9190319B2 (en) 2015-11-17
KR101496550B1 (en) 2015-02-26
DE102013104368B4 (en) 2016-11-10
US11011419B2 (en) 2021-05-18
US20160042992A1 (en) 2016-02-11
US20200227316A1 (en) 2020-07-16
US9716034B2 (en) 2017-07-25
DE102013104368A1 (en) 2014-09-11
KR20140110686A (en) 2014-09-17

Similar Documents

Publication Publication Date Title
US11756883B2 (en) Through via structure and method
US9953920B2 (en) Interconnect structure and method
US11728296B2 (en) Interconnect structure and method of forming same
US11011419B2 (en) Method for forming interconnect structure
US11101216B2 (en) Metal line structure and method
US9847296B2 (en) Barrier layer and structure method
US7981762B2 (en) Method of forming pre-metal dielectric layer of semiconductor device
US8778801B2 (en) Method for forming seed layer structure
CN116314024A (en) Integrated circuit device and method for manufacturing the same
US20210328005A1 (en) Metal-insulator-metal capacitive structure and methods of fabricating thereof
US9978607B2 (en) Through via structure and method

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4