US20160307907A1 - CMOS Structures and Processes Based on Selective Thinning - Google Patents

CMOS Structures and Processes Based on Selective Thinning Download PDF

Info

Publication number
US20160307907A1
US20160307907A1 US15/172,814 US201615172814A US2016307907A1 US 20160307907 A1 US20160307907 A1 US 20160307907A1 US 201615172814 A US201615172814 A US 201615172814A US 2016307907 A1 US2016307907 A1 US 2016307907A1
Authority
US
United States
Prior art keywords
epitaxial layer
devices
layer
layer thickness
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/172,814
Inventor
Scott E. Thompson
Thomas Hoffmann
Lance Scudder
Urupattur C. Sridharan
Dalong Zhao
Pushkar Ranade
Michael Duane
Paul Gregory
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Semiconductor Japan Co Ltd
Original Assignee
Mie Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mie Fujitsu Semiconductor Ltd filed Critical Mie Fujitsu Semiconductor Ltd
Priority to US15/172,814 priority Critical patent/US20160307907A1/en
Assigned to SUVOLTA, INC. reassignment SUVOLTA, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: THOMPSON, SCOTT E., DUANE, MICHAEL, GREGORY, PAUL E., HOFFMANN, THOMAS, RANADE, PUSHKAR, SCUDDER, LANCE, ZHAO, DALONG, SRIDHARAN, U.C.
Assigned to MIE FUJITSU SEMICONDUCTOR LIMITED reassignment MIE FUJITSU SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUVOLTA, INC.
Publication of US20160307907A1 publication Critical patent/US20160307907A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H01L27/1104
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices

Definitions

  • the present invention relates to methods for fabricating integrated circuit structures.
  • subvolt transistor devices are also typically associated with different fabrication conditions to achieve this performance. In some instances, these different fabrication conditions can conflict with each other. Accordingly, in order to ensure sufficient yield of operable devices in such cases, a compromise between the fabrication and performance of the subvolt devices and the other devices is typically required.
  • the performance requirements are relaxed in order to allow selection of conditions that allow for the fabrication of the subvolt devices and other devices on a same substrate, conditions that are often suboptimal.
  • the performance of the subvolt device, the other devices, or both may be degraded in such integrated circuit die.
  • FIG. 1 is a plot showing exemplary performance of different types of devices as a function of epitaxial layer thickness
  • FIG. 2 shows the plot of performance versus epitaxial layer thickness of FIG. 1 and further illustrates the effect of selective thinning
  • FIGS. 3A-3I show an exemplary process flow for providing selective epitaxial layer thinning in accordance with the various embodiments
  • FIG. 4 is a flowchart of steps in an exemplary method 400 for a simulation process in accordance with the various embodiments
  • FIG. 5A is a plot of measured Vth versus target epitaxial layer thickness for NMOS devices
  • FIG. 6 shows different exemplary specifications for NMOS and PMOS for analog 3.3V devices, analog 1.8V devices, and 0.9V logic devices;
  • FIG. 7 is a plot of leakage current (Iboff), simulated and measured, as a function of epitaxial layer thickness
  • FIGS. 8A and 8B are plots of drain-induced barrier lowering (DIBL) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively;
  • FIGS. 9A and 9B are plots of subthreshold swing (SwsS) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively.
  • FIG. 10 shows a schematic diagram of a completed DDC transistor in accordance with the various embodiments.
  • Digital and analog transistors have been available in decreasing sizes over time, with transistor channel lengths that formerly were tens of thousands of nanometers being reduced a thousand-fold to a hundred nanometers or less in length.
  • maintaining transistor quality and electrical characteristics for such downwardly scaled transistors is difficult at nanometer scales, and can even be more difficult for supporting circuits requiring low threshold voltage transistors. This is particularly true for mixed signal die that support both analog and digital transistors, and include a mixture of high and low voltage threshold voltage transistors.
  • mixed device die including a combination of subvolt devices and other devices
  • fabrication of the range of devices is not only generally difficult to provide a multitude of devices operating reliably and with low substrate leakages, but it can be particularly difficult to form such devices consistently across a substrate.
  • One solution for supporting a range of transistor device types while providing acceptable performance of the devices is to configure the channels of the transistors to provide deeply depleted channel (DDC) transistors. The incorporation of DDC for forming a plurality of device types across multiple mixed die that operate in a substantially consistent manner.
  • DDC deeply depleted channel
  • DDC transistors are formed, for example, by implanting dopants into a well for the CMOS devices to form a heavily doped screen layer (10 18 to 10 20 atoms/cm 3 ). This may be followed by an undoped or slightly doped (collectively “substantially undoped”) blanket epitaxial layer ( ⁇ 5 ⁇ 10 17 ) deposited over the screen layer(s), extending across multiple die and transistor die blocks. Such a blanket epitaxial layer should be formed so as to reduce upward migration of scattered dopants emplaced during the screen layer implants.
  • lightly doped threshold voltage (Vth) adjustment layers can also be formed in or adjacent to the screen layer in order to allow finer adjustment of threshold voltage and control against unwanted leakage current.
  • Vth threshold voltage
  • conventional threshold voltage setting methods by way of channel implants or halo implants are not used in the fabrication of DDC transistors.
  • other various embodiments exist.
  • epitaxial layer thickness above the Vth setting layer and screen layer influences the threshold voltage setting of the device. Specifically, a thicker epitaxial layer tends to result in a lower Vth, while a thinner epitaxial layer tends result in a higher Vth.
  • screen implant conditions and Vth implant conditions such as dose and energy, can also affect Vth value, the epitaxial layer thickness remains a modulator for the Vth value as well. If a process flow as described above is used, then, all DDC devices have substantially the same blanket epitaxial layer thickness. This method for implementation of DDC devices is effective to control fabrication costs for building working devices and circuits, but all devices sharing a common epitaxial layer thickness may be suboptimal for many of the devices. This is illustrated with respect to FIG. 1 .
  • FIG. 1 is a plot showing exemplary tolerances of epitaxial layer thicknesses for the operation of different types of devices defined by Vth settings.
  • the digital devices depicted in FIG. 1 presume a supply voltage of 0.9V.
  • the analog devices depicted in FIG. 1 presume supply voltage of 1.8V and 3.3 V, respectively.
  • the preferred epitaxial layer thickness ranges for digital devices are shown for PMOS DDC low Vth devices (PLVt), PMOS DDC standard Vth devices (PSVt), NMOS DDC low Vth devices (NLVt), NMOS DDC standard Vth devices (NSVt), as well as for low power (1.8V) analog DDC devices and high power (3.3V) analog DDC devices.
  • PUVt PMOS DDC low Vth devices
  • PSVt PMOS DDC standard Vth devices
  • NLVt NMOS DDC low Vth devices
  • NSVt NMOS DDC standard Vth devices
  • the first range represents the range of epitaxial layer thickness for the device in which optimal performance is obtained (“sweet spot”).
  • the second range represents the range of epitaxial layer thicknesses in which a low level of operational degradation is observed. This low level of degradation would likely result in operable devices but with less than desired speed, or leakage.
  • the third range represents the range in which a high degree of operational degradation is observed. This high degree of degradation would likely result in degradation of yield or additional leakage and speed degradation for operable devices. Outside such ranges, the device performance would be severely degraded, possibly to the point that little or no devices would be operable within the desired operational window.
  • the different dashed lines (A, B, C) in FIG. 1 represent different epitaxial layer thicknesses.
  • the epitaxial layer thickness could be selected to target a particular device's performance. However, this can result in other devices falling outside the three ranges. For example, as shown in FIG.
  • the epitaxial layer thickness is selected for optimization of the analog devices (line B)
  • the PSVt, NSVt, and NLVt devices would be in the third range, resulting in their degraded operational performance. Even worse, the PLVt devices would be operating outside the ranges, potentially resulting in inoperability of these devices.
  • setting the epitaxial layer thickness to target the PLVt and PSVt devices (line C) would cause the NSVt and NLVt devices to be in the third range, resulting in their degraded operational performance and the analog devices to be outside the ranges, resulting in the potential inoperability of these devices.
  • the various embodiments described below are directed to a process flow for forming mixed DDC device die that addresses these difficulties by utilizing selective thinning of epitaxial layers.
  • selective thinning refers to any process that reduces a thickness of the epitaxial layer in a selected device or local portion of the mixed device die without a corresponding reduction of the thickness of the epitaxial layer in other portions of the die.
  • the selective thinning of the various embodiments provides a process flow, and devices therefrom, that improves DDC device and circuit benefits.
  • the various embodiments provide a way to achieve reliable Vth values for devices via selective etching without relying on modulation of screen implants, modulation or addition of other implants, or any combinations thereof.
  • Locally removing as little as 1 nm of the epitaxial layer in a DDC device can result in a large (20-30 mV) shift in Vth. Accordingly, this allows significant adjustments in Vth by removing selected amounts preferably between 1 nm and 10 nm, such as between 1 nm and 5 nm, in lieu of adjusting implant conditions or other channel process parameters.
  • the various embodiments enable the different devices in a mixed device die to be designed and fabricated with epitaxial layer thicknesses at or near their corresponding sweet spot (or other desired operation point), rather than forcing all devices to use a common epitaxial layer thickness.
  • the results of such a process on the exemplary device configuration of FIG. 1 are illustrated with respect to FIG. 2 .
  • the various embodiments will be primarily described in terms of modifying Vth by adjusting epitaxial layer thickness through selecting thinning, the various embodiments are not limited in this regard. Rather, variations in epitaxial layer thickness strongly correlate to variations in other device characteristics, such as leakage current, subthreshold swing, and drain-induced barrier lowering, to name a few. Accordingly, while in some embodiments, the epitaxial layer thickness can be selected to target a specific Vth, in other embodiments, the epitaxial layer thickness can be selected to target specific values of other device characteristics.
  • FIG. 2 shows the plot of performance versus epitaxial layer thickness of FIG. 1 and further illustrates the effect of selective thinning.
  • One exemplary process in accordance with the various embodiments can involve selecting the epitaxial layer thickness based on the sweet spot of devices associated with the thickest epitaxial layer and thereafter locally and selectively thinning the epitaxial layer to provide epitaxial layer thicknesses for devices having a sweet spot associated with thinner epitaxial layer thickness.
  • an epitaxial layer can be initially formed, with a thickness targeted for the analog devices (X).
  • X analog devices
  • the NMOS devices require a thinner epitaxial layer thickness (X-X 1 ) and the PMOS devices require an even thinner epitaxial layer thickness (X-X 2 ).
  • the local and selective thinning of the epitaxial layer can be performed in the NMOS regions to provide improved epitaxial layer thickness for the NMOS devices, and then additional local selective thinning can be applied in the PMOS regions to provide improved epitaxial layer thickness for the PMOS devices.
  • the present disclosure also contemplates that the thinning of each device type can also be performed separately. That is, thinning of epitaxial layers for PMOS is performed in one step and thinning of epitaxial layers for NMOS is performed in a different step. Once the epitaxial layer has been appropriately thinned in the various areas of the mixed device die, processing can then continue to form the devices on the resulting epitaxial layer. As a result, DDC devices are provided in each of the NMOS, PMOS, and analog device areas with epitaxial layer thicknesses in their respective sweet spots.
  • the present disclosure is not limited to selective thinning of epitaxial layers for NMOS, PMOS, and analog devices, nor is the disclosure limited to epitaxial layer per se.
  • the present disclosure contemplates that there may be a layer of another type of material over a doped region, wherein the selective thinning of the material will modulates the effect of the doped region on the device.
  • Selective thinning can be performed for any type of device on the mixed device die.
  • different devices can also have the same epitaxial layer thickness. Accordingly, the present disclosure contemplates embodiments including one or more selective thinning steps of processes depending on the number of different device types and the amount of overlap (or lack thereof) of their performance/epitaxial layer thickness ranges.
  • the various embodiments are not limited in this regard. Indeed, the overall performance requirements of the mixed device die usually tolerate the epitaxial layers thickness falling into a different target from the sweet spot for at least some of the devices.
  • the present disclosure contemplates embodiments in which selective thinning is utilized only for certain devices.
  • the setting of the Vth by way of selective thinning of the epitaxial layer can be performed as follows. First, the DDC devices are formed through the step of the formation of the screenNth setting doped region, that is, the step prior to the deposition of the epitaxial layer. The doping level is selected for the different devices, to set a Vth matched to a given epitaxial thickness. If ion implantation is used to dope the screenNth setting region, then the implant dose and energy levels are selected to achieve the desired doping concentration and implanted depth of the doped region. Then, the epitaxial layer is formed to a pre-selected thickness that corresponds to devices associated with the thickest epitaxial layer so as to set the Vth value for such devices.
  • the epitaxial layer is etched back to a targeted thinness amenable for achieving the desired Vth value.
  • the selective thinning can be performed using any type of material removal process allowing for a degree of control of amount of material to be etched.
  • a removal process can consist of a single step in which material is removed from the epitaxial layer, such as directly etching of the epitaxial layer using a dry etch process or a wet etch process.
  • the selective etching of the epitaxial layer can happen either before or after STI formation, but needs to be completed before gate oxide formation.
  • a removal process in accordance with the various embodiments can be configured for removing all of the material in a single etch process step, the present disclosure contemplates utilizing other processes to provide a greater degree of control.
  • multiple steps are used. Multiple etch process steps that each remove a pre-defined amount of material can be used.
  • a combination of a limited transformation process and an etch process can be utilized.
  • a portion of the epitaxial layer is first transformed.
  • the portion of the epitaxial layer to be removed is first amorphized, oxidized, or otherwise transformed into a material with different properties from the epitaxial layer, down to a selected depth.
  • the transformation process can be selected so that the depth can be accurately selected and controlled.
  • the transformation process can be a self-limiting process that removes only a pre-defined amount of material, regardless of the length of the process. Thereafter, the transformed material is removed in a second step by way of selective etching of the transformed material. That is, a etch process is utilized that preferentially etches the transformed material over the material of the epitaxial layer.
  • the removal process used for selective thinning of a particular area of the substrate can depend on the amount of thinning and the amount of variability allowed for the thinned epitaxial layer. For example, a greater degree of control is warranted when reducing the epitaxial layer thickness by 1 nm as compared to 5 nm or 10 nm.
  • the thickness areas that are to be shielded from the removal process are masked using an effective material, for instance, a photoresist layer, a hard mask, or combination thereof.
  • the open areas are to be thinned by exposure to the removal process.
  • the removal process can consist of silicon oxidation and subsequent removal by etching, such as an in-situ steam generated oxide or chemical oxidation to form a native oxide layer followed by HF:H 2 0 etch.
  • the process can consist of dry plasma etch using chemistries that effectively remove a predictable amount of silicon, for instance, fluorine-based chemistries.
  • a non-plasma, vapor-based etch can be used to remove a predictable amount of silicon, for instance, chlorine-based vapor.
  • a silicon wet etch can be used, such as one based on HN0 3 and HF.
  • the various embodiments are not limited to these removal processes and other processes are equally applicable.
  • a self-limiting process can be used.
  • a low temperature oxidation such as ISSG can be used, which can be self-limiting to stop at a selected thickness by proper recipe condition selection, followed by dry or wet oxide removal.
  • Another technique could be a chemical oxidation process, such as SC 1, followed by dry or wet oxide removal.
  • FIGS. 3A-3I an exemplary process flow is shown for providing selective epitaxial layer thinning in accordance with the various embodiments.
  • the process flow can begin with the formation of the screen layer, Vth offsetting region, and other implants for the NMOS devices in a well substrate. As shown in the exemplary flow of FIG. 3A , this involves providing a substrate, and implanting material therein. Although a bulk-silicon substrate is contemplated for the embodiment, other types of substrates can be used, including, but not limited to, N-type substrates, SOI substrates, and epi-type substrates.
  • the NMOS screen layers can be formed via a process that includes formation of a patterned mask layer to prevent dopants from being implanted into other regions of the substrate.
  • the masking layer shown as covering the N-well devices can be formed by providing a layer of photoresist which is patterned with openings to expose the regions of the substrate associated with the NMOS devices.
  • the various embodiments are not limited in this regard and the masking layer can be formed using a hard mask layer, alone or in combination with photoresist.
  • a hard mask layer can be formed using layers of silicon oxide, silicon nitride, or any other suitable hard mask layers.
  • a sacrificial protective oxide layer can be formed prior to the formation of the photoresist or other masking layers.
  • a layer can be an in situ steam generated (ISSG) layer or any other type of sacrificial oxide layer sufficient to protect the substrate from unwanted effects of the ion implantation.
  • ISG in situ steam generated
  • Appropriate implants, such as P-type conductivity implants, are then provided according to the types of the devices to be formed. For example, as illustrated in FIG. 3A , first blanket implants can be provided to define screen layers for NLVt, NSVt, and NMOS SRAM devices.
  • the process may begin with a Boron (B) well-implant at about 120 keV to 140 keV at a dose of about 1 ⁇ 10 13 to 1 ⁇ 10 15 atoms/cm 2 .
  • a germanium (Ge) pre-amorphization implant may be used at an energy of about 20 keV to 60 keV at a dose of about 1 ⁇ 10 13 to 1 ⁇ 10 15 atoms/cm 2 , followed by a carbon (C) implant at about 2 keV to 7 keV at a dose of about 1 ⁇ 10 13 to 1 ⁇ 10 15 atoms/cm 2 , with recrystallization, to prevent movement of the Boron implanted atoms.
  • the device implants include Boron anti-punchthrough at an energy of about 10 keV to 30 keV at a dose of about 5 ⁇ 10 12 to 1 ⁇ 10 14 atoms/cm 2 , as well as a blanket DDC Boron implant to simultaneously begin formation of the LVt, SVt and SRAM devices at an energy of about 2 keV to 10 keV at a dose of about 1 ⁇ 10 13 to 1 ⁇ 10 14 atoms/cm 2 .
  • the dopants for the LVt devices will be in place, as indicated by the “LVT” regions in FIG. 3A .
  • the areas associated with the SVt and SRAM devices, indicated by “(SVT)” and “(SRAM)” respectively in FIG. 3A will also have dopants in place for LVt devices.
  • each of the areas for LVt, SVt, and SRAM devices are shown as adjacent or adjoining areas in the P-type well (“PWL”). This is solely for ease of illustration and description.
  • the implants for the areas shown in FIGS. 3A-3I may be separated each other. These areas can be separated by forming mask layers that define one or more of these regions separately.
  • Variations in energy, material, and dose for one or more of the implants discussed above may be applied depending on the specifications from the device design. Further, the implant conditions discussed above are presented solely for purposes of illustration and not by way of limitation. In the various embodiments, more or less implants can be used. Alternatively stated, other implants, other than those listed in FIG. 3A , can be utilized as well.
  • additional patterned mask layers are formed to provide additional implants to build out the various devices. For example, as illustrated in FIG. 3B , the NLVt region can be masked off to allow for the NSVt and NMOS SRAM regions to receive additional screen implants to increase the Vth for those devices.
  • the doses and energies are selected to result in a targeted concentration of dopants and depth of doped region to provide a Vth value for a given thickness of epitaxial layer.
  • additional Boron may be implanted at an energy between about 2 keV to 10 keV at a dose of about 1 ⁇ 10 12 to 1 ⁇ 10 14 atoms/cm 2 .
  • the NSVt region can also be masked off to allow for the NMOS SRAM to receive still further screen implants.
  • the various embodiments are not limited to this particular configuration, and the implant conditions may vary as needed to meet the Vth requirements for the device design.
  • the implant conditions for the additional screen implants discussed are provided solely for illustrative purposes. Any suitable set of implants can be used in the various embodiments.
  • the devices are also formed by ion implantation.
  • the process may begin with a Phosphorous well-implant at about 200 keV to 450 keV at a dose of about 5 ⁇ 10 12 to 5 ⁇ 10 14 atoms/cm 2 .
  • the device implants include Arsenic anti-punchthrough at an energy of about 50 keV to 200 keV at a dose of about 5 ⁇ 10 12 to 1 ⁇ 10 14 atoms/cm 2 , as well as a blanket DDC Antimony implant to simultaneously begin formation of the LVT, SVT and SRAM devices at an energy of about 5 keV to 30 keV at a dose of about 1 ⁇ 10 12 to 1 ⁇ 10 14 atoms/cm 2 . Variations of energies, materials and doses may apply depending on the specifications from the device design.
  • additional patterned mask layers can be formed to cover devices to be protected to allow for additional screening implants to be performed for the more heavily concentrated devices, the SVT and SRAM, as illustrated in FIG. 3D .
  • additional Antimony may be implanted at an energy of about 5 keV to 30 keV at a dose of about 1 ⁇ 10 12 to 5 ⁇ 10 13 atoms/cm 2 .
  • the doses and energies are selected to result in a targeted concentration of dopants and depth of doped region to provide a Vth value for a given thickness of epitaxial layer.
  • the PSVt region can then be masked off to allow for the PMOS SRAM to receive still further screening implant.
  • the particular implant materials and conditions provided and the mask patterns presented are provided solely for purposes of illustration and are not intended to limit the various embodiments in any regard.
  • any remaining photoresist or other mask material and any remaining sacrificial oxide should be removed to expose the semiconducting surface including the implanted regions, as shown in FIG. 3E .
  • a blanket layer is formed, preferably by way of an epitaxial silicon process, as shown in FIG. 3F .
  • the thickness of the epitaxial layer is selected so that it corresponds to the sweet spot associated with a thick epitaxial layer device. For example, for certain device targets, a silicon epitaxial layer of 25 nm can be formed.
  • Such a layer can be deposited using an epitaxial growth process, without added dopants to modify the electrical characteristics, where the processing temperatures, the deposition time, or both are selected such that significant migration of previously implanted material is prevented.
  • the epitaxial growth process can utilize processing temperatures of approximately 600 C.
  • the thickness is selected such that the epitaxial layer for PMOS has the greater targeted thickness.
  • the epitaxial layer for NMOS is to be thinned.
  • the various embodiments are not limited in this regard. Rather, in other embodiments, depending on process conditions, device targets, and other factors, the epitaxial layer for NMOS may need to be thicker and the epitaxial layer for PMOS may need to be thinned.
  • the selective thinning of the epitaxial layer for the NMOS devices can be performed as follows. First, as illustrated in FIG. 3G , a patterned masking layer can be formed to expose the NMOS regions of the semiconducting surface. Although FIG. 3G illustrates this masking layer as consisting of a single layer of photoresist, the various embodiments are not limited in this regard. In other configurations, the masking layer can consist of a hard mask, separately or in combination with the photoresist layer. Such a hard mask can be a layer of silicon oxide, silicon nitride, or any other material which will be resistant to the removal process to be used for the epitaxial layer.
  • the patterned masking layer includes an opening over the NMOS regions, as shown in FIG. 3G .
  • a selective removal process is utilized to reduce the thickness of the epitaxial layer.
  • the term “selective removal process” refers to any type of removal process that preferentially removes one type of material over another type of material. This can include, but is not limited to, any type of wet or dry, chemical, physical, or plasma-based etch processes for removing materials. In the case of FIG. 3G , the selective removal process would be configured to preferentially remove silicon over photoresist material.
  • the specific process for the selective removal process can vary depending on the amount of material to be removed and the amount of epitaxial layer thickness variability permitted.
  • a dry etch process is utilized to target a removal of 2 nm of the 25 nm silicon epitaxial layer in the NMOS region.
  • this is presented solely for illustrative purposes and not by way of limitation.
  • the amount of the epitaxial layer thinning can vary depending on various factors. Accordingly, in the various embodiments the amount of epitaxial layer removed can be more or less than shown in FIG. 3G .
  • the photoresist or other masking layer can be removed to expose the surfaces of the semiconducting surface, as shown in FIG. 3H .
  • STI shallow trench isolation
  • any other types of active area isolation techniques can be used with the various embodiments, provided that care is taken to achieve or maintain the specified epitaxial layer thickness for the various devices.
  • STI features can be formed after selective etching of the epitaxial layer to define separate active areas for the SVT, LVT, and SRAM devices in the PMOS and NMOS regions. Following the formation of these isolation features, processing of the substrate can then continue on to complete the transistor devices in the PMOS and NMOS regions.
  • FIGS. 3A-3I illustrate a process showing selective thinning of epitaxial layer thickness in a single region of the epitaxial layer
  • the various embodiments are not limited in this regard. Rather, as noted above, a process in accordance with the various embodiments can result in the selective thinning of epitaxial layer thickness in any number of regions, where each region is of a different epitaxial layer thickness. Further, as also noted above, such regions can be formed concurrently or separately.
  • FIGS. 3A-3I illustrate the formation of active areas and thinning of epitaxial layer thicknesses, where the thinning of the epitaxial layer occurs prior to a formation of active area isolation features.
  • the selective thinning of the epitaxial layer can occur before or after formation of the active area isolation features. In some cases, both of these types of selective thinning can occur. For example, if the process reaches the structure shown in FIG. 3I and the characteristics of one or more of active area regions define by the isolation features are incorrect or out of specification (e.g., due to errors or process variations), additional thinning can be applied to adjust the epitaxial layer in these active area regions prior to additional processing. Thus, the wafers including such device regions can potentially be salvaged.
  • the height of the epitaxial silicon layer will vary across the surface of the substrate.
  • the STI to epitaxial layer step height may also vary across the surface of the substrate.
  • these height variations can cause issues during subsequent processing.
  • the present disclosure contemplates several extensions of the process flow to address these issues.
  • the present disclosure contemplates performing a selective thinning of the substrate in areas where a thicker epitaxial layer is to be defined. Thereafter, the subsequent selective thinning would reduce or eliminate the difference between such varying surface heights.
  • the present disclosure contemplates performing a selective thinning of the STI in affected areas.
  • the present disclosure contemplates that the combination of epitaxial layer thicknesses and doped regions for the various devices in a mixed device die can be obtained in a variety of ways.
  • One methodology is to determine the conditions using simulation tools.
  • a technology computer aided design (TCAD) program can be utilized to model the desired operation of the devices in the mixed device die.
  • This modeling can be used to determine the proper epitaxial layer thicknesses and implant conditions for the Vth values for the various types of devices.
  • Such fabrication conditions can be based on pre-defined target device characteristics and other considerations.
  • the simulation process can consider pre-defined doping conditions and criteria for differences in the epitaxial layer thickness. Further, such processes can be performed iteratively. In one exemplary embodiment, the simulation process can proceed as shown in FIG. 4 .
  • FIG. 4 is a flowchart of steps in an exemplary method 400 for a simulation process in accordance with the various embodiments.
  • Method 400 begins at step 402 and proceeds to step 404 .
  • an epitaxial layer thickness range for each device type can be determined, where the epitaxial layer thickness range identifies the range of epitaxial layer thicknesses in which the device type will meet the pre-defined device characteristic targets.
  • the device characteristic targets can include Vth targets, leakage current targets, substhreshold swing target, and drain-induced barrier lowering targets, to name a few.
  • the various embodiments are not limited in this regard and any other type of device characteristic targets can be utilized in the various embodiments. Further, the present disclosure also contemplates that for different types of devices, different types of device characteristic targets can be selected.
  • the epitaxial layer thickness ranges for the various devices can be compared to each other to determine where they overlap and whether the overlap meets a pre-defined thickness overlap criteria.
  • the epitaxial layer thickness range for one device type is compared to the epitaxial layer thickness ranges for each other type of device to determine whether there is sufficient overlap in the epitaxial layer thickness ranges to utilize a common epitaxial layer thickness for the one device type and one or more of the other device types in the mixed device dies. Once such comparisons are made, the method then proceeds to step 408 .
  • the comparison at step 406 is utilized to classify the device types into groups. That is, the device types are divided into groups of device types with epitaxial layer thickness ranges that all meet the overlap criteria with respect to each other. As an illustrative example, assume that the overlap criteria require at least some minimum overlap in the sweet spot range. Accordingly, applying this overlap criteria to the device types in FIG. 1 , step 406 would identify the LVt and SVt PMOS logic device types as meeting this criteria, the LVt and SVt NMOS logic device types as meeting this criteria, and the 1.8V and 3.3V analog devices as meeting this criteria.
  • the groups can be a group consisting of the PMOS logic devices, a group consisting of the NMOS logic device, and a group consisting of the analog devices.
  • a device type can potentially fall into two or more groups and a group for the device type can be selected based on additional criteria or rules.
  • an additional rule can specify selecting a group based on a higher degree of overlap.
  • an additional rule can specify selecting a group so as to minimize the total number of groups. Any other criteria can also be applied without limitation.
  • the method 400 can proceed to step 410 .
  • the epitaxial layer thickness for each group can be identified.
  • the epitaxial layer thickness can be selected in various ways. In one example, the thicknesses for each group can be selected so that the resulting thicknesses, after subsequent processing, will be at or near the sweet spot for the device types in each of the groups. In another example, the epitaxial layer thicknesses can be selected such that the range for the epitaxial layer thickness across all the groups is within the tolerance limits for lithography and other fabrication steps that can be impacted by variations planarity across the substrate.
  • step 410 the method 400 proceeds to step 412 where a final set of process conditions is identified, including an initial epitaxial layer thickness needed for the mixed device die, the number of selective epitaxial layer thinning steps needed, and any adjustments required for the implant conditions.
  • step 414 additional masks or processes needed to support the process conditions at step 412 are identified and incorporated into the process flow.
  • This step can include automatically adjusting the integrated circuit design to insert design blocks associated with areas in which epitaxial layer thinning is to occur.
  • the design flow above can be modified to simplify the process flow.
  • the variation in epitaxial layer thickness can have a significant effect on at least Vth values for DDC devices. Accordingly, some of the implants utilized in more conventional process flows may not be needed if the desired device characteristics can be obtained based on a modulation of epitaxial layer thickness. Therefore, in some embodiments, the simulation process can be configured to determine whether selective thinning of the epitaxial layer can be performed in combination with one or more implant adjustments to reduce or eliminate the need for one or more implants. For example, the simulation can identify epitaxial layer thicknesses at which particular implants, such as halo or channel implants, can be eliminated. The simulation can further identify whether an adjustment of other implants, such as screen layer implants, would be needed in combination with selective thinning of the epitaxial layer. In some cases, this analysis can be performed regardless of whether or not the overlap criteria are met.
  • Another method is to base adjustments to the process flow, at least partially, on empirical data. That is, mixed device die can be manufactured using various conditions for both epitaxial layer thickness and implants. Thereafter, the conditions for each type of device can be selected based on the performance of the resulting devices and pre-defined target device characteristics for the different types of devices. If necessary, additional mask layers can be inserted if additional implants or selective etchings are needed.
  • the empirical data can be used to establish a model, a relationship, or other function correlating epitaxial layer thickness and device characteristics, such as Vth, for various implant conditions. Such relationships can be used directly to compute or calculate an epitaxial layer thickness (or reduction) needed to meet particular device characteristic targets. Alternatively, such relationships can be used to generate tables or other data for look-up purposes.
  • the design flow can also involve a determination of how to minimize the number of selective thinning steps. That is, it may be possible to provide a same epitaxial layer thickness for two different types of devices, where each is in their respective sweet spot. This can involve identifying whether one or more implants can be adjusted to shift the sweet spot for one or both types of devices. Alternatively, this can involve determining whether the target device characteristics for one or both of the devices are sufficiently flexible in order to consider a wider range of epitaxial layer thickness and implant adjustments.
  • the selective thinning of the various embodiments can also be of use during processing to reduce scrap and improve yield.
  • the selective thinning of the various embodiments can be used to adjust Vth (or other device characteristics) during processing due to fabrication error or process variation. For example, if the resulting doping concentrations in a substrate after active area implant (i.e., implants prior to gate formation) are expected to result in devices with Vth characteristics that are out of specification, such a substrate would normally be scrapped. This results in degraded yields and additional costs to the manufacturer.
  • the various embodiments can be utilized to salvage such substrates.
  • a reduction of the epitaxial layer thickness can be used to provide a sufficient adjustment of Vth to provide device in specification
  • a selective thinning process in accordance with the various embodiments can be used to salvage such substrates.
  • a manufacturing facility can be equipped with additional mask levels for the circuit design that can be used to implement such corrections.
  • the amount of epitaxial layer thickness reduction can be computed or looked up for the particular process flow.
  • any additional mask levels can be designed and manufactured as needed.
  • the various embodiments have been described primarily with respect to DDC devices. However, the various embodiments are not limited in this regard. Rather selective thinning of a semiconducting surface can be performed on non-DDC devices in a substantially similar manner. That is, the various embodiments are equally useful for adjusting any other type of mixed device die in which modulation of the thickness of a common semiconducting layer would benefit one or more device types on a mixed device die. Embodiments can be used on mixed device die including PMOS channels or analog devices defined using silicon germanium (SiGe) alloys devices or other heterostructures.
  • SiGe silicon germanium
  • a mixed device die includes different types of SiGe PMOS or SiGe analog devices, it may be beneficial, for purposes of performance, to provide some selective thinning of the SiGe rather than relying on a single thickness of SiGe for the different types of devices.
  • the various embodiments are not limited in this regard and methods described herein can be used to modulate other types of semiconducting layers.
  • the various embodiments are not limited in this regard.
  • the present disclosure contemplates that the selective Vth modulation can be achieved by way of targeting the devices that call for a thinner epitaxial layer and forming the epitaxial layer accordingly, then, use a selective epitaxial layer formation process to locally and selectively build-up the epitaxial layer thickness for those devices that call for thicker epitaxial layer.
  • such a process can involve forming a first, blanket epitaxial layer, as described above.
  • At least one second epitaxial layer can be formed, by masking the areas to remain as-is and selectively growing an epitaxial layer in the open areas, to locally increase the thickness of the epitaxial layer to effect a reduced Vth.
  • These selective epitaxial layer formation processes can therefore be used, alternatively or in combination with the selective etching processes described above, to achieve the desired modulation of epitaxial layer thickness and consequent Vth modulation of the various embodiments.
  • FIG. 5 is a plot of measured Vth versus target epitaxial layer thickness for the same screen/Vth region doping levels for 65 nm node NMOS DDC devices. As shown in FIG. 5 , as epitaxial layer thickness is decreased, Vth is increased. The relationship between epitaxial layer thickness correlates with Vth in that the thicker the epitaxial layer, the lower the Vth. This is illustrated in the NMOS data showing a mid-point Vth shift of approximately 80 mV for a 3 nm change in epi thickness. Selective adjustment of epitaxial layer thickness provides an effective and reliable tool for fine Vth adjustment.
  • FIG. 6 lists different exemplary specifications for NMOS and PMOS DDC devices, specifically, analog 3.3V devices, analog 1.8V devices, and 0.9V logic devices the results of simulating corresponding DDC devices with epitaxial layer thicknesses at 25 nm and 30 nm.
  • analog 3.3V devices DDC devices at 25 nm result in Vth values that exceed the exemplary specification.
  • the Vth values for such DDC devices are brought down to values at or near the exemplary specification.
  • the variation in epitaxial layer thickness can also be used to adjust other device parameters. Examples of the effect of epitaxial layer thickness on such other device parameters is shown in FIGS. 7, 8A, 8B, 9A, and 9B .
  • FIG. 7 is a plot of leakage current (Iboff), simulated and measured, as a function of epitaxial layer thickness. Specifically, results are shown for DDC devices with different screen implant conditions (doses of 2 ⁇ 10 13 cm ⁇ 2 and 4 ⁇ 10 13 cm ⁇ 2 ). Regardless of dose, the simulated and measured results show that as epitaxial layer is reduced, Iboff is also reduced. Similar results are observed in FIGS. 8A, 8B, 9A, and 9B .
  • FIGS. 8A and 8B are plots of drain-induced barrier lowering (DIBL) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively. Again, results are shown for DDC devices with different screen implant conditions (doses of 2 ⁇ 10 13 cm ⁇ 2 and 4 ⁇ 10 13 cm ⁇ 2 ). Regardless of dose, the results show that as epitaxial layer is reduced, DIBL is also reduced.
  • DIBL drain-induced barrier lowering
  • FIGS. 9A and 9B are plots of subthreshold swing (SwsS) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively. These results are also for DDC devices with different screen implant conditions (doses of 2 ⁇ 10 13 cm ⁇ 2 and 4 ⁇ 10 13 cm ⁇ 2 ). Again, regardless of dose, the results show that as epitaxial layer is reduced, SwsS is also reduced.
  • SwsS subthreshold swing
  • FIGS. 6, 7, 8A, 8B, 9A , and 9 B there is a strong correlation between epitaxial layer thickness and various device characteristics, including Vth. Specifically, as epitaxial layer thickness for a device is increased, the Vth value for the device is decreased, and vice versa. Other device characteristics show similar relationships. Therefore, a first advantage is that epitaxial layer thinning can be utilized to directly and controllably modify Vth or other device characteristics, with little or no changes to implant processes or any other process steps that significantly affect these device characteristics. Second, the advantage of selective epitaxial layer thinning is clearly illustrated. In particular, FIG. 6 shows that is selective thinning is applied to the epitaxial layer for different types of devices, the Vth for different device types can be tuned while keeping within a dopant concentration range.
  • FIG. 10 shows a schematic diagram of a completed DDC transistor 1000 in accordance with the various embodiments.
  • the completed DDC transistor 1000 can have a wafer well 1002 that can be implanted with both an antipunchthrough layer 1016 and heavily doped, defined thickness screening layer 1014 .
  • An undoped epitaxial layer supports a channel 1010 positioned beneath a gate 1020 and between a source 1022 and drain 1024 .
  • An optional intermediate (or offset) threshold voltage set layer 1012 can be out-diffused from the screening layer 1014 , or alternatively, formed by in situ or implantation of a grown silicon epitaxial layer on the screening layer 1014 .
  • the epitaxial layer can be implanted or grown as a blanket layer across the die, and be selectively doped to have various channel dopant profiles deposited on a wafer over the screening layer.

Abstract

Methods for fabricating semiconductor devices and devices therefrom are provided. A method includes providing a substrate having a semiconducting surface with first and second layers, where the semiconducting surface has a plurality of active regions comprising first and second active regions. In the first active region, the first layer is an undoped layer and the second layer is a highly doped screening layer. The method also includes removing a part of the first layer to reduce a thickness of the substantially undoped layer for at least a portion of the first active region without a corresponding thickness reduction of the first layer in the second active region. The method additionally includes forming semiconductor devices in the plurality of active regions. In the method, the part of the first layer removed is selected based on a threshold voltage adjustment required for the substrate in the portion of the first active region.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. Non-Provisional application Ser. No. 13/591,767, filed Aug. 22, 2012, which claims priority to U.S. Provisional Patent Application No. 61/526,635, filed Aug. 23, 2011 and entitled “Scaled CMOS Structures and Processes”, the contents of which are hereby incorporated by reference in their entirety.
  • FIELD
  • The present invention relates to methods for fabricating integrated circuit structures.
  • BACKGROUND
  • Widespread use of mobile or low power electronics has created a need for high performance integrated circuits capable of operation at subvolt (<1 volt) levels. Many attempts have been made to develop new transistor architectures with high performance, low operating voltage, and low leakage. For example, there has been significant research regarding the use of silicon on insulator (SOI) and three dimensional fin (multigate) transistors. However, such integrated circuit designs are typically incompatible with much of the existing fabrication and design infrastructure.
  • Further exacerbating the problems regarding the fabrication of subvolt transistor devices is that many integrated circuit designs now call for a variety of devices to be formed therein. For example, it is not uncommon for a circuit design to include subvolt transistor devices to be concurrently formed with other devices on a same integrated circuit die, such as high power transistors and analog devices. In addition to these different devices having different requirements for performance, these devices are also typically associated with different fabrication conditions to achieve this performance. In some instances, these different fabrication conditions can conflict with each other. Accordingly, in order to ensure sufficient yield of operable devices in such cases, a compromise between the fabrication and performance of the subvolt devices and the other devices is typically required. For example, in many designs, the performance requirements are relaxed in order to allow selection of conditions that allow for the fabrication of the subvolt devices and other devices on a same substrate, conditions that are often suboptimal. As a result, even though there may be a high yield of operable devices, the performance of the subvolt device, the other devices, or both, may be degraded in such integrated circuit die.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a plot showing exemplary performance of different types of devices as a function of epitaxial layer thickness;
  • FIG. 2 shows the plot of performance versus epitaxial layer thickness of FIG. 1 and further illustrates the effect of selective thinning;
  • FIGS. 3A-3I show an exemplary process flow for providing selective epitaxial layer thinning in accordance with the various embodiments;
  • FIG. 4 is a flowchart of steps in an exemplary method 400 for a simulation process in accordance with the various embodiments;
  • FIG. 5A is a plot of measured Vth versus target epitaxial layer thickness for NMOS devices;
  • FIG. 6 shows different exemplary specifications for NMOS and PMOS for analog 3.3V devices, analog 1.8V devices, and 0.9V logic devices;
  • FIG. 7 is a plot of leakage current (Iboff), simulated and measured, as a function of epitaxial layer thickness;
  • FIGS. 8A and 8B are plots of drain-induced barrier lowering (DIBL) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively;
  • FIGS. 9A and 9B are plots of subthreshold swing (SwsS) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively, and
  • FIG. 10 shows a schematic diagram of a completed DDC transistor in accordance with the various embodiments.
  • DETAILED DESCRIPTION
  • Embodiments are described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the embodiments. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the embodiments. One having ordinary skill in the relevant art, however, will readily recognize that embodiments can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the embodiments. Embodiments are not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the present invention.
  • Digital and analog transistors have been available in decreasing sizes over time, with transistor channel lengths that formerly were tens of thousands of nanometers being reduced a thousand-fold to a hundred nanometers or less in length. However, maintaining transistor quality and electrical characteristics for such downwardly scaled transistors is difficult at nanometer scales, and can even be more difficult for supporting circuits requiring low threshold voltage transistors. This is particularly true for mixed signal die that support both analog and digital transistors, and include a mixture of high and low voltage threshold voltage transistors.
  • One particular difficulty in such mixed signal die and other die (collectively “mixed device die”) including a combination of subvolt devices and other devices is the fabrication of the range of devices. That is, for transistors especially with gate lengths in the sub-nanometer range, it is not only generally difficult to provide a multitude of devices operating reliably and with low substrate leakages, but it can be particularly difficult to form such devices consistently across a substrate. One solution for supporting a range of transistor device types while providing acceptable performance of the devices is to configure the channels of the transistors to provide deeply depleted channel (DDC) transistors. The incorporation of DDC for forming a plurality of device types across multiple mixed die that operate in a substantially consistent manner.
  • DDC transistors are formed, for example, by implanting dopants into a well for the CMOS devices to form a heavily doped screen layer (1018 to 1020 atoms/cm3). This may be followed by an undoped or slightly doped (collectively “substantially undoped”) blanket epitaxial layer (<5×1017) deposited over the screen layer(s), extending across multiple die and transistor die blocks. Such a blanket epitaxial layer should be formed so as to reduce upward migration of scattered dopants emplaced during the screen layer implants. In some configurations, lightly doped threshold voltage (Vth) adjustment layers (between 5×1017 and 2×1019 atoms/cm3) can also be formed in or adjacent to the screen layer in order to allow finer adjustment of threshold voltage and control against unwanted leakage current. Preferably, conventional threshold voltage setting methods by way of channel implants or halo implants are not used in the fabrication of DDC transistors. However, other various embodiments exist.
  • Details regarding exemplary DDC transistor structures and manufacturing processes are more completely described in U.S. patent application Ser. No. 12/708,497 titled “ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME” and filed Feb. 18, 2010, U.S. patent application Ser. No. 12/971,884 titled “LOW POWER SEMICONDUCTOR TRANSISTOR STRUCTURE AND METHOD OF FABRICATION THEREOF” and filed Dec. 17, 2010, U.S. patent application Ser. No. 12/971,955 titled “TRANSISTOR WITH THRESHOLD VOLTAGE SET NOTCH AND METHOD OF FABRICATION THEREOF” and filed Dec. 17, 2010, U.S. patent application Ser. No. 12/895,785 titled “ADVANCED TRANSISTORS WITH THRESHOLD VOLTAGE SET DOPANT STRUCTURES” and filed Sep. 30, 2010, the disclosures of which are hereby incorporated by reference in their entirety, and U.S. patent application Ser. No. 12/895,813 titled “ADVANCED TRANSISTORS WITH PUNCH THROUGH SUPPRESSION” and filed Sep. 30, 2010.
  • For DDC devices, epitaxial layer thickness above the Vth setting layer and screen layer influences the threshold voltage setting of the device. Specifically, a thicker epitaxial layer tends to result in a lower Vth, while a thinner epitaxial layer tends result in a higher Vth. Although, screen implant conditions and Vth implant conditions, such as dose and energy, can also affect Vth value, the epitaxial layer thickness remains a modulator for the Vth value as well. If a process flow as described above is used, then, all DDC devices have substantially the same blanket epitaxial layer thickness. This method for implementation of DDC devices is effective to control fabrication costs for building working devices and circuits, but all devices sharing a common epitaxial layer thickness may be suboptimal for many of the devices. This is illustrated with respect to FIG. 1.
  • FIG. 1 is a plot showing exemplary tolerances of epitaxial layer thicknesses for the operation of different types of devices defined by Vth settings. The digital devices depicted in FIG. 1 presume a supply voltage of 0.9V. The analog devices depicted in FIG. 1 presume supply voltage of 1.8V and 3.3 V, respectively. The preferred epitaxial layer thickness ranges for digital devices are shown for PMOS DDC low Vth devices (PLVt), PMOS DDC standard Vth devices (PSVt), NMOS DDC low Vth devices (NLVt), NMOS DDC standard Vth devices (NSVt), as well as for low power (1.8V) analog DDC devices and high power (3.3V) analog DDC devices. The ranges illustrated in FIG. 1 are presented solely for illustrative purposes for a given power specification and fabrication process. Actual device implementations may result in different variations from the epitaxial layer thickness ranges illustrated in FIG. 1. For instance, such device implementations may result in a larger or smaller amount of overlap among the epitaxial layer thickness ranges than illustrated in FIG. 1
  • For each type of DDC devices in FIG. 1, three epitaxial layer thickness ranges are shown. The first range (hatched fill) represents the range of epitaxial layer thickness for the device in which optimal performance is obtained (“sweet spot”). The second range (dotted fill) represents the range of epitaxial layer thicknesses in which a low level of operational degradation is observed. This low level of degradation would likely result in operable devices but with less than desired speed, or leakage. The third range (solid fill) represents the range in which a high degree of operational degradation is observed. This high degree of degradation would likely result in degradation of yield or additional leakage and speed degradation for operable devices. Outside such ranges, the device performance would be severely degraded, possibly to the point that little or no devices would be operable within the desired operational window.
  • In view of the foregoing, the effects of selecting a single common epitaxial layer thickness can be observed. For example, the different dashed lines (A, B, C) in FIG. 1 represent different epitaxial layer thicknesses. As can be observed from FIG. 1, it may be possible to select an epitaxial layer thickness in which all of the devices are within at least one of the three ranges (see line A). However, only some devices would be in the second or third ranges, meaning that the operational performance of many of the devices would be degraded. Alternatively, the epitaxial layer thickness could be selected to target a particular device's performance. However, this can result in other devices falling outside the three ranges. For example, as shown in FIG. 1, if the epitaxial layer thickness is selected for optimization of the analog devices (line B), the PSVt, NSVt, and NLVt devices would be in the third range, resulting in their degraded operational performance. Even worse, the PLVt devices would be operating outside the ranges, potentially resulting in inoperability of these devices. Conversely, setting the epitaxial layer thickness to target the PLVt and PSVt devices (line C) would cause the NSVt and NLVt devices to be in the third range, resulting in their degraded operational performance and the analog devices to be outside the ranges, resulting in the potential inoperability of these devices.
  • In view of the potential difficulties in using a single epitaxial layer thickness adequate for multiple types of DDC devices in a mixed device die, the various embodiments described below are directed to a process flow for forming mixed DDC device die that addresses these difficulties by utilizing selective thinning of epitaxial layers. The term “selective thinning” as used herein with respect to epitaxial layers (or any other type of layer covering over the heavily doped regions of the DDC device) refers to any process that reduces a thickness of the epitaxial layer in a selected device or local portion of the mixed device die without a corresponding reduction of the thickness of the epitaxial layer in other portions of the die.
  • The selective thinning of the various embodiments provides a process flow, and devices therefrom, that improves DDC device and circuit benefits. Most notably, the various embodiments provide a way to achieve reliable Vth values for devices via selective etching without relying on modulation of screen implants, modulation or addition of other implants, or any combinations thereof. Locally removing as little as 1 nm of the epitaxial layer in a DDC device can result in a large (20-30 mV) shift in Vth. Accordingly, this allows significant adjustments in Vth by removing selected amounts preferably between 1 nm and 10 nm, such as between 1 nm and 5 nm, in lieu of adjusting implant conditions or other channel process parameters. Accordingly, the various embodiments enable the different devices in a mixed device die to be designed and fabricated with epitaxial layer thicknesses at or near their corresponding sweet spot (or other desired operation point), rather than forcing all devices to use a common epitaxial layer thickness. The results of such a process on the exemplary device configuration of FIG. 1 are illustrated with respect to FIG. 2.
  • Although the various embodiments will be primarily described in terms of modifying Vth by adjusting epitaxial layer thickness through selecting thinning, the various embodiments are not limited in this regard. Rather, variations in epitaxial layer thickness strongly correlate to variations in other device characteristics, such as leakage current, subthreshold swing, and drain-induced barrier lowering, to name a few. Accordingly, while in some embodiments, the epitaxial layer thickness can be selected to target a specific Vth, in other embodiments, the epitaxial layer thickness can be selected to target specific values of other device characteristics.
  • FIG. 2 shows the plot of performance versus epitaxial layer thickness of FIG. 1 and further illustrates the effect of selective thinning. One exemplary process in accordance with the various embodiments can involve selecting the epitaxial layer thickness based on the sweet spot of devices associated with the thickest epitaxial layer and thereafter locally and selectively thinning the epitaxial layer to provide epitaxial layer thicknesses for devices having a sweet spot associated with thinner epitaxial layer thickness. For example, as shown in FIG. 2, an epitaxial layer can be initially formed, with a thickness targeted for the analog devices (X). However, in the example of FIG. 2, the NMOS devices require a thinner epitaxial layer thickness (X-X1) and the PMOS devices require an even thinner epitaxial layer thickness (X-X2). Accordingly, in one embodiment, the local and selective thinning of the epitaxial layer can be performed in the NMOS regions to provide improved epitaxial layer thickness for the NMOS devices, and then additional local selective thinning can be applied in the PMOS regions to provide improved epitaxial layer thickness for the PMOS devices.
  • Although at least some thinning of the epitaxial layer in both of the PMOS and NMOS areas can be performed concurrently, the present disclosure also contemplates that the thinning of each device type can also be performed separately. That is, thinning of epitaxial layers for PMOS is performed in one step and thinning of epitaxial layers for NMOS is performed in a different step. Once the epitaxial layer has been appropriately thinned in the various areas of the mixed device die, processing can then continue to form the devices on the resulting epitaxial layer. As a result, DDC devices are provided in each of the NMOS, PMOS, and analog device areas with epitaxial layer thicknesses in their respective sweet spots.
  • It should be noted that the present disclosure is not limited to selective thinning of epitaxial layers for NMOS, PMOS, and analog devices, nor is the disclosure limited to epitaxial layer per se. The present disclosure contemplates that there may be a layer of another type of material over a doped region, wherein the selective thinning of the material will modulates the effect of the doped region on the device. Selective thinning can be performed for any type of device on the mixed device die. Further, the present disclosure also contemplates that different devices can also have the same epitaxial layer thickness. Accordingly, the present disclosure contemplates embodiments including one or more selective thinning steps of processes depending on the number of different device types and the amount of overlap (or lack thereof) of their performance/epitaxial layer thickness ranges.
  • It should be further noted that although the example above contemplates selecting epitaxial layer thicknesses based on devices' respective sweet spots, the various embodiments are not limited in this regard. Indeed, the overall performance requirements of the mixed device die usually tolerate the epitaxial layers thickness falling into a different target from the sweet spot for at least some of the devices. The present disclosure contemplates embodiments in which selective thinning is utilized only for certain devices.
  • The setting of the Vth by way of selective thinning of the epitaxial layer can be performed as follows. First, the DDC devices are formed through the step of the formation of the screenNth setting doped region, that is, the step prior to the deposition of the epitaxial layer. The doping level is selected for the different devices, to set a Vth matched to a given epitaxial thickness. If ion implantation is used to dope the screenNth setting region, then the implant dose and energy levels are selected to achieve the desired doping concentration and implanted depth of the doped region. Then, the epitaxial layer is formed to a pre-selected thickness that corresponds to devices associated with the thickest epitaxial layer so as to set the Vth value for such devices. Then, for those devices requiring a thinner epitaxial layer to set the Vth value, then for those devices, the epitaxial layer is etched back to a targeted thinness amenable for achieving the desired Vth value. The selective thinning can be performed using any type of material removal process allowing for a degree of control of amount of material to be etched. In the various embodiments, a removal process can consist of a single step in which material is removed from the epitaxial layer, such as directly etching of the epitaxial layer using a dry etch process or a wet etch process. The selective etching of the epitaxial layer can happen either before or after STI formation, but needs to be completed before gate oxide formation.
  • Although a removal process in accordance with the various embodiments can be configured for removing all of the material in a single etch process step, the present disclosure contemplates utilizing other processes to provide a greater degree of control. In some embodiments, multiple steps are used. Multiple etch process steps that each remove a pre-defined amount of material can be used. In other embodiments a combination of a limited transformation process and an etch process can be utilized. In such a process, a portion of the epitaxial layer is first transformed. For example, the portion of the epitaxial layer to be removed is first amorphized, oxidized, or otherwise transformed into a material with different properties from the epitaxial layer, down to a selected depth. The transformation process can be selected so that the depth can be accurately selected and controlled. For example, the transformation process can be a self-limiting process that removes only a pre-defined amount of material, regardless of the length of the process. Thereafter, the transformed material is removed in a second step by way of selective etching of the transformed material. That is, a etch process is utilized that preferentially etches the transformed material over the material of the epitaxial layer.
  • The removal process used for selective thinning of a particular area of the substrate can depend on the amount of thinning and the amount of variability allowed for the thinned epitaxial layer. For example, a greater degree of control is warranted when reducing the epitaxial layer thickness by 1 nm as compared to 5 nm or 10 nm.
  • Some exemplary selective epitaxial layer removal processes are provided below. In all examples, the thickness areas that are to be shielded from the removal process are masked using an effective material, for instance, a photoresist layer, a hard mask, or combination thereof. The open areas are to be thinned by exposure to the removal process. In a first example, the removal process can consist of silicon oxidation and subsequent removal by etching, such as an in-situ steam generated oxide or chemical oxidation to form a native oxide layer followed by HF:H20 etch. In a second example, the process can consist of dry plasma etch using chemistries that effectively remove a predictable amount of silicon, for instance, fluorine-based chemistries. In a third example, a non-plasma, vapor-based etch can be used to remove a predictable amount of silicon, for instance, chlorine-based vapor. In still another example, a silicon wet etch can be used, such as one based on HN03 and HF. However, the various embodiments are not limited to these removal processes and other processes are equally applicable.
  • In some embodiments, where the amount of selective thinning needs to be controlled precisely, a self-limiting process can be used. For example, a low temperature oxidation such as ISSG can be used, which can be self-limiting to stop at a selected thickness by proper recipe condition selection, followed by dry or wet oxide removal. Another technique could be a chemical oxidation process, such as SC 1, followed by dry or wet oxide removal.
  • Now turning to FIGS. 3A-3I, an exemplary process flow is shown for providing selective epitaxial layer thinning in accordance with the various embodiments. The process flow can begin with the formation of the screen layer, Vth offsetting region, and other implants for the NMOS devices in a well substrate. As shown in the exemplary flow of FIG. 3A, this involves providing a substrate, and implanting material therein. Although a bulk-silicon substrate is contemplated for the embodiment, other types of substrates can be used, including, but not limited to, N-type substrates, SOI substrates, and epi-type substrates.
  • The NMOS screen layers can be formed via a process that includes formation of a patterned mask layer to prevent dopants from being implanted into other regions of the substrate. For example, as illustrated in FIG. 3A, the masking layer shown as covering the N-well devices, can be formed by providing a layer of photoresist which is patterned with openings to expose the regions of the substrate associated with the NMOS devices. However, the various embodiments are not limited in this regard and the masking layer can be formed using a hard mask layer, alone or in combination with photoresist. Such a hard mask layer can be formed using layers of silicon oxide, silicon nitride, or any other suitable hard mask layers. Optionally, as shown in FIG. 3A, a sacrificial protective oxide layer can be formed prior to the formation of the photoresist or other masking layers. Such a layer can be an in situ steam generated (ISSG) layer or any other type of sacrificial oxide layer sufficient to protect the substrate from unwanted effects of the ion implantation. Appropriate implants, such as P-type conductivity implants, are then provided according to the types of the devices to be formed. For example, as illustrated in FIG. 3A, first blanket implants can be provided to define screen layers for NLVt, NSVt, and NMOS SRAM devices.
  • For instance, the process may begin with a Boron (B) well-implant at about 120 keV to 140 keV at a dose of about 1×1013 to 1×1015 atoms/cm2. A germanium (Ge) pre-amorphization implant may be used at an energy of about 20 keV to 60 keV at a dose of about 1×1013 to 1×1015 atoms/cm2, followed by a carbon (C) implant at about 2 keV to 7 keV at a dose of about 1×1013 to 1×1015 atoms/cm2, with recrystallization, to prevent movement of the Boron implanted atoms. The device implants include Boron anti-punchthrough at an energy of about 10 keV to 30 keV at a dose of about 5×1012 to 1×1014 atoms/cm2, as well as a blanket DDC Boron implant to simultaneously begin formation of the LVt, SVt and SRAM devices at an energy of about 2 keV to 10 keV at a dose of about 1×1013 to 1×1014 atoms/cm2. At the end of this initial set of implants, the dopants for the LVt devices will be in place, as indicated by the “LVT” regions in FIG. 3A. At this point in the process flow, the areas associated with the SVt and SRAM devices, indicated by “(SVT)” and “(SRAM)” respectively in FIG. 3A will also have dopants in place for LVt devices.
  • In FIG. 3A and the subsequent figures, each of the areas for LVt, SVt, and SRAM devices are shown as adjacent or adjoining areas in the P-type well (“PWL”). This is solely for ease of illustration and description. In the various embodiments, the implants for the areas shown in FIGS. 3A-3I may be separated each other. These areas can be separated by forming mask layers that define one or more of these regions separately.
  • Variations in energy, material, and dose for one or more of the implants discussed above may be applied depending on the specifications from the device design. Further, the implant conditions discussed above are presented solely for purposes of illustration and not by way of limitation. In the various embodiments, more or less implants can be used. Alternatively stated, other implants, other than those listed in FIG. 3A, can be utilized as well. Following the completion of the implants discussed above, additional patterned mask layers are formed to provide additional implants to build out the various devices. For example, as illustrated in FIG. 3B, the NLVt region can be masked off to allow for the NSVt and NMOS SRAM regions to receive additional screen implants to increase the Vth for those devices. The doses and energies are selected to result in a targeted concentration of dopants and depth of doped region to provide a Vth value for a given thickness of epitaxial layer. For instance, additional Boron may be implanted at an energy between about 2 keV to 10 keV at a dose of about 1×1012 to 1×1014 atoms/cm2. Though not shown, the NSVt region can also be masked off to allow for the NMOS SRAM to receive still further screen implants. However, the various embodiments are not limited to this particular configuration, and the implant conditions may vary as needed to meet the Vth requirements for the device design. The implant conditions for the additional screen implants discussed are provided solely for illustrative purposes. Any suitable set of implants can be used in the various embodiments.
  • Once the implants for the NMOS regions are completed, all of the NMOS devices are covered over with a mask so that the doped regions for the PMOS regions can be formed. For example, as shown in FIG. 3C, with the NMOS devices effectively masked off, the PMOS devices can be formed. In the process illustrated in FIG. 3C, the devices are also formed by ion implantation. For instance, the process may begin with a Phosphorous well-implant at about 200 keV to 450 keV at a dose of about 5×1012 to 5×1014 atoms/cm2. The device implants include Arsenic anti-punchthrough at an energy of about 50 keV to 200 keV at a dose of about 5×1012 to 1×1014 atoms/cm2, as well as a blanket DDC Antimony implant to simultaneously begin formation of the LVT, SVT and SRAM devices at an energy of about 5 keV to 30 keV at a dose of about 1×1012 to 1×1014 atoms/cm2. Variations of energies, materials and doses may apply depending on the specifications from the device design.
  • Then, additional patterned mask layers can be formed to cover devices to be protected to allow for additional screening implants to be performed for the more heavily concentrated devices, the SVT and SRAM, as illustrated in FIG. 3D. For instance, additional Antimony may be implanted at an energy of about 5 keV to 30 keV at a dose of about 1×1012 to 5×1013 atoms/cm2. The doses and energies are selected to result in a targeted concentration of dopants and depth of doped region to provide a Vth value for a given thickness of epitaxial layer. Though not shown, the PSVt region can then be masked off to allow for the PMOS SRAM to receive still further screening implant. The particular implant materials and conditions provided and the mask patterns presented are provided solely for purposes of illustration and are not intended to limit the various embodiments in any regard.
  • After the implants are completed for the devices within the NMOS and PMOS regions, any remaining photoresist or other mask material and any remaining sacrificial oxide should be removed to expose the semiconducting surface including the implanted regions, as shown in FIG. 3E. Thereafter, a blanket layer is formed, preferably by way of an epitaxial silicon process, as shown in FIG. 3F. As described above, the thickness of the epitaxial layer is selected so that it corresponds to the sweet spot associated with a thick epitaxial layer device. For example, for certain device targets, a silicon epitaxial layer of 25 nm can be formed. Such a layer can be deposited using an epitaxial growth process, without added dopants to modify the electrical characteristics, where the processing temperatures, the deposition time, or both are selected such that significant migration of previously implanted material is prevented. In one particular embodiment, the epitaxial growth process can utilize processing temperatures of approximately 600 C. In the embodiment at FIG. 3F, the thickness is selected such that the epitaxial layer for PMOS has the greater targeted thickness. Thus, the epitaxial layer for NMOS is to be thinned. However, the various embodiments are not limited in this regard. Rather, in other embodiments, depending on process conditions, device targets, and other factors, the epitaxial layer for NMOS may need to be thicker and the epitaxial layer for PMOS may need to be thinned.
  • The selective thinning of the epitaxial layer for the NMOS devices can be performed as follows. First, as illustrated in FIG. 3G, a patterned masking layer can be formed to expose the NMOS regions of the semiconducting surface. Although FIG. 3G illustrates this masking layer as consisting of a single layer of photoresist, the various embodiments are not limited in this regard. In other configurations, the masking layer can consist of a hard mask, separately or in combination with the photoresist layer. Such a hard mask can be a layer of silicon oxide, silicon nitride, or any other material which will be resistant to the removal process to be used for the epitaxial layer.
  • As noted above, a thinner epitaxial layer thickness is required for the NMOS devices. Accordingly, the patterned masking layer includes an opening over the NMOS regions, as shown in FIG. 3G. Once the pattern is formed, a selective removal process is utilized to reduce the thickness of the epitaxial layer. As used herein, the term “selective removal process” refers to any type of removal process that preferentially removes one type of material over another type of material. This can include, but is not limited to, any type of wet or dry, chemical, physical, or plasma-based etch processes for removing materials. In the case of FIG. 3G, the selective removal process would be configured to preferentially remove silicon over photoresist material. As noted above, the specific process for the selective removal process can vary depending on the amount of material to be removed and the amount of epitaxial layer thickness variability permitted.
  • In the exemplary process shown in FIG. 3G, a dry etch process is utilized to target a removal of 2 nm of the 25 nm silicon epitaxial layer in the NMOS region. However, this is presented solely for illustrative purposes and not by way of limitation. As noted above, the amount of the epitaxial layer thinning can vary depending on various factors. Accordingly, in the various embodiments the amount of epitaxial layer removed can be more or less than shown in FIG. 3G. After the selective removal process is performed, the photoresist or other masking layer can be removed to expose the surfaces of the semiconducting surface, as shown in FIG. 3H.
  • The present disclosure contemplates that as part of the process of forming devices in active area or regions of the semiconducting surface, some type of active area isolation is provided. For example, shallow trench isolation (STI) or any other types of active area isolation techniques can be used with the various embodiments, provided that care is taken to achieve or maintain the specified epitaxial layer thickness for the various devices. For example, as shown in FIG. 3I, STI features can be formed after selective etching of the epitaxial layer to define separate active areas for the SVT, LVT, and SRAM devices in the PMOS and NMOS regions. Following the formation of these isolation features, processing of the substrate can then continue on to complete the transistor devices in the PMOS and NMOS regions.
  • Although FIGS. 3A-3I illustrate a process showing selective thinning of epitaxial layer thickness in a single region of the epitaxial layer, the various embodiments are not limited in this regard. Rather, as noted above, a process in accordance with the various embodiments can result in the selective thinning of epitaxial layer thickness in any number of regions, where each region is of a different epitaxial layer thickness. Further, as also noted above, such regions can be formed concurrently or separately.
  • Further, FIGS. 3A-3I illustrate the formation of active areas and thinning of epitaxial layer thicknesses, where the thinning of the epitaxial layer occurs prior to a formation of active area isolation features. However, the present disclosure contemplates that in the various embodiments, the selective thinning of the epitaxial layer can occur before or after formation of the active area isolation features. In some cases, both of these types of selective thinning can occur. For example, if the process reaches the structure shown in FIG. 3I and the characteristics of one or more of active area regions define by the isolation features are incorrect or out of specification (e.g., due to errors or process variations), additional thinning can be applied to adjust the epitaxial layer in these active area regions prior to additional processing. Thus, the wafers including such device regions can potentially be salvaged.
  • As shown in FIGS. 3G-3I, a consequence of the process flow of the various embodiments is that the height of the epitaxial silicon layer will vary across the surface of the substrate. In the case where STI was formed prior to the selective thinning, the STI to epitaxial layer step height may also vary across the surface of the substrate. Depending on the process node, the fabrication line, and other production factors, these height variations can cause issues during subsequent processing. The present disclosure contemplates several extensions of the process flow to address these issues. In cases where the height differences in the epitaxial silicon layer are an issue, the present disclosure contemplates performing a selective thinning of the substrate in areas where a thicker epitaxial layer is to be defined. Thereafter, the subsequent selective thinning would reduce or eliminate the difference between such varying surface heights. Similarly, in cases where the STI to epitaxial layer step height is an issue the present disclosure contemplates performing a selective thinning of the STI in affected areas.
  • The present disclosure contemplates that the combination of epitaxial layer thicknesses and doped regions for the various devices in a mixed device die can be obtained in a variety of ways. One methodology is to determine the conditions using simulation tools. For example, a technology computer aided design (TCAD) program can be utilized to model the desired operation of the devices in the mixed device die. This modeling can be used to determine the proper epitaxial layer thicknesses and implant conditions for the Vth values for the various types of devices. Such fabrication conditions can be based on pre-defined target device characteristics and other considerations. For example, the simulation process can consider pre-defined doping conditions and criteria for differences in the epitaxial layer thickness. Further, such processes can be performed iteratively. In one exemplary embodiment, the simulation process can proceed as shown in FIG. 4.
  • FIG. 4 is a flowchart of steps in an exemplary method 400 for a simulation process in accordance with the various embodiments. Method 400 begins at step 402 and proceeds to step 404. At step 404, based on the pre-defined device characteristic targets, for an assumed set of doping conditions, an epitaxial layer thickness range for each device type can be determined, where the epitaxial layer thickness range identifies the range of epitaxial layer thicknesses in which the device type will meet the pre-defined device characteristic targets. As discussed above, the device characteristic targets can include Vth targets, leakage current targets, substhreshold swing target, and drain-induced barrier lowering targets, to name a few. However, the various embodiments are not limited in this regard and any other type of device characteristic targets can be utilized in the various embodiments. Further, the present disclosure also contemplates that for different types of devices, different types of device characteristic targets can be selected.
  • Thereafter, at step 406, the epitaxial layer thickness ranges for the various devices can be compared to each other to determine where they overlap and whether the overlap meets a pre-defined thickness overlap criteria. In other words, the epitaxial layer thickness range for one device type is compared to the epitaxial layer thickness ranges for each other type of device to determine whether there is sufficient overlap in the epitaxial layer thickness ranges to utilize a common epitaxial layer thickness for the one device type and one or more of the other device types in the mixed device dies. Once such comparisons are made, the method then proceeds to step 408.
  • At step 408, the comparison at step 406 is utilized to classify the device types into groups. That is, the device types are divided into groups of device types with epitaxial layer thickness ranges that all meet the overlap criteria with respect to each other. As an illustrative example, assume that the overlap criteria require at least some minimum overlap in the sweet spot range. Accordingly, applying this overlap criteria to the device types in FIG. 1, step 406 would identify the LVt and SVt PMOS logic device types as meeting this criteria, the LVt and SVt NMOS logic device types as meeting this criteria, and the 1.8V and 3.3V analog devices as meeting this criteria. Thus, at step 408, the groups can be a group consisting of the PMOS logic devices, a group consisting of the NMOS logic device, and a group consisting of the analog devices.
  • The present disclosure contemplates that in the event that a device type can potentially fall into two or more groups and a group for the device type can be selected based on additional criteria or rules. For example, an additional rule can specify selecting a group based on a higher degree of overlap. In another example, an additional rule can specify selecting a group so as to minimize the total number of groups. Any other criteria can also be applied without limitation.
  • Referring back to FIG. 4, once the groups of device types are identified at step 408, the method 400 can proceed to step 410. At step 410, the epitaxial layer thickness for each group can be identified. The epitaxial layer thickness can be selected in various ways. In one example, the thicknesses for each group can be selected so that the resulting thicknesses, after subsequent processing, will be at or near the sweet spot for the device types in each of the groups. In another example, the epitaxial layer thicknesses can be selected such that the range for the epitaxial layer thickness across all the groups is within the tolerance limits for lithography and other fabrication steps that can be impacted by variations planarity across the substrate.
  • Subsequent to step 410, the method 400 proceeds to step 412 where a final set of process conditions is identified, including an initial epitaxial layer thickness needed for the mixed device die, the number of selective epitaxial layer thinning steps needed, and any adjustments required for the implant conditions.
  • Finally, at step 414, additional masks or processes needed to support the process conditions at step 412 are identified and incorporated into the process flow. This step can include automatically adjusting the integrated circuit design to insert design blocks associated with areas in which epitaxial layer thinning is to occur.
  • Optionally, the design flow above can be modified to simplify the process flow. As noted above, the variation in epitaxial layer thickness can have a significant effect on at least Vth values for DDC devices. Accordingly, some of the implants utilized in more conventional process flows may not be needed if the desired device characteristics can be obtained based on a modulation of epitaxial layer thickness. Therefore, in some embodiments, the simulation process can be configured to determine whether selective thinning of the epitaxial layer can be performed in combination with one or more implant adjustments to reduce or eliminate the need for one or more implants. For example, the simulation can identify epitaxial layer thicknesses at which particular implants, such as halo or channel implants, can be eliminated. The simulation can further identify whether an adjustment of other implants, such as screen layer implants, would be needed in combination with selective thinning of the epitaxial layer. In some cases, this analysis can be performed regardless of whether or not the overlap criteria are met.
  • Another method is to base adjustments to the process flow, at least partially, on empirical data. That is, mixed device die can be manufactured using various conditions for both epitaxial layer thickness and implants. Thereafter, the conditions for each type of device can be selected based on the performance of the resulting devices and pre-defined target device characteristics for the different types of devices. If necessary, additional mask layers can be inserted if additional implants or selective etchings are needed. In such embodiments, the empirical data can be used to establish a model, a relationship, or other function correlating epitaxial layer thickness and device characteristics, such as Vth, for various implant conditions. Such relationships can be used directly to compute or calculate an epitaxial layer thickness (or reduction) needed to meet particular device characteristic targets. Alternatively, such relationships can be used to generate tables or other data for look-up purposes.
  • The design flow can also involve a determination of how to minimize the number of selective thinning steps. That is, it may be possible to provide a same epitaxial layer thickness for two different types of devices, where each is in their respective sweet spot. This can involve identifying whether one or more implants can be adjusted to shift the sweet spot for one or both types of devices. Alternatively, this can involve determining whether the target device characteristics for one or both of the devices are sufficiently flexible in order to consider a wider range of epitaxial layer thickness and implant adjustments.
  • Although the various embodiments have been primarily described with respect to designing devices with an amount of selective thinning in mind, the present disclosure contemplates other uses. In particular, the selective thinning of the various embodiments can also be of use during processing to reduce scrap and improve yield. Specifically, the selective thinning of the various embodiments can be used to adjust Vth (or other device characteristics) during processing due to fabrication error or process variation. For example, if the resulting doping concentrations in a substrate after active area implant (i.e., implants prior to gate formation) are expected to result in devices with Vth characteristics that are out of specification, such a substrate would normally be scrapped. This results in degraded yields and additional costs to the manufacturer. However, the present disclosure contemplates that the various embodiments can be utilized to salvage such substrates. In particular, if a reduction of the epitaxial layer thickness can be used to provide a sufficient adjustment of Vth to provide device in specification, then a selective thinning process in accordance with the various embodiments can be used to salvage such substrates. In such cases, a manufacturing facility can be equipped with additional mask levels for the circuit design that can be used to implement such corrections. For the actual processing, the amount of epitaxial layer thickness reduction can be computed or looked up for the particular process flow. Alternatively, any additional mask levels can be designed and manufactured as needed.
  • For ease of illustration, the various embodiments have been described primarily with respect to DDC devices. However, the various embodiments are not limited in this regard. Rather selective thinning of a semiconducting surface can be performed on non-DDC devices in a substantially similar manner. That is, the various embodiments are equally useful for adjusting any other type of mixed device die in which modulation of the thickness of a common semiconducting layer would benefit one or more device types on a mixed device die. Embodiments can be used on mixed device die including PMOS channels or analog devices defined using silicon germanium (SiGe) alloys devices or other heterostructures. For example, if a mixed device die includes different types of SiGe PMOS or SiGe analog devices, it may be beneficial, for purposes of performance, to provide some selective thinning of the SiGe rather than relying on a single thickness of SiGe for the different types of devices. However, the various embodiments are not limited in this regard and methods described herein can be used to modulate other types of semiconducting layers.
  • Further, although the selecting thinning of the various embodiments has been described with respect to a process including deposition of the epitaxial layer followed by selective etching or removal of the epitaxial layer to locally reduce epitaxial layer thickness, the various embodiments are not limited in this regard. The present disclosure contemplates that the selective Vth modulation can be achieved by way of targeting the devices that call for a thinner epitaxial layer and forming the epitaxial layer accordingly, then, use a selective epitaxial layer formation process to locally and selectively build-up the epitaxial layer thickness for those devices that call for thicker epitaxial layer. In particular embodiments, such a process can involve forming a first, blanket epitaxial layer, as described above. Thereafter, in areas requiring a thicker epitaxial layer (in order to target a lower Vth) at least one second epitaxial layer can be formed, by masking the areas to remain as-is and selectively growing an epitaxial layer in the open areas, to locally increase the thickness of the epitaxial layer to effect a reduced Vth. These selective epitaxial layer formation processes can therefore be used, alternatively or in combination with the selective etching processes described above, to achieve the desired modulation of epitaxial layer thickness and consequent Vth modulation of the various embodiments.
  • Examples
  • The examples shown here are not intended to limit the various embodiments. Rather they are presented solely for illustrative purposes. In particular, the following examples are provided to illustrate results supporting the various concepts described above.
  • The relationship between Vth and epitaxial layer thickness is illustrated in FIG. 5. FIG. 5 is a plot of measured Vth versus target epitaxial layer thickness for the same screen/Vth region doping levels for 65 nm node NMOS DDC devices. As shown in FIG. 5, as epitaxial layer thickness is decreased, Vth is increased. The relationship between epitaxial layer thickness correlates with Vth in that the thicker the epitaxial layer, the lower the Vth. This is illustrated in the NMOS data showing a mid-point Vth shift of approximately 80 mV for a 3 nm change in epi thickness. Selective adjustment of epitaxial layer thickness provides an effective and reliable tool for fine Vth adjustment.
  • A further example of the potential benefit using different epitaxial layer thicknesses for different DDC devices is illustrated in FIG. 6. In particular, FIG. 6 lists different exemplary specifications for NMOS and PMOS DDC devices, specifically, analog 3.3V devices, analog 1.8V devices, and 0.9V logic devices the results of simulating corresponding DDC devices with epitaxial layer thicknesses at 25 nm and 30 nm. First, with respect to the analog 3.3V devices, DDC devices at 25 nm result in Vth values that exceed the exemplary specification. However, by increasing the epitaxial layer thickness to 30 nm (5 nm thicker), the Vth values for such DDC devices are brought down to values at or near the exemplary specification. A similar result is observed with respect to the 1.8V DDC devices. With respect to the 0.9V logic devices, the opposite occurs. That is, devices with a 25 nm epitaxial layer thickness result in Vth values that meet the exemplary specification while devices with the thicker 30 nm epitaxial layer thickness result in devices having a Vth that fails to meet the exemplary specification. Based on the foregoing, one could configure the fabrication process to form a 30 nm epitaxial layer and thereafter apply a 5 nm thinning of epitaxial layer thickness for the 0.9V DDC devices. Such a combination would then result in all of the analog 3.3V devices, analog 1.8V devices, and 0.9V logic devices meeting their respective specification solely with an adjustment of epitaxial layer thickness in the 0.9V logic devices.
  • As noted above, the variation in epitaxial layer thickness can also be used to adjust other device parameters. Examples of the effect of epitaxial layer thickness on such other device parameters is shown in FIGS. 7, 8A, 8B, 9A, and 9B.
  • FIG. 7 is a plot of leakage current (Iboff), simulated and measured, as a function of epitaxial layer thickness. Specifically, results are shown for DDC devices with different screen implant conditions (doses of 2×1013 cm−2 and 4×1013 cm−2). Regardless of dose, the simulated and measured results show that as epitaxial layer is reduced, Iboff is also reduced. Similar results are observed in FIGS. 8A, 8B, 9A, and 9B.
  • FIGS. 8A and 8B are plots of drain-induced barrier lowering (DIBL) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively. Again, results are shown for DDC devices with different screen implant conditions (doses of 2×1013 cm−2 and 4×1013 cm−2). Regardless of dose, the results show that as epitaxial layer is reduced, DIBL is also reduced.
  • FIGS. 9A and 9B are plots of subthreshold swing (SwsS) as a function of epitaxial layer thickness for NMOS and PMOS devices, respectively. These results are also for DDC devices with different screen implant conditions (doses of 2×1013 cm−2 and 4×1013 cm−2). Again, regardless of dose, the results show that as epitaxial layer is reduced, SwsS is also reduced.
  • The foregoing examples illustrate the two significant advantages of the present invention. First, as noted above with respect to FIG. 5 and as shown in FIGS. 6, 7, 8A, 8B, 9A, and 9B there is a strong correlation between epitaxial layer thickness and various device characteristics, including Vth. Specifically, as epitaxial layer thickness for a device is increased, the Vth value for the device is decreased, and vice versa. Other device characteristics show similar relationships. Therefore, a first advantage is that epitaxial layer thinning can be utilized to directly and controllably modify Vth or other device characteristics, with little or no changes to implant processes or any other process steps that significantly affect these device characteristics. Second, the advantage of selective epitaxial layer thinning is clearly illustrated. In particular, FIG. 6 shows that is selective thinning is applied to the epitaxial layer for different types of devices, the Vth for different device types can be tuned while keeping within a dopant concentration range.
  • FIG. 10 shows a schematic diagram of a completed DDC transistor 1000 in accordance with the various embodiments. FIG. 10 shows that the completed DDC transistor 1000 can have a wafer well 1002 that can be implanted with both an antipunchthrough layer 1016 and heavily doped, defined thickness screening layer 1014. An undoped epitaxial layer supports a channel 1010 positioned beneath a gate 1020 and between a source 1022 and drain 1024. An optional intermediate (or offset) threshold voltage set layer 1012 can be out-diffused from the screening layer 1014, or alternatively, formed by in situ or implantation of a grown silicon epitaxial layer on the screening layer 1014. The epitaxial layer can be implanted or grown as a blanket layer across the die, and be selectively doped to have various channel dopant profiles deposited on a wafer over the screening layer.
  • While various embodiments have been described above, it should be understood that they have been presented by way of example only, and not limitation. The breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.
  • Although the embodiments have been illustrated and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In addition, while a particular feature of an embodiment may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, to the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and/or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

Claims (4)

1-20. (canceled)
21. A semiconductor chip, comprising:
a substrate having a semiconducting surface comprising a first layer formed directly on a second layer, the semiconducting surface having formed therein a plurality of active regions extending through the first layer and the second layer, the plurality of active regions comprising at least a first active region and a second active region, the first layer comprising a substantially undoped layer, and the second layer comprising a highly doped screening layer; and
first and second transistors formed in each of the first active region and the second active region, each of the transistors having a first and second gate insulators, respectively,
wherein a thickness of the first layer in the first active region is thinner than a thickness of the first layer in the second active region, and a thickness of the first gate insulator is thinner than a thickness of the second gate insulator.
22. The semiconductor chip of claim 1, wherein a thickness of the second layer in the first active region and a thickness of the second layer in the second active region are substantially the same, and wherein a position of a boundary between the first layer and the second layer for each of the first active region and the second active region is substantially the same throughout the substrate.
23. The semiconductor chip of claim 1, wherein the first layer in the first active region and the first layer in the second active region are each an undoped single epitaxial layer of a single semiconductor material.
US15/172,814 2011-08-23 2016-06-03 CMOS Structures and Processes Based on Selective Thinning Abandoned US20160307907A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/172,814 US20160307907A1 (en) 2011-08-23 2016-06-03 CMOS Structures and Processes Based on Selective Thinning

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161526635P 2011-08-23 2011-08-23
US13/591,767 US8614128B1 (en) 2011-08-23 2012-08-22 CMOS structures and processes based on selective thinning
US201314101691A 2013-12-10 2013-12-10
US14/574,896 US9391076B1 (en) 2011-08-23 2014-12-18 CMOS structures and processes based on selective thinning
US15/172,814 US20160307907A1 (en) 2011-08-23 2016-06-03 CMOS Structures and Processes Based on Selective Thinning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/574,896 Continuation US9391076B1 (en) 2011-08-23 2014-12-18 CMOS structures and processes based on selective thinning

Publications (1)

Publication Number Publication Date
US20160307907A1 true US20160307907A1 (en) 2016-10-20

Family

ID=49770058

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/591,767 Active US8614128B1 (en) 2011-08-23 2012-08-22 CMOS structures and processes based on selective thinning
US14/574,896 Active US9391076B1 (en) 2011-08-23 2014-12-18 CMOS structures and processes based on selective thinning
US15/172,814 Abandoned US20160307907A1 (en) 2011-08-23 2016-06-03 CMOS Structures and Processes Based on Selective Thinning

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/591,767 Active US8614128B1 (en) 2011-08-23 2012-08-22 CMOS structures and processes based on selective thinning
US14/574,896 Active US9391076B1 (en) 2011-08-23 2014-12-18 CMOS structures and processes based on selective thinning

Country Status (1)

Country Link
US (3) US8614128B1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5772068B2 (en) * 2011-03-04 2015-09-02 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US9406567B1 (en) * 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9917018B2 (en) * 2012-12-04 2018-03-13 Synopsys, Inc. Method and apparatus with channel stop doped devices
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
KR102277398B1 (en) 2014-09-17 2021-07-16 삼성전자주식회사 A semiconductor device and method of fabricating the same
US9871113B2 (en) * 2016-03-08 2018-01-16 United Microelectronics Corp. Semiconductor process
US10748934B2 (en) 2018-08-28 2020-08-18 Qualcomm Incorporated Silicon on insulator with multiple semiconductor thicknesses using layer transfer

Family Cites Families (460)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4021835A (en) 1974-01-25 1977-05-03 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
US3958266A (en) 1974-04-19 1976-05-18 Rca Corporation Deep depletion insulated gate field effect transistors
US4000504A (en) 1975-05-12 1976-12-28 Hewlett-Packard Company Deep channel MOS transistor
US4276095A (en) 1977-08-31 1981-06-30 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
US4242691A (en) 1978-09-18 1980-12-30 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
EP0024905B1 (en) 1979-08-25 1985-01-16 Zaidan Hojin Handotai Kenkyu Shinkokai Insulated-gate field-effect transistor
US4315781A (en) 1980-04-23 1982-02-16 Hughes Aircraft Company Method of controlling MOSFET threshold voltage with self-aligned channel stop
JPS56155572A (en) 1980-04-30 1981-12-01 Sanyo Electric Co Ltd Insulated gate field effect type semiconductor device
US4518926A (en) 1982-12-20 1985-05-21 At&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
JPS59193066U (en) 1983-06-08 1984-12-21 三菱電機株式会社 Elevator security TV camera
US4559091A (en) 1984-06-15 1985-12-17 Regents Of The University Of California Method for producing hyperabrupt doping profiles in semiconductors
US5060234A (en) 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
US4578128A (en) 1984-12-03 1986-03-25 Ncr Corporation Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
US4662061A (en) 1985-02-27 1987-05-05 Texas Instruments Incorporated Method for fabricating a CMOS well structure
JPS62128175A (en) 1985-11-29 1987-06-10 Hitachi Ltd Semiconductor device
JPH0770606B2 (en) 1985-11-29 1995-07-31 株式会社日立製作所 Semiconductor device
GB8606748D0 (en) 1986-03-19 1986-04-23 Secr Defence Monitoring surface layer growth
US4780748A (en) 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
DE3765844D1 (en) 1986-06-10 1990-12-06 Siemens Ag METHOD FOR PRODUCING HIGHLY INTEGRATED COMPLEMENTARY MOS FIELD EFFECT TRANSISTOR CIRCUITS.
US5156990A (en) 1986-07-23 1992-10-20 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
DE3789894T2 (en) 1987-01-05 1994-09-08 Seiko Instr Inc MOS field effect transistor and its manufacturing method.
GB2206010A (en) 1987-06-08 1988-12-21 Philips Electronic Associated Differential amplifier and current sensing circuit including such an amplifier
EP0312237A3 (en) 1987-10-13 1989-10-25 AT&T Corp. Interface charge enhancement in delta-doped heterostructure
US5156989A (en) 1988-11-08 1992-10-20 Siliconix, Incorporated Complementary, isolated DMOS IC technology
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4956311A (en) 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
US5208473A (en) 1989-11-29 1993-05-04 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
JP2822547B2 (en) 1990-03-06 1998-11-11 富士通株式会社 High electron mobility transistor
KR920008834A (en) 1990-10-09 1992-05-28 아이자와 스스무 Thin film semiconductor devices
US5166765A (en) 1991-08-26 1992-11-24 At&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
KR940006711B1 (en) 1991-09-12 1994-07-25 포항종합제철 주식회사 Manufacturing method of delta doping quantum fet
JP2851753B2 (en) 1991-10-22 1999-01-27 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JPH05315598A (en) 1992-05-08 1993-11-26 Fujitsu Ltd Semiconductor device
US5422508A (en) 1992-09-21 1995-06-06 Siliconix Incorporated BiCDMOS structure
JPH06151828A (en) 1992-10-30 1994-05-31 Toshiba Corp Semiconductor device and is manufacture
US5298763A (en) 1992-11-02 1994-03-29 Motorola, Inc. Intrinsically doped semiconductor structure and method for making
US5444008A (en) 1993-09-24 1995-08-22 Vlsi Technology, Inc. High-performance punchthrough implant method for MOS/VLSI
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
WO1995022093A1 (en) 1994-02-14 1995-08-17 Philips Electronics N.V. A reference circuit having a controlled temperature dependence
KR0144959B1 (en) 1994-05-17 1998-07-01 김광호 Semiconductor device and manufacturing method
US5622880A (en) 1994-08-18 1997-04-22 Sun Microsystems, Inc. Method of making a low power, high performance junction transistor
US5889315A (en) 1994-08-18 1999-03-30 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
US5818078A (en) 1994-08-29 1998-10-06 Fujitsu Limited Semiconductor device having a regrowth crystal region
US5559368A (en) 1994-08-30 1996-09-24 The Regents Of The University Of California Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH08250728A (en) 1995-03-10 1996-09-27 Sony Corp Field-effect semiconductor device and manufacturing method thereof
US5608253A (en) 1995-03-22 1997-03-04 Advanced Micro Devices Inc. Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
US5744372A (en) 1995-04-12 1998-04-28 National Semiconductor Corporation Fabrication of complementary field-effect transistors each having multi-part channel
US5552332A (en) 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
US5663583A (en) 1995-06-06 1997-09-02 Hughes Aircraft Company Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
JP3462301B2 (en) 1995-06-16 2003-11-05 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5624863A (en) 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
KR0172793B1 (en) 1995-08-07 1999-02-01 김주용 Method of manufacturing semiconductor device
JPH0973784A (en) 1995-09-07 1997-03-18 Nec Corp Semiconductor device and its control circuit therefor
US6127700A (en) 1995-09-12 2000-10-03 National Semiconductor Corporation Field-effect transistor having local threshold-adjust doping
US5712501A (en) 1995-10-10 1998-01-27 Motorola, Inc. Graded-channel semiconductor device
US5753555A (en) 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
WO1997023000A1 (en) 1995-12-15 1997-06-26 Philips Electronics N.V. SEMICONDUCTOR FIELD EFFECT DEVICE COMPRISING A SiGe LAYER
US5698884A (en) 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JPH09270466A (en) 1996-04-01 1997-10-14 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JPH1022462A (en) 1996-06-28 1998-01-23 Sharp Corp Semiconductor device and manufacture thereof
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5736419A (en) 1996-11-12 1998-04-07 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
JPH10163342A (en) 1996-12-04 1998-06-19 Sharp Corp Semiconductor device
JPH10223853A (en) 1997-02-04 1998-08-21 Mitsubishi Electric Corp Semiconductor device
US5918129A (en) 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of channel doping using diffusion from implanted polysilicon
JPH10242153A (en) 1997-02-26 1998-09-11 Hitachi Ltd Semiconductor wafer, manufacture thereof, semiconductor device and manufacture thereof
US5936868A (en) 1997-03-06 1999-08-10 Harris Corporation Method for converting an integrated circuit design for an upgraded process
JPH10270687A (en) 1997-03-27 1998-10-09 Mitsubishi Electric Corp Field-effect transistor and manufacture thereof
US5923067A (en) 1997-04-04 1999-07-13 International Business Machines Corporation 3-D CMOS-on-SOI ESD structure and method
US6060345A (en) 1997-04-21 2000-05-09 Advanced Micro Devices, Inc. Method of making NMOS and PMOS devices with reduced masking steps
US6218895B1 (en) 1997-06-20 2001-04-17 Intel Corporation Multiple well transistor circuits having forward body bias
US6218892B1 (en) 1997-06-20 2001-04-17 Intel Corporation Differential circuits employing forward body bias
US6194259B1 (en) 1997-06-27 2001-02-27 Advanced Micro Devices, Inc. Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
US5923987A (en) 1997-06-30 1999-07-13 Sun Microsystems, Inc. Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
US6723621B1 (en) 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US5946214A (en) 1997-07-11 1999-08-31 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
US5989963A (en) 1997-07-21 1999-11-23 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
JP3544833B2 (en) 1997-09-18 2004-07-21 株式会社東芝 Semiconductor device and manufacturing method thereof
FR2769132B1 (en) 1997-09-29 2003-07-11 Sgs Thomson Microelectronics IMPROVING THE ISOLATION BETWEEN POWER SUPPLY POWERS OF AN ANALOG-DIGITAL CIRCUIT
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
JPH11163458A (en) 1997-11-26 1999-06-18 Mitsui Chem Inc Semiconductor laser device
US6426260B1 (en) 1997-12-02 2002-07-30 Magepower Semiconductor Corp. Switching speed improvement in DMO by implanting lightly doped region under gate
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100339409B1 (en) 1998-01-14 2002-09-18 주식회사 하이닉스반도체 semiconductor device and method for fabricating the same
US6088518A (en) 1998-01-30 2000-07-11 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
US6001695A (en) 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6096611A (en) 1998-03-13 2000-08-01 Texas Instruments - Acer Incorporated Method to fabricate dual threshold CMOS circuits
KR100265227B1 (en) 1998-06-05 2000-09-15 김영환 Method for fabricating cmos transistor
US6072217A (en) 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US6492232B1 (en) 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6262461B1 (en) 1998-06-22 2001-07-17 Motorola, Inc. Method and apparatus for creating a voltage threshold in a FET
US5985705A (en) 1998-06-30 1999-11-16 Lsi Logic Corporation Low threshold voltage MOS transistor and method of manufacture
KR100292818B1 (en) 1998-07-02 2001-11-05 윤종용 MOS transistor manufacturing method
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6143593A (en) 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6084271A (en) 1998-11-06 2000-07-04 Advanced Micro Devices, Inc. Transistor with local insulator structure
US6380019B1 (en) 1998-11-06 2002-04-30 Advanced Micro Devices, Inc. Method of manufacturing a transistor with local insulator structure
US6221724B1 (en) 1998-11-06 2001-04-24 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having punch-through suppression
US6184112B1 (en) 1998-12-02 2001-02-06 Advanced Micro Devices, Inc. Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
US6214654B1 (en) 1999-01-27 2001-04-10 Advanced Micro Devices, Inc. Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6245618B1 (en) 1999-02-03 2001-06-12 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
JP2000243958A (en) 1999-02-24 2000-09-08 Toshiba Corp Semiconductor device and manufacture thereof
US6060364A (en) 1999-03-02 2000-05-09 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
US7145167B1 (en) 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US6238982B1 (en) 1999-04-13 2001-05-29 Advanced Micro Devices Multiple threshold voltage semiconductor device fabrication technology
US6928128B1 (en) 1999-05-03 2005-08-09 Rambus Inc. Clock alignment circuit having a self regulating voltage supply
US6232164B1 (en) 1999-05-24 2001-05-15 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6235597B1 (en) 1999-08-06 2001-05-22 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
US6271547B1 (en) 1999-08-06 2001-08-07 Raytheon Company Double recessed transistor with resistive layer
US6268640B1 (en) 1999-08-12 2001-07-31 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
US6444550B1 (en) 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6426279B1 (en) 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
US6503801B1 (en) 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
DE19940362A1 (en) 1999-08-25 2001-04-12 Infineon Technologies Ag Metal oxide semiconductor transistor comprises a sink doped with a first conductivity type in semiconductor substrate, an epitaxial layer and source/drain regions of a second conductivity type and channel region arranged in epitaxial layer
US6162693A (en) 1999-09-02 2000-12-19 Micron Technology, Inc. Channel implant through gate polysilicon
US7091093B1 (en) 1999-09-17 2006-08-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a pocket dopant diffused layer
US6506640B1 (en) 1999-09-24 2003-01-14 Advanced Micro Devices, Inc. Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
JP3371871B2 (en) 1999-11-16 2003-01-27 日本電気株式会社 Method for manufacturing semiconductor device
US6313489B1 (en) 1999-11-16 2001-11-06 Philips Electronics North America Corporation Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US7638380B2 (en) 2000-01-05 2009-12-29 Agere Systems Inc. Method for manufacturing a laterally diffused metal oxide semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6297132B1 (en) 2000-02-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
US6797994B1 (en) 2000-02-14 2004-09-28 Raytheon Company Double recessed transistor
US7015546B2 (en) 2000-02-23 2006-03-21 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
US6326666B1 (en) 2000-03-23 2001-12-04 International Business Machines Corporation DTCMOS circuit having improved speed
US6548842B1 (en) 2000-03-31 2003-04-15 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6461928B2 (en) 2000-05-23 2002-10-08 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
JP2001352057A (en) 2000-06-09 2001-12-21 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
WO2002001641A1 (en) 2000-06-27 2002-01-03 Matsushita Electric Industrial Co., Ltd. Semiconductor device
DE10034942B4 (en) 2000-07-12 2004-08-05 Infineon Technologies Ag Method for producing a semiconductor substrate with buried doping
US6624488B1 (en) 2000-08-07 2003-09-23 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
US6503783B1 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6391752B1 (en) 2000-09-12 2002-05-21 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6617217B2 (en) 2000-10-10 2003-09-09 Texas Instruments Incorpated Reduction in well implant channeling and resulting latchup characteristics in shallow trench isolation by implanting wells through nitride
US6448590B1 (en) 2000-10-24 2002-09-10 International Business Machines Corporation Multiple threshold voltage FET using multiple work-function gate materials
DE10061191A1 (en) 2000-12-08 2002-06-13 Ihp Gmbh Layers in substrate slices
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
WO2002061842A1 (en) 2001-01-31 2002-08-08 Matsushita Electric Industrial Co., Ltd. Semiconductor crystal film and method for preparation thereof
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6797602B1 (en) 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
JP4866534B2 (en) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド Improved deposition method for semiconductor films.
US6821852B2 (en) 2001-02-13 2004-11-23 Micron Technology, Inc. Dual doped gates
KR100393216B1 (en) 2001-02-19 2003-07-31 삼성전자주식회사 Method of fabricating Metal Oxide Semiconductor transistor with Lightly Doped Drain structure
US6432754B1 (en) 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6534373B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. MOS transistor with reduced floating body effect
JP3940565B2 (en) 2001-03-29 2007-07-04 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2002299454A (en) 2001-04-02 2002-10-11 Toshiba Corp Method and apparatus for designing logic circuit, and method for mapping logic circuit
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6620671B1 (en) 2001-05-01 2003-09-16 Advanced Micro Devices, Inc. Method of fabricating transistor having a single crystalline gate conductor
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6586817B1 (en) 2001-05-18 2003-07-01 Sun Microsystems, Inc. Device including a resistive path to introduce an equivalent RC circuit
US6489224B1 (en) 2001-05-31 2002-12-03 Sun Microsystems, Inc. Method for engineering the threshold voltage of a device using buried wells
US6822297B2 (en) 2001-06-07 2004-11-23 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US6500739B1 (en) 2001-06-14 2002-12-31 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
JP4035354B2 (en) 2001-07-11 2008-01-23 富士通株式会社 Electronic circuit design method and apparatus, computer program, and storage medium
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
JP4381807B2 (en) 2001-09-14 2009-12-09 パナソニック株式会社 Semiconductor device
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US20050250289A1 (en) 2002-10-30 2005-11-10 Babcock Jeffrey A Control of dopant diffusion from buried layers in bipolar integrated circuits
US6521470B1 (en) 2001-10-31 2003-02-18 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6760900B2 (en) 2001-12-03 2004-07-06 Anadigics Inc. Integrated circuits with scalable design
ITTO20011129A1 (en) 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi METHOD FOR THE SUPPRESSION OF THE ABNORMAL TRANSFER OF SILICON DROGANTS.
US6849528B2 (en) 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
US7013359B1 (en) 2001-12-21 2006-03-14 Cypress Semiconductor Corporation High speed memory interface system and method
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US20030141033A1 (en) 2002-01-31 2003-07-31 Tht Presses Inc. Semi-solid molding method
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
JP4597531B2 (en) 2002-03-28 2010-12-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Semiconductor device with retrograde dopant distribution in channel region and method for manufacturing such semiconductor device
DE10214066B4 (en) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a retrograde doping profile in a channel region and method of making the same
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6957163B2 (en) 2002-04-24 2005-10-18 Yoshiyuki Ando Integrated circuits having post-silicon adjustment control
KR100410574B1 (en) 2002-05-18 2003-12-18 주식회사 하이닉스반도체 Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by decaborane doping
KR100414736B1 (en) 2002-05-20 2004-01-13 주식회사 하이닉스반도체 A method for forming a transistor of a semiconductor device
US6893947B2 (en) 2002-06-25 2005-05-17 Freescale Semiconductor, Inc. Advanced RF enhancement-mode FETs with improved gate properties
US6849492B2 (en) 2002-07-08 2005-02-01 Micron Technology, Inc. Method for forming standard voltage threshold and low voltage threshold MOSFET devices
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US6743291B2 (en) 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
JP4463482B2 (en) 2002-07-11 2010-05-19 パナソニック株式会社 MISFET and manufacturing method thereof
US6869854B2 (en) 2002-07-18 2005-03-22 International Business Machines Corporation Diffused extrinsic base and method for fabrication
JP4020730B2 (en) 2002-08-26 2007-12-12 シャープ株式会社 Semiconductor device and manufacturing method thereof
KR100464935B1 (en) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by Boron-fluoride compound doping
JP2004119513A (en) 2002-09-24 2004-04-15 Toshiba Corp Semiconductor device and its manufacturing method
US7226843B2 (en) 2002-09-30 2007-06-05 Intel Corporation Indium-boron dual halo MOSFET
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
DE10251308B4 (en) 2002-11-04 2007-01-18 Advanced Micro Devices, Inc., Sunnyvale Integrated switched capacitor circuit and method
US6660605B1 (en) 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP3769262B2 (en) 2002-12-20 2006-04-19 株式会社東芝 Wafer flatness evaluation method, wafer flatness evaluation apparatus for executing the evaluation method, wafer manufacturing method using the evaluation method, wafer quality assurance method using the evaluation method, and semiconductor device manufacturing using the evaluation method And method for manufacturing semiconductor device using wafer evaluated by the evaluation method
KR100486609B1 (en) 2002-12-30 2005-05-03 주식회사 하이닉스반도체 Method for fabricating pMOSFET having Ultra Shallow Super-Steep-Retrograde epi-channel formed by Multiple channel doping
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
EP1579352A2 (en) 2003-01-02 2005-09-28 PDF Solutions, Inc. Yield improvement
US6963090B2 (en) 2003-01-09 2005-11-08 Freescale Semiconductor, Inc. Enhancement mode metal-oxide-semiconductor field effect transistor
KR100499159B1 (en) 2003-02-28 2005-07-01 삼성전자주식회사 Semiconductor device having a recessed channel and method of manufacturing the same
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
KR100989006B1 (en) 2003-03-13 2010-10-20 크로스텍 캐피탈, 엘엘씨 Method of manufacturing cmos image sensor
ATE514190T1 (en) 2003-03-19 2011-07-15 Infineon Technologies Ag SEMICONDUCTOR STRUCTURE WITH HIGHLY DOPED CHANNEL LINE AREA AND METHOD FOR PRODUCING A SEMICONDUCTOR STRUCTURE
JP2006524429A (en) 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for epitaxial growth of N-doped silicon layers
SE0300924D0 (en) 2003-03-28 2003-03-28 Infineon Technologies Wireless A method to provide a triple well in an epitaxially based CMOS or BiCMOS process
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
WO2004093192A1 (en) 2003-04-10 2004-10-28 Fujitsu Limited Semiconductor device and its manufacturing method
JP4469139B2 (en) 2003-04-28 2010-05-26 シャープ株式会社 Compound semiconductor FET
US7176137B2 (en) 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
WO2004112145A1 (en) 2003-06-10 2004-12-23 Fujitsu Limited Semiconductor integrated circuit device having improved punch-through resistance and its manufacturing method, and semiconductor integrated circuit device including low-voltage transistor and high-voltage transistor
US6808994B1 (en) 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US7260562B2 (en) 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US7036098B2 (en) 2003-06-30 2006-04-25 Sun Microsystems, Inc. On-chip signal state duration measurement and adjustment
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
EP1647046A2 (en) 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7521323B2 (en) 2003-09-03 2009-04-21 Nxp B.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
JP4186774B2 (en) 2003-09-25 2008-11-26 沖電気工業株式会社 Information extraction apparatus, information extraction method, and program
US7127687B1 (en) 2003-10-14 2006-10-24 Sun Microsystems, Inc. Method and apparatus for determining transistor sizes
US7109099B2 (en) 2003-10-17 2006-09-19 Chartered Semiconductor Manufacturing Ltd. End of range (EOR) secondary defect engineering using substitutional carbon doping
US7274076B2 (en) 2003-10-20 2007-09-25 Micron Technology, Inc. Threshold voltage adjustment for long channel transistors
US7141468B2 (en) 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
JP2005142431A (en) * 2003-11-07 2005-06-02 Toshiba Corp Semiconductor device and its manufacturing method
US7132323B2 (en) 2003-11-14 2006-11-07 International Business Machines Corporation CMOS well structure and method of forming the same
US6927137B2 (en) 2003-12-01 2005-08-09 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
JP2007515066A (en) 2003-12-18 2007-06-07 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Semiconductor substrate with reduced junction leakage using solid phase epitaxial regrowth and method for producing the same
US7045456B2 (en) 2003-12-22 2006-05-16 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
US7111185B2 (en) 2003-12-23 2006-09-19 Micron Technology, Inc. Synchronization device with delay line control circuit to control amount of delay added to input signal and tuning elements to receive signal form delay circuit
US7015741B2 (en) 2003-12-23 2006-03-21 Intel Corporation Adaptive body bias for clock skew compensation
DE10360874B4 (en) 2003-12-23 2009-06-04 Infineon Technologies Ag Field effect transistor with hetero-layer structure and associated production method
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
KR100597460B1 (en) 2003-12-31 2006-07-05 동부일렉트로닉스 주식회사 Transistor of semiconductor device and fabricating method thereof
US6917237B1 (en) 2004-03-02 2005-07-12 Intel Corporation Temperature dependent regulation of threshold voltage
US7089515B2 (en) 2004-03-09 2006-08-08 International Business Machines Corporation Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
US7176530B1 (en) 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7089513B2 (en) 2004-03-19 2006-08-08 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4795653B2 (en) 2004-06-15 2011-10-19 ルネサスエレクトロニクス株式会社 Semiconductor memory device
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7221021B2 (en) 2004-06-25 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7462908B2 (en) 2004-07-14 2008-12-09 International Rectifier Corporation Dynamic deep depletion field effect transistor
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7119381B2 (en) 2004-07-30 2006-10-10 Freescale Semiconductor, Inc. Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US7002214B1 (en) 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
DE102004037087A1 (en) 2004-07-30 2006-03-23 Advanced Micro Devices, Inc., Sunnyvale Self-biasing transistor structure and SRAM cells with fewer than six transistors
US7071103B2 (en) 2004-07-30 2006-07-04 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
JP4469677B2 (en) 2004-08-04 2010-05-26 パナソニック株式会社 Semiconductor device and manufacturing method thereof
JP4664631B2 (en) 2004-08-05 2011-04-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US7189627B2 (en) 2004-08-19 2007-03-13 Texas Instruments Incorporated Method to improve SRAM performance and stability
US20060049464A1 (en) 2004-09-03 2006-03-09 Rao G R Mohan Semiconductor devices with graded dopant regions
US8106481B2 (en) 2004-09-03 2012-01-31 Rao G R Mohan Semiconductor devices with graded dopant regions
WO2006137867A1 (en) 2004-09-17 2006-12-28 California Institute Of Technology Fabrication method for back-illuminated cmos or ccd imagers made from soi wafer
JP4540438B2 (en) 2004-09-27 2010-09-08 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7095094B2 (en) 2004-09-29 2006-08-22 Agere Systems Inc. Multiple doping level bipolar junctions transistors and method for forming
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
KR100652381B1 (en) 2004-10-28 2006-12-01 삼성전자주식회사 Multi bridge channel field effect transistor comprising nano-wire channels and method of manufacturing the same
US7226833B2 (en) 2004-10-29 2007-06-05 Freescale Semiconductor, Inc. Semiconductor device structure and method therefor
DE102004053761A1 (en) 2004-11-08 2006-05-18 Robert Bosch Gmbh Semiconductor device and method for its production
US7402872B2 (en) 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7105399B1 (en) 2004-12-07 2006-09-12 Advanced Micro Devices, Inc. Selective epitaxial growth for tunable channel thickness
KR100642407B1 (en) 2004-12-29 2006-11-08 주식회사 하이닉스반도체 Method for manufacturing cell transistor in the memory
KR100613294B1 (en) 2004-12-30 2006-08-21 동부일렉트로닉스 주식회사 MOSFET improving the short channel effect and method of fabricating the same
US20060154428A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Increasing doping of well compensating dopant region according to increasing gate length
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060166417A1 (en) 2005-01-27 2006-07-27 International Business Machines Corporation Transistor having high mobility channel and methods
US7531436B2 (en) 2005-02-14 2009-05-12 Texas Instruments Incorporated Highly conductive shallow junction formation
US7404114B2 (en) 2005-02-15 2008-07-22 International Business Machines Corporation System and method for balancing delay of signal communication paths through well voltage adjustment
US20060203581A1 (en) 2005-03-10 2006-09-14 Joshi Rajiv V Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
JP4493536B2 (en) 2005-03-30 2010-06-30 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7338817B2 (en) 2005-03-31 2008-03-04 Intel Corporation Body bias compensation for aged transistors
US7170120B2 (en) 2005-03-31 2007-01-30 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
US7271079B2 (en) 2005-04-06 2007-09-18 International Business Machines Corporation Method of doping a gate electrode of a field effect transistor
US7605429B2 (en) 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US20060273379A1 (en) 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
US7354833B2 (en) 2005-06-10 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
US20070040222A1 (en) 2005-06-15 2007-02-22 Benjamin Van Camp Method and apparatus for improved ESD performance
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
JP4800700B2 (en) 2005-08-01 2011-10-26 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor integrated circuit using the same
US7409651B2 (en) 2005-08-05 2008-08-05 International Business Machines Corporation Automated migration of analog and mixed-signal VLSI design
US7314794B2 (en) 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7307471B2 (en) 2005-08-26 2007-12-11 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
US7838369B2 (en) 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
JP2007073578A (en) 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2007103863A (en) 2005-10-07 2007-04-19 Nec Electronics Corp Semiconductor device
US7569873B2 (en) 2005-10-28 2009-08-04 Dsm Solutions, Inc. Integrated circuit using complementary junction field effect transistor and MOS transistor in silicon and silicon alloys
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
JP4256381B2 (en) 2005-11-09 2009-04-22 株式会社東芝 Semiconductor device
US8255843B2 (en) 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7759206B2 (en) 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
KR101455564B1 (en) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
KR100657130B1 (en) 2005-12-27 2006-12-13 동부일렉트로닉스 주식회사 Semiconductor device and fabrication method thereof
US7633134B2 (en) 2005-12-29 2009-12-15 Jaroslav Hynecek Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
JP5145691B2 (en) 2006-02-23 2013-02-20 セイコーエプソン株式会社 Semiconductor device
US20070212861A1 (en) 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
US7380225B2 (en) 2006-03-14 2008-05-27 International Business Machines Corporation Method and computer program for efficient cell failure rate estimation in cell arrays
JP5283827B2 (en) 2006-03-30 2013-09-04 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7351637B2 (en) 2006-04-10 2008-04-01 General Electric Company Semiconductor transistors having reduced channel widths and methods of fabricating same
US7681628B2 (en) 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US7348629B2 (en) 2006-04-20 2008-03-25 International Business Machines Corporation Metal gated ultra short MOSFET devices
US20070257315A1 (en) 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100703986B1 (en) 2006-05-22 2007-04-09 삼성전자주식회사 Semiconductor device having analog transistor with improved both operation and flicker noise characteristics and fabrication method thereof
US20090321849A1 (en) 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7384835B2 (en) 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
JP5073968B2 (en) 2006-05-31 2012-11-14 住友化学株式会社 Compound semiconductor epitaxial substrate and manufacturing method thereof
US7503020B2 (en) 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7469164B2 (en) 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US7538412B2 (en) 2006-06-30 2009-05-26 Infineon Technologies Austria Ag Semiconductor device with a field stop zone
GB0613289D0 (en) 2006-07-04 2006-08-16 Imagination Tech Ltd Synchronisation of execution threads on a multi-threaded processor
TWI379347B (en) 2006-07-31 2012-12-11 Applied Materials Inc Methods of forming carbon-containing silicon epitaxial layers
US7496862B2 (en) 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
US8063466B2 (en) 2006-09-07 2011-11-22 Sumco Corporation Semiconductor substrate for solid-state image sensing device as well as solid-state image sensing device and method for producing the same
US20080067589A1 (en) 2006-09-20 2008-03-20 Akira Ito Transistor having reduced channel dopant fluctuation
JP2008085253A (en) 2006-09-29 2008-04-10 Oki Electric Ind Co Ltd Semiconductor device manufacturing method
US7683442B1 (en) 2006-09-29 2010-03-23 Burr James B Raised source/drain with super steep retrograde channel
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7750374B2 (en) 2006-11-14 2010-07-06 Freescale Semiconductor, Inc Process for forming an electronic device including a transistor having a metal gate electrode
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7821066B2 (en) 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US7897495B2 (en) 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
KR100819562B1 (en) 2007-01-15 2008-04-08 삼성전자주식회사 Semiconductor device having retrograde region and method of fabricating the same
US20080169516A1 (en) 2007-01-17 2008-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices for alleviating well proximity effects
KR100862113B1 (en) 2007-01-22 2008-10-09 삼성전자주식회사 Device and method for controlling supply voltage/frequency using information of process variation
US7644377B1 (en) 2007-01-31 2010-01-05 Hewlett-Packard Development Company, L.P. Generating a configuration of a system that satisfies constraints contained in models
KR100836767B1 (en) 2007-02-05 2008-06-10 삼성전자주식회사 Semiconductor device including mos transistor controling high voltage and method of forming the same
KR101312259B1 (en) 2007-02-09 2013-09-25 삼성전자주식회사 Thin film transistor and method for forming the same
US7781288B2 (en) 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7818702B2 (en) 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7831873B1 (en) 2007-03-07 2010-11-09 Xilinx, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
US7602017B2 (en) 2007-03-13 2009-10-13 Fairchild Semiconductor Corporation Short channel LV, MV, and HV CMOS devices
US7598142B2 (en) 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008235568A (en) 2007-03-20 2008-10-02 Toshiba Corp Semiconductor device and its manufacturing method
US8394687B2 (en) 2007-03-30 2013-03-12 Intel Corporation Ultra-abrupt semiconductor junction profile
US7496867B2 (en) 2007-04-02 2009-02-24 Lsi Corporation Cell library management for power optimization
US7737472B2 (en) 2007-04-05 2010-06-15 Panasonic Corporation Semiconductor integrated circuit device
CN101030602B (en) 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 MOS transistor for decreasing short channel and its production
US7692220B2 (en) 2007-05-01 2010-04-06 Suvolta, Inc. Semiconductor device storage cell structure, method of operation, and method of manufacture
US7586322B1 (en) 2007-05-02 2009-09-08 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
US20080272409A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc.; JFET Having a Step Channel Doping Profile and Method of Fabrication
US20080315206A1 (en) 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7759714B2 (en) 2007-06-26 2010-07-20 Hitachi, Ltd. Semiconductor device
CN101720463B (en) 2007-06-28 2012-09-26 萨格昂泰克以色列有限公司 Semiconductor layout modification method based on design rule and user constraints
US7651920B2 (en) 2007-06-29 2010-01-26 Infineon Technologies Ag Noise reduction in semiconductor device using counter-doping
KR100934789B1 (en) 2007-08-29 2009-12-31 주식회사 동부하이텍 Semiconductor device and manufacturing method thereof
US7895546B2 (en) 2007-09-04 2011-02-22 Lsi Corporation Statistical design closure
JP2009064860A (en) 2007-09-05 2009-03-26 Renesas Technology Corp Semiconductor device
US7795677B2 (en) 2007-09-05 2010-09-14 International Business Machines Corporation Nanowire field-effect transistors
JP5242103B2 (en) 2007-09-07 2013-07-24 ルネサスエレクトロニクス株式会社 Layout method of semiconductor integrated circuit
US7675317B2 (en) 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US7926018B2 (en) 2007-09-25 2011-04-12 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
US8053340B2 (en) 2007-09-27 2011-11-08 National University Of Singapore Method for fabricating semiconductor devices with reduced junction diffusion
US7704844B2 (en) 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US8329564B2 (en) 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
DE102007052220B4 (en) 2007-10-31 2015-04-09 Globalfoundries Inc. A dopant profile adjustment method for MOS devices by adjusting a spacer width prior to implantation
JP5528667B2 (en) 2007-11-28 2014-06-25 ルネサスエレクトロニクス株式会社 Semiconductor device and method for controlling semiconductor device
US7994573B2 (en) 2007-12-14 2011-08-09 Fairchild Semiconductor Corporation Structure and method for forming power devices with carbon-containing region
US7745270B2 (en) 2007-12-28 2010-06-29 Intel Corporation Tri-gate patterning using dual layer gate stack
US7622341B2 (en) 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
DE102008006961A1 (en) 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale A method of creating a deformed channel region in a transistor by deep implantation of a strain inducing species under the channel region
WO2009102684A2 (en) 2008-02-14 2009-08-20 Maxpower Semiconductor Inc. Semiconductor device structures and related processes
FR2928028B1 (en) 2008-02-27 2011-07-15 St Microelectronics Crolles 2 METHOD FOR MANUFACTURING A BENT GRID SEMICONDUCTOR DEVICE AND CORRESPONDING INTEGRATED CIRCUIT
US7867835B2 (en) 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7750682B2 (en) 2008-03-10 2010-07-06 International Business Machines Corporation CMOS back-gated keeper technique
US7968440B2 (en) 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
KR101502033B1 (en) 2008-04-11 2015-03-12 삼성전자주식회사 Current control circuit and method for ADC
EP2112686B1 (en) 2008-04-22 2011-10-12 Imec Method for fabricating a dual workfunction semiconductor device made thereof
JP2009267159A (en) 2008-04-25 2009-11-12 Sumco Techxiv株式会社 Device and method for manufacturing semiconductor wafer
JP5173582B2 (en) * 2008-05-19 2013-04-03 株式会社東芝 Semiconductor device
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
DE102008026213B3 (en) 2008-05-30 2009-09-24 Advanced Micro Devices, Inc., Sunnyvale Transistor e.g. n-channel metal oxide semiconductor transistor, manufacturing method, involves forming non-electrode material at side wall that is turned towards drain side of transistor
FR2932609B1 (en) 2008-06-11 2010-12-24 Commissariat Energie Atomique SOI TRANSISTOR WITH SELF-ALIGNED MASS PLAN AND GRID AND VARIABLE THICKNESS OXIDE
US8471307B2 (en) 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US20100012988A1 (en) 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
DE102008045037B4 (en) 2008-08-29 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Static RAM cell structure and multiple contact scheme for connecting dual-channel transistors
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
JP2012503886A (en) 2008-09-25 2012-02-09 アプライド マテリアルズ インコーポレイテッド Defect-free junction formation using octadecaborane self-amorphizing implant species
US20100100856A1 (en) 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
JP5519140B2 (en) 2008-10-28 2014-06-11 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8103983B2 (en) 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8170857B2 (en) 2008-11-26 2012-05-01 International Business Machines Corporation In-situ design method and system for improved memory yield
DE102008059501B4 (en) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technique for improving the dopant profile and channel conductivity by millisecond annealing processes
FR2939963B1 (en) 2008-12-11 2011-08-05 St Microelectronics Grenoble METHOD FOR MANUFACTURING SEMICONDUCTOR COMPONENT CARRIER, SUPPORT AND SEMICONDUCTOR DEVICE
US20100148153A1 (en) 2008-12-16 2010-06-17 Hudait Mantu K Group III-V devices with delta-doped layer under channel region
US8252649B2 (en) * 2008-12-22 2012-08-28 Infineon Technologies Ag Methods of fabricating semiconductor devices and structures thereof
US7960238B2 (en) 2008-12-29 2011-06-14 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
DE102008063427B4 (en) 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. A method of selectively fabricating a transistor having an embedded strain inducing material having a gradually shaped configuration
JP5350815B2 (en) 2009-01-22 2013-11-27 株式会社東芝 Semiconductor device
US7829402B2 (en) 2009-02-10 2010-11-09 General Electric Company MOSFET devices and methods of making
US20100207182A1 (en) 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US8048791B2 (en) 2009-02-23 2011-11-01 Globalfoundries Inc. Method of forming a semiconductor device
US8163619B2 (en) 2009-03-27 2012-04-24 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
US8214190B2 (en) 2009-04-13 2012-07-03 International Business Machines Corporation Methodology for correlated memory fail estimations
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
JP2010258264A (en) 2009-04-27 2010-11-11 Toshiba Corp Semiconductor integrated circuit device and method of designing the same
US8183107B2 (en) 2009-05-27 2012-05-22 Globalfoundries Inc. Semiconductor devices with improved local matching and end resistance of RX based resistors
US8173499B2 (en) 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8227307B2 (en) 2009-06-24 2012-07-24 International Business Machines Corporation Method for removing threshold voltage adjusting layer with external acid diffusion process
US8236661B2 (en) 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
CN102034865B (en) 2009-09-30 2012-07-04 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
EP2309544B1 (en) 2009-10-06 2019-06-12 IMEC vzw Tunnel field effect transistor with improved subthreshold swing
US8552795B2 (en) 2009-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bias control circuit for system on chip
WO2011062788A1 (en) 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
TWI404209B (en) 2009-12-31 2013-08-01 Univ Nat Chiao Tung High electron mobility transistor and method for fabricating the same
US8343818B2 (en) 2010-01-14 2013-01-01 International Business Machines Corporation Method for forming retrograded well for MOSFET
US8697521B2 (en) 2010-01-21 2014-04-15 International Business Machines Corporation Structure and method for making low leakage and low mismatch NMOSFET
US8048810B2 (en) 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8288798B2 (en) 2010-02-10 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
US20110212590A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8385147B2 (en) 2010-03-30 2013-02-26 Silicon Storage Technology, Inc. Systems and methods of non-volatile memory sensing including selective/differential threshold voltage features
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8176461B1 (en) 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8201122B2 (en) 2010-05-25 2012-06-12 International Business Machines Corporation Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
JP5614877B2 (en) 2010-05-28 2014-10-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8361872B2 (en) 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP2012060016A (en) 2010-09-10 2012-03-22 Renesas Electronics Corp Evaluation method of semiconductor device, evaluation device, and simulation method
US8450169B2 (en) 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8466473B2 (en) * 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8656339B2 (en) 2010-12-22 2014-02-18 Advanced Micro Devices, Inc. Method for analyzing sensitivity and failure probability of a circuit
US8299562B2 (en) 2011-03-28 2012-10-30 Nanya Technology Corporation Isolation structure and device structure including the same
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure

Also Published As

Publication number Publication date
US9391076B1 (en) 2016-07-12
US8614128B1 (en) 2013-12-24

Similar Documents

Publication Publication Date Title
US9391076B1 (en) CMOS structures and processes based on selective thinning
US9640636B1 (en) Methods of forming replacement gate structures and bottom and top source/drain regions on a vertical transistor device
TWI550863B (en) Advanced transistors with threshold voltage set dopant structures
US9041126B2 (en) Deeply depleted MOS transistors having a screening layer and methods thereof
US8093634B2 (en) In situ formed drain and source regions in a silicon/germanium containing transistor device
US7569437B2 (en) Formation of transistor having a strained channel region including a performance enhancing material composition utilizing a mask pattern
US8241973B2 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
CN105070716A (en) Advanced transistors with punch through suppression
JP2013058740A (en) Replacement source/drain finfet fabrication
US10325913B2 (en) Method, apparatus, and system having super steep retrograde well with engineered dopant profiles
US20140138780A1 (en) Finfet having uniform doping profile and method of forming the same
US20120223389A1 (en) Semiconductor structure with improved channel stack and method for fabrication thereof
US20180083121A1 (en) Methods of forming bottom and top source/drain regions on a vertical transistor device
US8877619B1 (en) Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093526B2 (en) Methods of forming a sidewall spacer having a generally triangular shape and a semiconductor device having such a spacer
US8664068B2 (en) Low-diffusion drain and source regions in CMOS transistors for low power/high performance applications
US9905673B2 (en) Stress memorization and defect suppression techniques for NMOS transistor devices
US20120161210A1 (en) Embedding Metal Silicide Contact Regions Reliably Into Highly Doped Drain and Source Regions by a Stop Implantation
US9093554B2 (en) Methods of forming semiconductor devices with embedded semiconductor material as source/drain regions using a reduced number of spacers
US9455335B2 (en) Techniques for ion implantation of non-planar field effect transistors
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
US7829405B2 (en) Lateral bipolar transistor with compensated well regions
US8999861B1 (en) Semiconductor structure with substitutional boron and method for fabrication thereof
US9219013B2 (en) Technique for manufacturing semiconductor devices comprising transistors with different threshold voltages
US9406567B1 (en) Method for fabricating multiple transistor devices on a substrate with varying threshold voltages

Legal Events

Date Code Title Description
AS Assignment

Owner name: MIE FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SUVOLTA, INC.;REEL/FRAME:038842/0413

Effective date: 20150227

Owner name: SUVOLTA, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:THOMPSON, SCOTT E.;HOFFMANN, THOMAS;SCUDDER, LANCE;AND OTHERS;SIGNING DATES FROM 20120826 TO 20121016;REEL/FRAME:038842/0155

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION