US20160307871A1 - Fan-out POP Structure with Inconsecutive Polymer Layer - Google Patents

Fan-out POP Structure with Inconsecutive Polymer Layer Download PDF

Info

Publication number
US20160307871A1
US20160307871A1 US14/690,061 US201514690061A US2016307871A1 US 20160307871 A1 US20160307871 A1 US 20160307871A1 US 201514690061 A US201514690061 A US 201514690061A US 2016307871 A1 US2016307871 A1 US 2016307871A1
Authority
US
United States
Prior art keywords
layer
opening
device die
forming
polymer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/690,061
Other versions
US9461018B1 (en
Inventor
Yi-Lin Tsai
Jeffrey Chang
Jing-Cheng Lin
Nai-Wei LIU
Tsei-Chung Fu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/690,061 priority Critical patent/US9461018B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FU, TSEI-CHUNG, LIN, JING-CHENG, LIU, Nai-wei, CHANG, JEFFREY, TSAI, YI-LIN
Priority to KR1020150166781A priority patent/KR101788412B1/en
Priority to TW104139368A priority patent/TWI625831B/en
Priority to CN201610230022.6A priority patent/CN106057768B/en
Priority to US15/284,003 priority patent/US10083913B2/en
Application granted granted Critical
Publication of US9461018B1 publication Critical patent/US9461018B1/en
Publication of US20160307871A1 publication Critical patent/US20160307871A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49805Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Definitions

  • Integrated circuits are first fabricated on a semiconductor wafer, which contains multiple duplicated semiconductor chips, each comprising integrated circuits. The semiconductor chips are then sawed from the wafer and packaged.
  • the packaging processes have two main purposes: to protect delicate semiconductor chips and connect interior integrated circuits to exterior pins.
  • PoP Package-on-Package
  • FIGS. 1 through 15A illustrate the cross-sectional views of intermediate stages in the formation of a fan-out Package-on-Package (PoP) package in accordance with some embodiments;
  • PoP Package-on-Package
  • FIG. 15B illustrates a cross-sectional view of a fan-out PoP package in accordance with alternative embodiments
  • FIGS. 16A and 16B illustrate the top views of fan-out PoP packages in accordance with some embodiments.
  • FIG. 17 illustrates a process flow for forming a PoP package in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “underlying,” “below,” “lower,” “overlying,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • a fan-out Package-on-Package (PoP) structure/package and the method of forming the package are provided in accordance with various exemplary embodiments. The variations of the embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
  • FIGS. 1 through 15A illustrate the cross-sectional views of intermediate stages in the formation a package in accordance with some embodiments.
  • the steps shown in FIGS. 1 through 15B are also illustrated schematically in the process flow 200 shown in FIG. 17 .
  • the process steps shown in FIGS. 1 through 15A are discussed referring to the process steps in FIG. 17 .
  • carrier 30 is provided, and adhesive layer 32 is disposed over carrier 30 .
  • Carrier 30 may be a blank glass carrier, a blank ceramic carrier, or the like, and may have a shape of a semiconductor wafer with a round top-view shape. Carrier 30 is sometimes referred to as a carrier wafer.
  • Adhesive layer 32 may be formed of a Light-to-Heat Conversion (LTHC) material, for example, although other types of adhesives may be used. In accordance with some embodiments of the present disclosure, adhesive layer 32 is capable of decomposing under the heat of light, and hence can release carrier 30 from the structure formed thereon.
  • LTHC Light-to-Heat Conversion
  • Dielectric layer 34 is formed over adhesive layer 32 .
  • the respective step is shown as step 202 in the process flow shown in FIG. 17 .
  • dielectric layer 34 is a polymer layer formed of a polymer, which may be a photo-sensitive polymer such as polybenzoxazole (PBO), polyimide, or the like.
  • dielectric layer 34 is formed of a nitride such as silicon nitride, an oxide such as silicon oxide, PhosphoSilicate Glass (PSG), BoroSilicate Glass (BSG), Boron-doped PhosphoSilicate Glass (BPSG), or the like.
  • dielectric layer 34 is patterned to form opening 38 therein.
  • the respective step is shown as step 204 in the process flow shown in FIG. 17 .
  • Opening 38 is a through-opening.
  • adhesive layer 32 is exposed through opening 38 in accordance with some embodiments of the present disclosure.
  • FIG. 2 illustrates one opening 38
  • dielectric layer 34 may be patterned through light-exposure using a patterned photo lithography mask (not show), followed by developing dielectric layer 34 .
  • the patterning of dielectric layer 34 includes applying a photo resist (not shown) over dielectric layer 34 , patterning the photo resist, and then etching dielectric layer 34 using the photo resist as an etching mask.
  • Conductive seed layer 40 is formed over dielectric layer 34 , for example, through Physical Vapor Deposition (PVD). The respective step is shown as step 206 in the process flow shown in FIG. 17 .
  • Conductive seed layer 40 may be a metal seed layer including copper, aluminum, titanium, alloys thereof, or multi-layers thereof.
  • conductive seed layer 40 includes a first metal layer such as a titanium layer (not shown) and a second metal layer such as a copper layer (not shown) over the first metal layer.
  • conductive seed layer 40 has a portion extending into opening 38 , which the portion may be in contact with adhesive layer 32 .
  • conductive seed layer 40 includes a single metal layer such as a copper layer, which may be formed of substantially pure copper or a copper alloy.
  • FIGS. 4 through 7 illustrate the formation of through-vias.
  • patterned mask layer 42 such as a photo resist
  • photo resist 42 is a dry film, which is laminated onto conductive seed layer 40 .
  • photo resist 42 is formed by spin coating.
  • openings 44 are formed in photo resist 42 , through which some portions of conductive seed layer 40 are exposed.
  • the thickness of photo resist 42 is determined by the thickness of the subsequently placed device die 48 ( FIG. 8 ). In accordance with some embodiments of the present disclosure, the thickness of photo resist 42 is greater than the thickness of device die 48 .
  • through-vias 46 are formed in openings 44 through plating, which may be electro plating or electro-less plating.
  • the respective step is shown as step 210 in the process flow shown in FIG. 17 .
  • Through-vias 46 are plated on the exposed portions of conductive seed layer 40 .
  • Through-vias 46 are conductive, and may be metal vias including copper, aluminum, tungsten, nickel, or alloys thereof.
  • the top-view shapes of through-vias 46 include, and are not limited to, rectangles, squares, circles, and the like.
  • the heights of through-vias 46 are determined by the thickness of the subsequently placed device die 48 ( FIG. 8 ), with the heights of through-vias 46 slightly greater than or equal to the thickness of device die 48 in accordance with some embodiments of the present disclosure.
  • step 212 in the process flow shown in FIG. 17 .
  • the portions of conductive seed layer 40 that are previously covered by photo resist 42 are exposed.
  • an etching step is performed to remove the exposed portions of conductive seed layer 40 , wherein the etching may be an anisotropic or isotropic etching.
  • the respective step is also shown as step 212 in the process flow shown in FIG. 17 .
  • the portions of conductive seed layer 40 that are overlapped by through-vias 46 remain not etched. Throughout the description, the remaining underlying portions of conductive seed layer 40 are referred to as the bottom portions of through-vias 46 .
  • conductive seed layer 40 is shown as having distinguishable interfaces with the overlying portions of through-vias 46
  • conductive seed layer 40 may be merged with through-vias 46 with no distinguishable interface therebetween.
  • the copper layer in conductive seed layer 40 may be merged with through-vias 46 with no distinguishable interfaces.
  • the titanium layer in conductive seed layer 40 may be distinguished from the copper-containing through-vias 46 .
  • dielectric layer 34 is exposed.
  • opening 38 is revealed, and the layer underlying dielectric layer 34 , (such as adhesive layer 32 ) is exposed through opening 38 .
  • FIG. 8 illustrates the placement of device die 48 over adhesive layer 32 .
  • the respective step is shown as step 214 in the process flow shown in FIG. 17 .
  • Device die 48 may be adhered to adhesive layer 32 through die attach film 50 .
  • the edges of die attach film 50 are co-terminus with (aligned to) respective edges of the device die 48 .
  • Die attach film 50 is an adhesive film.
  • FIG. 8 illustrates the placement of a single device die 48 , a plurality of device dies identical to device die 48 may be placed over adhesive layer 32 , with each device die being disposed corresponding to one of openings 38 .
  • each of openings 38 may have a single or more than one device die disposed.
  • the plurality of placed device dies 48 may be arranged as an array including a plurality of rows and a plurality of columns.
  • Device die 48 may include a semiconductor substrate having a back surface (the surface facing down) in physical contact with die attach film 50 .
  • Device die 48 further includes integrated circuit devices (such as active devices, which include transistors, for example, not shown) at the front surface (the surface facing up) of the semiconductor substrate.
  • Device die 48 may include a logic die such as a Central Processing Unit (CPU) die, a Graphic Processing Unit (GPU) die, a mobile application die, or the like.
  • CPU Central Processing Unit
  • GPU Graphic Processing Unit
  • Device die 48 may include metal pillars 54 at its top surface.
  • Metal pillars 54 are electrically coupled to the integrated circuits inside device die 48 .
  • the top surfaces of metal pillars 54 are exposed.
  • Metal pillars 54 may be copper pillars, and may also include other conductive/metallic materials such as aluminum, nickel, or the like.
  • the top surfaces of metal pillars 54 are coplanar with the top surface of dielectric layer 55 .
  • metal pillars 54 are embedded in dielectric layer 55 , with the top surface of dielectric layer 55 being higher than the top surfaces of metal pillars 54 .
  • Dielectric layer 55 may be formed of a polymer, which may include PBO, polyimide, or the like.
  • molding material 52 is molded on device die 48 and through-vias 46 .
  • the respective step is shown as step 216 in the process flow shown in FIG. 17 .
  • Molding material 52 is dispensed as a fluid and is then cured, for example, in a thermal curing process. Molding material 52 fills the gaps between device die 48 and through-vias 46 , and may be in contact with dielectric layer 34 . Molding material 52 may include a molding compound, a molding underfill, an epoxy, or a resin. After the molding process, the top surface of molding material 52 is higher than the top ends of metal pillars 54 and through-vias 46 .
  • a planarization step such as a Chemical Mechanical Polish (CMP) step or a grinding step is performed to planarize molding material 52 , until through-vias 46 are exposed.
  • CMP Chemical Mechanical Polish
  • the respective step is also shown as step 216 in the process flow shown in FIG. 17 .
  • the resulting structure is shown in FIG. 10 .
  • Metal pillars 54 of device die 48 are also exposed as a result of the planarization. Due to the planarization, the top surfaces of through-vias 46 are substantially level (coplanar) with the top surfaces of metal pillars 54 , and are substantially level (coplanar) with the top surface of molding material 52 .
  • dielectric layers 56 and the respective Redistribution Lines (RDLs) 58 are formed over molding material 52 , through-vias 46 , and metal pillars 54 .
  • the respective step is shown as step 218 in the process flow shown in FIG. 17 .
  • RDLs 58 are referred to as front side RDLs since they are on the front side of device die 48 .
  • dielectric layers 56 are formed of a polymer(s) such as PBO, polyimide, or the like.
  • dielectric layers 56 are formed of an inorganic dielectric material(s) such as silicon nitride, silicon oxide, silicon oxynitride, or the like.
  • RDLs 58 are formed to electrically couple to metal pillars 54 and through-vias 46 .
  • RDLs 58 may also interconnect metal pillars 54 and through-vias 46 with each other.
  • RDLs 58 may include metal traces (metal lines) and vias underlying and connected to the metal traces.
  • RDLs 58 are formed through plating processes, wherein each of RDLs 58 includes a seed layer (not shown) and a plated metallic material over the seed layer. The seed layer and the plated metallic material may be formed of the same material or different materials.
  • FIG. 12 illustrates the formation of electrical connectors 60 in accordance with some exemplary embodiments of the present disclosure.
  • Electrical connectors 60 are electrically coupled to RDLs 58 , metal pillars 54 , and/or through-vias 46 .
  • the formation of electrical connectors 60 may include placing solder balls over RDLs 58 and then reflowing the solder balls.
  • the formation of electrical connectors 60 includes performing a plating step to form solder regions over RDLs 58 and then reflowing the solder regions.
  • Electrical connectors 60 may also include metal pillars, or metal pillars and solder caps, which may also be formed through plating.
  • package 62 which may be a composite wafer including a plurality of device dies 48 .
  • a test may be performed to determine whether package 162 functions properly without defect.
  • the test may be performed by probing electrical connectors 60 using a probe card (not shown). Through the test, the defective packages in package 162 is determined, so that after package 162 is sawed apart into individual packages, the defective individual packages are not used for forming PoP packages.
  • FIG. 12 illustrates two RDL layers 58 .
  • package 62 is de-bonded from carrier 30 .
  • dicing tape 64 ( FIG. 13 ) is attached to package 62 to protect electrical connectors 60 , wherein dicing tape 64 is fixed to dicing frame 66 .
  • the de-bonding is performed, for example, by projecting a UV light or a laser on adhesive layer 32 ( FIG. 12 ).
  • adhesive layer 32 is formed of LTHC
  • the heat generated from the light or laser causes the LTHC to be decomposed, and hence carrier 30 is detached from package 62 .
  • the resulting structure is shown in FIG. 13 .
  • FIG. 14 illustrates the patterning for forming openings 63 in dielectric layer 34 .
  • the respective step is shown as step 220 in the process flow shown in FIG. 17 .
  • dielectric layer 34 is a polymer layer, it can be patterned using laser drill to remove the portions overlapping through-vias 46 , so that through-vias 46 are exposed through openings 63 .
  • the titanium layer of conductive seed layer 40 may also be removed.
  • Hydrogen Fluoride (HF) gas or a diluted HF solution may be used to etch titanium.
  • HF Hydrogen Fluoride
  • the copper in conductive seed layer 40 is exposed, and hence the subsequently formed backside RDLs or electrical connectors such as solder regions may be formed thereon.
  • no solder regions are formed on the backside of package 62 at this time (before die saw). Furthermore, there are no backside RDLs formed. In accordance with alternative embodiments of the present disclosure, backside RDLs (not shown) and/or the electrical connectors are formed on the backside (the illustrated top side in FIG. 14 ) of device die 48 , with the backside RDLs electrically coupled to through-vias 46 . In accordance with some exemplary embodiments of the present disclosure, there is a single backside RDL layer. In accordance with alternative embodiments, there is a plurality of RDL layers, wherein vias are formed to interconnect the different metal traces in different RDL layers.
  • the backside dielectric layers may also be formed of a polymer such as PBO, BCB, polyimide, or an inorganic material such as silicon oxide, silicon nitride, silicon oxynitride, or the like. Electrical connectors such as solder regions, metal pillars with solder caps, or the like may be formed.
  • package 62 is sawed apart into a plurality of packages 162 , each including one of device dies 48 and the corresponding through-vias 46 .
  • the respective step is shown as step 222 in the process flow shown in FIG. 17 .
  • One of packages 162 is illustrated in FIG. 15A .
  • FIG. 15A illustrates the bonding of package 300 to package 162 , thus forming PoP package 20 .
  • the respective step is shown as step 224 in the process flow shown in FIG. 17 .
  • Packages 300 and 162 are also referred to as a top package and a bottom package, respectively, of the PoP package 20 .
  • no backside RDL is illustrated, while the backside RDLs may be formed in accordance with alternative embodiments.
  • the bonding is performed through solder regions 70 , which join through-vias 46 to the metal pads in the overlying package 300 .
  • package 300 includes device die(s) 304 , which may be memory dies such as Static Random Access Memory (SRAM) dies, Dynamic Random Access Memory (DRAM) dies, or the like.
  • the memory dies may also be bonded to package substrate 302 in some exemplary embodiments.
  • underfill 72 is disposed into the gap between top package 300 and bottom package 162 , and is then cured. The resulting underfill 72 is thus in contact with die attach film 50 .
  • the top surface of dielectric layer 34 is coplanar with the top surface of die attach film 50 .
  • through-vias 46 have some portions of their top surfaces in contact with the bottom surface of dielectric layer 34 .
  • Die attach film 50 and device die 48 extend into dielectric layer 34 , with the edges of dielectric layer 34 in physical contact with the edges of die attach film 50 . These embodiments may be achieved by accurately designing the size of opening 38 (referring to FIGS. 7 and 8 ), so that die attach film 50 and device die 48 accurately fit into opening 38 , with no extra space separating the edges of die attach film 50 and device die 48 from the respective edges of dielectric layer 34 .
  • the edges of dielectric layer 34 may also be in physical contact with the edges of device die 48 when die attach film 50 is thinner than dielectric layer 34 .
  • the size of opening 38 (referring to FIG. 7 ) is greater than the size of die attach film 50 and device die 48 , as shown in FIG. 15B . Accordingly, there are some spaces left to separate the edges of die attach film 50 and device die 48 from the respective edges of dielectric layer 34 . As shown in FIG. 15B , underfill 72 may be in physical contact with the portions of molding material 52 that extend into dielectric layer 34 .
  • FIGS. 16A and 16B illustrate top views of some parts of PoP package 20 shown in FIGS. 15A and 15B , respectively.
  • dielectric layer 34 encircles die attach film 50 and device die 48 .
  • through-vias 46 and solder regions 70 are aligned to a ring encircling die attach film 50 and device die 48 .
  • the edges of die attach film 50 (and possibly the edges of device die 48 ) are in contact with the inner edges of dielectric layer 34 , wherein the inner edges face the opening.
  • dielectric layer 34 again encircles die attach film 50 and device die 48 , with some spacing separating dielectric layer 34 from die attach film 50 and device die 48 .
  • Molding compound 52 fills the spacing.
  • the spacing forms a ring encircling die attach film 50 and device die 48 .
  • one edge or two edges of die attach film 50 may be in contact with the respective inner edge(s) of dielectric layer 34 , while other edges of die attach film 50 are spaced apart from the respective inner edge(s) of dielectric layer 34
  • the embodiments of the present disclosure have some advantageous features.
  • the polymer layer By patterning the dielectric layer (polymer layer) over the adhesive layer, the polymer layer has a large opening inside it. This generates the discontinuity in the polymer layer, which reduces the stress caused by the polymer layer to the resulting PoP package. The warpage of the package may thus be reduced.
  • the polymer layer is a relative soft material, and hence if a device die is placed on the polymer layer, due to the likely uneven pressure applied on different parts of the polymer, the thickness of the resulting polymer layer may be uneven, and hence the top surface of the resulting device die may not be parallel to the surfaces of the carrier, resulting process difficulty in subsequent processes.
  • the device die is not placed on the polymer layer, and hence the above-discussed problems are eliminated.
  • the opening in the polymer layer has the function of limiting the movement of the device die and the die attach film, so that the die shift is less likely to occur.
  • a package in accordance with some embodiments of the present disclosure, includes a device die, a molding material molding at least a portion of the device die therein, and a through-via substantially penetrating through the molding material.
  • the package further includes a dielectric layer contacting the through-via and the molding material, and a die attach film attached to a backside of the device die.
  • the die attach film includes a portion extending in the dielectric layer.
  • a package in accordance with alternative embodiments of the present disclosure, includes a polymer layer having a through-opening therein, a die attach film with at least a portion in the through-opening, a device die having a backside attached to the die attach film, and a molding compound.
  • the device die is molded in the molding compound, and the polymer layer contacts the molding compound.
  • a method includes forming a polymer layer over a carrier, patterning the polymer layer to form a first opening, forming a through-via over the patterned polymer layer, and placing a device die, with at least a portion of a die attach film attached to the device die being in the first opening.
  • the method further includes molding the device die and the through-via in a molding compound, forming redistribution lines electrically coupled to the device die and the through-via, removing the carrier from the polymer layer, and forming a second opening in the polymer layer to expose the through-via.

Abstract

A package includes a device die, a molding material molding at least a portion of the device die therein, and a through-via substantially penetrating through the molding material. The package further includes a dielectric layer contacting the through-via and the molding material, and a die attach film attached to a backside of the device die. The die attach film includes a portion extending in the dielectric layer.

Description

    BACKGROUND
  • The fabrication of modern circuits typically involves several steps. Integrated circuits are first fabricated on a semiconductor wafer, which contains multiple duplicated semiconductor chips, each comprising integrated circuits. The semiconductor chips are then sawed from the wafer and packaged. The packaging processes have two main purposes: to protect delicate semiconductor chips and connect interior integrated circuits to exterior pins.
  • With the increasing demand for more functions, Package-on-Package (PoP) technology, in which two or more packages are bonded in order to expand the integration ability of the packages, was developed. With a high degree of integration, the electrical performance of the resulting PoP package can be improved benefiting from the shortened connecting paths between components. By using the PoP technology, package design becomes more flexible and less complex. Time-to-market is also reduced.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIGS. 1 through 15A illustrate the cross-sectional views of intermediate stages in the formation of a fan-out Package-on-Package (PoP) package in accordance with some embodiments;
  • FIG. 15B illustrates a cross-sectional view of a fan-out PoP package in accordance with alternative embodiments;
  • FIGS. 16A and 16B illustrate the top views of fan-out PoP packages in accordance with some embodiments; and
  • FIG. 17 illustrates a process flow for forming a PoP package in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “underlying,” “below,” “lower,” “overlying,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • A fan-out Package-on-Package (PoP) structure/package and the method of forming the package are provided in accordance with various exemplary embodiments. The variations of the embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
  • FIGS. 1 through 15A illustrate the cross-sectional views of intermediate stages in the formation a package in accordance with some embodiments. The steps shown in FIGS. 1 through 15B are also illustrated schematically in the process flow 200 shown in FIG. 17. In the subsequent discussion, the process steps shown in FIGS. 1 through 15A are discussed referring to the process steps in FIG. 17.
  • Referring to FIG. 1, carrier 30 is provided, and adhesive layer 32 is disposed over carrier 30. Carrier 30 may be a blank glass carrier, a blank ceramic carrier, or the like, and may have a shape of a semiconductor wafer with a round top-view shape. Carrier 30 is sometimes referred to as a carrier wafer. Adhesive layer 32 may be formed of a Light-to-Heat Conversion (LTHC) material, for example, although other types of adhesives may be used. In accordance with some embodiments of the present disclosure, adhesive layer 32 is capable of decomposing under the heat of light, and hence can release carrier 30 from the structure formed thereon.
  • Dielectric layer 34 is formed over adhesive layer 32. The respective step is shown as step 202 in the process flow shown in FIG. 17. In accordance with some embodiments of the present disclosure, dielectric layer 34 is a polymer layer formed of a polymer, which may be a photo-sensitive polymer such as polybenzoxazole (PBO), polyimide, or the like. In accordance with alternative embodiments, dielectric layer 34 is formed of a nitride such as silicon nitride, an oxide such as silicon oxide, PhosphoSilicate Glass (PSG), BoroSilicate Glass (BSG), Boron-doped PhosphoSilicate Glass (BPSG), or the like.
  • Referring to FIG. 2, dielectric layer 34 is patterned to form opening 38 therein. The respective step is shown as step 204 in the process flow shown in FIG. 17. Opening 38 is a through-opening. Hence, adhesive layer 32 is exposed through opening 38 in accordance with some embodiments of the present disclosure. It is appreciated that although FIG. 2 illustrates one opening 38, while there is a plurality of openings 38 formed in dielectric layer 34, each used for placing one or more device die. Furthermore, the openings 38 may be allocated as an array. In the embodiments in which dielectric layer 34 is formed of a photo-sensitive material, dielectric layer 34 may be patterned through light-exposure using a patterned photo lithography mask (not show), followed by developing dielectric layer 34. In accordance with alternative embodiments, the patterning of dielectric layer 34 includes applying a photo resist (not shown) over dielectric layer 34, patterning the photo resist, and then etching dielectric layer 34 using the photo resist as an etching mask.
  • Referring to FIG. 3, conductive seed layer 40 is formed over dielectric layer 34, for example, through Physical Vapor Deposition (PVD). The respective step is shown as step 206 in the process flow shown in FIG. 17. Conductive seed layer 40 may be a metal seed layer including copper, aluminum, titanium, alloys thereof, or multi-layers thereof. In accordance with some embodiments of the present disclosure, conductive seed layer 40 includes a first metal layer such as a titanium layer (not shown) and a second metal layer such as a copper layer (not shown) over the first metal layer. In these embodiments, conductive seed layer 40 has a portion extending into opening 38, which the portion may be in contact with adhesive layer 32. In accordance with alternative embodiments of the present disclosure, conductive seed layer 40 includes a single metal layer such as a copper layer, which may be formed of substantially pure copper or a copper alloy.
  • FIGS. 4 through 7 illustrate the formation of through-vias. As shown in FIG. 4, patterned mask layer 42 (such as a photo resist) is applied over conductive seed layer 40, and is then patterned using a photo lithography mask. The respective step is shown as step 208 in the process flow shown in FIG. 17. In accordance with some embodiments of the present disclosure, photo resist 42 is a dry film, which is laminated onto conductive seed layer 40. In accordance with alternative embodiments, photo resist 42 is formed by spin coating. As a result of the patterning (exposure and development), openings 44 are formed in photo resist 42, through which some portions of conductive seed layer 40 are exposed. The thickness of photo resist 42 is determined by the thickness of the subsequently placed device die 48 (FIG. 8). In accordance with some embodiments of the present disclosure, the thickness of photo resist 42 is greater than the thickness of device die 48.
  • As shown in FIG. 5, through-vias 46 are formed in openings 44 through plating, which may be electro plating or electro-less plating. The respective step is shown as step 210 in the process flow shown in FIG. 17. Through-vias 46 are plated on the exposed portions of conductive seed layer 40. Through-vias 46 are conductive, and may be metal vias including copper, aluminum, tungsten, nickel, or alloys thereof. The top-view shapes of through-vias 46 include, and are not limited to, rectangles, squares, circles, and the like. The heights of through-vias 46 are determined by the thickness of the subsequently placed device die 48 (FIG. 8), with the heights of through-vias 46 slightly greater than or equal to the thickness of device die 48 in accordance with some embodiments of the present disclosure.
  • After the plating of through-vias 46, photo resist 42 is removed, and the resulting structure is shown in FIG. 6. The respective step is shown as step 212 in the process flow shown in FIG. 17. As a result, the portions of conductive seed layer 40 that are previously covered by photo resist 42 are exposed.
  • Next, as shown in FIG. 7, an etching step is performed to remove the exposed portions of conductive seed layer 40, wherein the etching may be an anisotropic or isotropic etching. The respective step is also shown as step 212 in the process flow shown in FIG. 17. The portions of conductive seed layer 40 that are overlapped by through-vias 46, on the other hand, remain not etched. Throughout the description, the remaining underlying portions of conductive seed layer 40 are referred to as the bottom portions of through-vias 46. Although conductive seed layer 40 is shown as having distinguishable interfaces with the overlying portions of through-vias 46, when conductive seed layer 40 is formed of a material similar to or the same as that of the respective overlying through-vias 46, conductive seed layer 40 may be merged with through-vias 46 with no distinguishable interface therebetween. For example, the copper layer in conductive seed layer 40 may be merged with through-vias 46 with no distinguishable interfaces. In accordance with alternative embodiments, there exist distinguishable interfaces between conductive seed layer 40 and the respective overlying plated portions of through-vias 46. For example, the titanium layer in conductive seed layer 40 may be distinguished from the copper-containing through-vias 46. As a result of the etching of conductive seed layer 40, dielectric layer 34 is exposed. In addition, opening 38 is revealed, and the layer underlying dielectric layer 34, (such as adhesive layer 32) is exposed through opening 38.
  • FIG. 8 illustrates the placement of device die 48 over adhesive layer 32. The respective step is shown as step 214 in the process flow shown in FIG. 17. Device die 48 may be adhered to adhesive layer 32 through die attach film 50. The edges of die attach film 50 are co-terminus with (aligned to) respective edges of the device die 48. Die attach film 50 is an adhesive film. Although FIG. 8 illustrates the placement of a single device die 48, a plurality of device dies identical to device die 48 may be placed over adhesive layer 32, with each device die being disposed corresponding to one of openings 38. Furthermore, each of openings 38 may have a single or more than one device die disposed. The plurality of placed device dies 48 may be arranged as an array including a plurality of rows and a plurality of columns. Device die 48 may include a semiconductor substrate having a back surface (the surface facing down) in physical contact with die attach film 50. Device die 48 further includes integrated circuit devices (such as active devices, which include transistors, for example, not shown) at the front surface (the surface facing up) of the semiconductor substrate. Device die 48 may include a logic die such as a Central Processing Unit (CPU) die, a Graphic Processing Unit (GPU) die, a mobile application die, or the like.
  • Device die 48 may include metal pillars 54 at its top surface. Metal pillars 54 are electrically coupled to the integrated circuits inside device die 48. In accordance with some exemplary embodiments of the present disclosure, as shown in FIG. 8, the top surfaces of metal pillars 54 are exposed. Metal pillars 54 may be copper pillars, and may also include other conductive/metallic materials such as aluminum, nickel, or the like. In accordance with some embodiments of the present disclosure, the top surfaces of metal pillars 54 are coplanar with the top surface of dielectric layer 55. In accordance with alternative embodiments of the present disclosure, metal pillars 54 are embedded in dielectric layer 55, with the top surface of dielectric layer 55 being higher than the top surfaces of metal pillars 54. Dielectric layer 55 may be formed of a polymer, which may include PBO, polyimide, or the like.
  • Also referring to FIG. 9, molding material 52 is molded on device die 48 and through-vias 46. The respective step is shown as step 216 in the process flow shown in FIG. 17. Molding material 52 is dispensed as a fluid and is then cured, for example, in a thermal curing process. Molding material 52 fills the gaps between device die 48 and through-vias 46, and may be in contact with dielectric layer 34. Molding material 52 may include a molding compound, a molding underfill, an epoxy, or a resin. After the molding process, the top surface of molding material 52 is higher than the top ends of metal pillars 54 and through-vias 46.
  • Next, a planarization step such as a Chemical Mechanical Polish (CMP) step or a grinding step is performed to planarize molding material 52, until through-vias 46 are exposed. The respective step is also shown as step 216 in the process flow shown in FIG. 17. The resulting structure is shown in FIG. 10. Metal pillars 54 of device die 48 are also exposed as a result of the planarization. Due to the planarization, the top surfaces of through-vias 46 are substantially level (coplanar) with the top surfaces of metal pillars 54, and are substantially level (coplanar) with the top surface of molding material 52.
  • Referring to FIG. 11, one or more layers of dielectric layers 56 and the respective Redistribution Lines (RDLs) 58 are formed over molding material 52, through-vias 46, and metal pillars 54. The respective step is shown as step 218 in the process flow shown in FIG. 17. RDLs 58 are referred to as front side RDLs since they are on the front side of device die 48. In accordance with some embodiments of the present disclosure, dielectric layers 56 are formed of a polymer(s) such as PBO, polyimide, or the like. In accordance with alternative embodiments of the present disclosure, dielectric layers 56 are formed of an inorganic dielectric material(s) such as silicon nitride, silicon oxide, silicon oxynitride, or the like.
  • RDLs 58 are formed to electrically couple to metal pillars 54 and through-vias 46. RDLs 58 may also interconnect metal pillars 54 and through-vias 46 with each other. RDLs 58 may include metal traces (metal lines) and vias underlying and connected to the metal traces. In accordance with some embodiments of the present disclosure, RDLs 58 are formed through plating processes, wherein each of RDLs 58 includes a seed layer (not shown) and a plated metallic material over the seed layer. The seed layer and the plated metallic material may be formed of the same material or different materials.
  • FIG. 12 illustrates the formation of electrical connectors 60 in accordance with some exemplary embodiments of the present disclosure. Electrical connectors 60 are electrically coupled to RDLs 58, metal pillars 54, and/or through-vias 46. The formation of electrical connectors 60 may include placing solder balls over RDLs 58 and then reflowing the solder balls. In accordance with alternative embodiments of the present disclosure, the formation of electrical connectors 60 includes performing a plating step to form solder regions over RDLs 58 and then reflowing the solder regions. Electrical connectors 60 may also include metal pillars, or metal pillars and solder caps, which may also be formed through plating. Throughout the description, the combined structure including device die 48, through-vias 46, molding material 52, RDLs 58, and dielectric layers 56 will be referred to as package 62, which may be a composite wafer including a plurality of device dies 48.
  • Next, a test may be performed to determine whether package 162 functions properly without defect. The test may be performed by probing electrical connectors 60 using a probe card (not shown). Through the test, the defective packages in package 162 is determined, so that after package 162 is sawed apart into individual packages, the defective individual packages are not used for forming PoP packages.
  • FIG. 12 illustrates two RDL layers 58. In accordance with alternative embodiments, there may be a single layer of RDLs 58 or more than two layers of RDLs 58, depending on the routing requirement of the respective package. In accordance with yet alternative embodiments of the present disclosure, there are no RDLs, and electrically connectors 60 are formed directly over through-vias 46 and metal pillars 54, with no RDLs formed between connectors 60 and the underlying through-vias 46 as well as metal pillars 54.
  • Next, package 62 is de-bonded from carrier 30. In accordance with some exemplary de-boding process, dicing tape 64 (FIG. 13) is attached to package 62 to protect electrical connectors 60, wherein dicing tape 64 is fixed to dicing frame 66. The de-bonding is performed, for example, by projecting a UV light or a laser on adhesive layer 32 (FIG. 12). For example, when adhesive layer 32 is formed of LTHC, the heat generated from the light or laser causes the LTHC to be decomposed, and hence carrier 30 is detached from package 62. The resulting structure is shown in FIG. 13.
  • FIG. 14 illustrates the patterning for forming openings 63 in dielectric layer 34. The respective step is shown as step 220 in the process flow shown in FIG. 17. For example, when dielectric layer 34 is a polymer layer, it can be patterned using laser drill to remove the portions overlapping through-vias 46, so that through-vias 46 are exposed through openings 63.
  • In the embodiments in which a portion of conductive seed layer 40 is formed of titanium, the titanium layer of conductive seed layer 40 may also be removed. For example, Hydrogen Fluoride (HF) gas or a diluted HF solution may be used to etch titanium. The copper in conductive seed layer 40 is exposed, and hence the subsequently formed backside RDLs or electrical connectors such as solder regions may be formed thereon.
  • In accordance with some embodiments of the present disclosure, no solder regions are formed on the backside of package 62 at this time (before die saw). Furthermore, there are no backside RDLs formed. In accordance with alternative embodiments of the present disclosure, backside RDLs (not shown) and/or the electrical connectors are formed on the backside (the illustrated top side in FIG. 14) of device die 48, with the backside RDLs electrically coupled to through-vias 46. In accordance with some exemplary embodiments of the present disclosure, there is a single backside RDL layer. In accordance with alternative embodiments, there is a plurality of RDL layers, wherein vias are formed to interconnect the different metal traces in different RDL layers. The backside dielectric layers may also be formed of a polymer such as PBO, BCB, polyimide, or an inorganic material such as silicon oxide, silicon nitride, silicon oxynitride, or the like. Electrical connectors such as solder regions, metal pillars with solder caps, or the like may be formed.
  • In subsequent steps, package 62 is sawed apart into a plurality of packages 162, each including one of device dies 48 and the corresponding through-vias 46. The respective step is shown as step 222 in the process flow shown in FIG. 17. One of packages 162 is illustrated in FIG. 15A.
  • FIG. 15A illustrates the bonding of package 300 to package 162, thus forming PoP package 20. The respective step is shown as step 224 in the process flow shown in FIG. 17. Packages 300 and 162 are also referred to as a top package and a bottom package, respectively, of the PoP package 20. In the exemplary embodiments as shown in FIG. 15A, no backside RDL is illustrated, while the backside RDLs may be formed in accordance with alternative embodiments. The bonding is performed through solder regions 70, which join through-vias 46 to the metal pads in the overlying package 300. In some embodiments, package 300 includes device die(s) 304, which may be memory dies such as Static Random Access Memory (SRAM) dies, Dynamic Random Access Memory (DRAM) dies, or the like. The memory dies may also be bonded to package substrate 302 in some exemplary embodiments.
  • After the bonding of top package 300 to bottom package 162, underfill 72 is disposed into the gap between top package 300 and bottom package 162, and is then cured. The resulting underfill 72 is thus in contact with die attach film 50.
  • As shown in FIG. 15A, the top surface of dielectric layer 34 is coplanar with the top surface of die attach film 50. In accordance with some embodiments of the present disclosure, through-vias 46 have some portions of their top surfaces in contact with the bottom surface of dielectric layer 34. Die attach film 50 and device die 48 extend into dielectric layer 34, with the edges of dielectric layer 34 in physical contact with the edges of die attach film 50. These embodiments may be achieved by accurately designing the size of opening 38 (referring to FIGS. 7 and 8), so that die attach film 50 and device die 48 accurately fit into opening 38, with no extra space separating the edges of die attach film 50 and device die 48 from the respective edges of dielectric layer 34. The edges of dielectric layer 34 may also be in physical contact with the edges of device die 48 when die attach film 50 is thinner than dielectric layer 34.
  • In accordance with alternative embodiments of the present disclosure, the size of opening 38 (referring to FIG. 7) is greater than the size of die attach film 50 and device die 48, as shown in FIG. 15B. Accordingly, there are some spaces left to separate the edges of die attach film 50 and device die 48 from the respective edges of dielectric layer 34. As shown in FIG. 15B, underfill 72 may be in physical contact with the portions of molding material 52 that extend into dielectric layer 34.
  • FIGS. 16A and 16B illustrate top views of some parts of PoP package 20 shown in FIGS. 15A and 15B, respectively. Referring to FIG. 16A, dielectric layer 34 encircles die attach film 50 and device die 48. Also, through-vias 46 and solder regions 70 are aligned to a ring encircling die attach film 50 and device die 48. The edges of die attach film 50 (and possibly the edges of device die 48) are in contact with the inner edges of dielectric layer 34, wherein the inner edges face the opening. Referring to FIG. 16B, dielectric layer 34 again encircles die attach film 50 and device die 48, with some spacing separating dielectric layer 34 from die attach film 50 and device die 48. Molding compound 52 fills the spacing. In accordance with some embodiments of the present disclosure, the spacing forms a ring encircling die attach film 50 and device die 48. In accordance with alternative embodiments of the present disclosure, one edge or two edges of die attach film 50 may be in contact with the respective inner edge(s) of dielectric layer 34, while other edges of die attach film 50 are spaced apart from the respective inner edge(s) of dielectric layer 34
  • The embodiments of the present disclosure have some advantageous features. By patterning the dielectric layer (polymer layer) over the adhesive layer, the polymer layer has a large opening inside it. This generates the discontinuity in the polymer layer, which reduces the stress caused by the polymer layer to the resulting PoP package. The warpage of the package may thus be reduced. In addition, the polymer layer is a relative soft material, and hence if a device die is placed on the polymer layer, due to the likely uneven pressure applied on different parts of the polymer, the thickness of the resulting polymer layer may be uneven, and hence the top surface of the resulting device die may not be parallel to the surfaces of the carrier, resulting process difficulty in subsequent processes. In the embodiments of the present disclosure, however, the device die is not placed on the polymer layer, and hence the above-discussed problems are eliminated. In addition, the opening in the polymer layer has the function of limiting the movement of the device die and the die attach film, so that the die shift is less likely to occur.
  • In accordance with some embodiments of the present disclosure, a package includes a device die, a molding material molding at least a portion of the device die therein, and a through-via substantially penetrating through the molding material. The package further includes a dielectric layer contacting the through-via and the molding material, and a die attach film attached to a backside of the device die. The die attach film includes a portion extending in the dielectric layer.
  • In accordance with alternative embodiments of the present disclosure, a package includes a polymer layer having a through-opening therein, a die attach film with at least a portion in the through-opening, a device die having a backside attached to the die attach film, and a molding compound. The device die is molded in the molding compound, and the polymer layer contacts the molding compound.
  • In accordance with alternative embodiments of the present disclosure, a method includes forming a polymer layer over a carrier, patterning the polymer layer to form a first opening, forming a through-via over the patterned polymer layer, and placing a device die, with at least a portion of a die attach film attached to the device die being in the first opening. The method further includes molding the device die and the through-via in a molding compound, forming redistribution lines electrically coupled to the device die and the through-via, removing the carrier from the polymer layer, and forming a second opening in the polymer layer to expose the through-via.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1.-14. (canceled)
15. A method comprising:
forming a polymer layer over a carrier;
patterning the polymer layer to form a first opening;
forming a through-via over the patterned polymer layer;
placing a device die, with at least a portion of a die attach film attached to the device die being in the first opening;
molding the device die and the through-via in a molding compound;
forming redistribution lines electrically coupled to the device die and the through-via;
removing the carrier from the polymer layer; and
forming a second opening in the polymer layer to expose the through-via.
16. The method of claim 15 further comprising:
forming a solder region in the second opening of the polymer layer.
17. The method of claim 16 further comprising dispensing an underfill to contact the die attach film.
18. The method of claim 15, wherein the polymer layer is formed on an adhesive layer, and the device die is attached to the adhesive layer through the die attach film.
19. The method of claim 15, wherein the forming the second opening in the polymer layer comprises laser drill.
20. The method of claim 15, wherein the forming the through-via comprises:
after the patterning the polymer layer to form the first opening, forming a conductive seed layer over the polymer layer and extending into the first opening; and
after the through-via is formed, removing portions of the conductive seed layer not covered by the through-via, with an adhesive layer underlying the polymer layer being revealed.
21. A method comprising:
forming a dielectric layer over a base layer;
removing a part of the dielectric layer to form a first opening, with a portion of the base layer exposed through the first opening;
attaching a device die to the base layer through the first opening;
encapsulating the device die in an encapsulating material;
planarizing the encapsulating material and the device die, with metal features in the device die exposed;
forming redistribution lines electrically coupling to the metal features in the device die;
removing the base layer from the dielectric layer;
forming a second opening in the dielectric layer; and
forming a conductive feature extending into the second opening.
22. The method of claim 21 further comprising:
forming a metal post over the dielectric layer, wherein the metal post is encapsulated by the encapsulating material, and the conductive feature is joined to the metal post.
23. The method of claim 22, wherein the forming the metal post comprises:
depositing a seed layer, wherein the seed layer comprises a first portion over the dielectric layer, and a second portion extending into the first opening;
plating to form an upper portion of the metal post; and
removing portions of the seed layer not covered by the upper portion of the metal post, wherein the second portion of the seed layer is removed.
24. The method of claim 23, wherein the conductive feature is in contact with the seed layer.
25. The method of claim 21, wherein after the device die is attached to the base layer, the device die is spaced apart from a portion of the dielectric layer by a space in the first opening, and the encapsulating material is filled into the space.
26. The method of claim 21, wherein the conductive feature comprises a solder region, and the method further comprises bonding a package component to the solder region.
27. The method of claim 26 further comprising filling an underfill between the dielectric layer and the package component.
28. The method of claim 27, wherein the device die is attached to the base layer through a die attach film, and the underfill further contacts the die attach film.
29. A method comprising:
forming a polymer layer having a first opening therein;
depositing a seed layer comprising:
a first portion over the polymer layer; and
a second portion in the first opening;
applying and patterning a photo resist to form a second opening in the photo resist, with a portion of the seed layer exposed through the second opening;
plating a metallic material into the second opening to form a metal post;
removing the photo resist;
removing portions of the seed layer not covered by the metallic material, wherein the second portion of the seed layer is removed;
attaching a device die to a layer underlying the polymer layer through a die attach film, wherein the die attach film has at least a portion in the first opening; and
dispensing a molding compound, with the device die molded in the molding compound, wherein the polymer layer contacts the molding compound.
30. The method of claim 29, wherein an entirety of the die attach film is in the first opening.
31. The method of claim 29, wherein the molding compound comprises a portion having a first edge contacting an edge of the polymer layer, and a second edge contacting an edge of the die attach film and an edge of the device die.
32. The method of claim 29, wherein the device die comprises metal pillars at a front side, and wherein the method further comprises performing a planarization on the molding compound to make a surface of the molding compound to be coplanar with surfaces of the metal pillars.
33. The method of claim 29 further comprising:
forming a third opening in the polymer layer; and
filling a solder region into the third opening.
34. The method of claim 33 further comprises dispensing an underfill to contact the solder region, the polymer layer, and the molding compound.
US14/690,061 2015-04-17 2015-04-17 Fan-out PoP structure with inconsecutive polymer layer Active US9461018B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/690,061 US9461018B1 (en) 2015-04-17 2015-04-17 Fan-out PoP structure with inconsecutive polymer layer
KR1020150166781A KR101788412B1 (en) 2015-04-17 2015-11-26 Fan-out pop structure with inconsecutive polymer layer
TW104139368A TWI625831B (en) 2015-04-17 2015-11-26 Fan-out pop structure with inconsecutive polymer layer
CN201610230022.6A CN106057768B (en) 2015-04-17 2016-04-14 POP structure is fanned out to discontinuous polymeric layer
US15/284,003 US10083913B2 (en) 2015-04-17 2016-10-03 Fan-out POP structure with inconsecutive polymer layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/690,061 US9461018B1 (en) 2015-04-17 2015-04-17 Fan-out PoP structure with inconsecutive polymer layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/284,003 Division US10083913B2 (en) 2015-04-17 2016-10-03 Fan-out POP structure with inconsecutive polymer layer

Publications (2)

Publication Number Publication Date
US9461018B1 US9461018B1 (en) 2016-10-04
US20160307871A1 true US20160307871A1 (en) 2016-10-20

Family

ID=56995027

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/690,061 Active US9461018B1 (en) 2015-04-17 2015-04-17 Fan-out PoP structure with inconsecutive polymer layer
US15/284,003 Active US10083913B2 (en) 2015-04-17 2016-10-03 Fan-out POP structure with inconsecutive polymer layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/284,003 Active US10083913B2 (en) 2015-04-17 2016-10-03 Fan-out POP structure with inconsecutive polymer layer

Country Status (4)

Country Link
US (2) US9461018B1 (en)
KR (1) KR101788412B1 (en)
CN (1) CN106057768B (en)
TW (1) TWI625831B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157870B1 (en) * 2017-09-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
WO2020140096A1 (en) * 2018-12-28 2020-07-02 Applied Materials, Inc. Adhesive-less substrate bonding to carrier plate
US11450626B2 (en) * 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11774675B2 (en) * 2021-03-25 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9935080B2 (en) * 2016-04-29 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Three-layer Package-on-Package structure and method forming same
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9984960B2 (en) * 2016-07-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9859233B1 (en) * 2016-12-25 2018-01-02 Powertech Technology Inc. Semiconductor device package with reinforced redistribution layer
US10276536B2 (en) * 2017-04-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10269587B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10192843B1 (en) * 2017-07-26 2019-01-29 Micron Technology, Inc. Methods of making semiconductor device modules with increased yield
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10515901B2 (en) 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. InFO-POP structures with TIVs having cavities
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10741404B2 (en) * 2017-11-08 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US11031342B2 (en) 2017-11-15 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10304716B1 (en) * 2017-12-20 2019-05-28 Powertech Technology Inc. Package structure and manufacturing method thereof
WO2019133008A1 (en) * 2017-12-30 2019-07-04 Intel Corporation Ultra-thin, hyper-density semiconductor packages
US10573573B2 (en) * 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US10790161B2 (en) * 2018-03-27 2020-09-29 Amkor Technology, Inc. Electronic device with adaptive vertical interconnect and fabricating method thereof
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US11380616B2 (en) * 2018-05-16 2022-07-05 Intel IP Corporation Fan out package-on-package with adhesive die attach
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10867919B2 (en) * 2018-09-19 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
DE102018130035B4 (en) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. PACKAGE AND PROCEDURE
DE102019101999B4 (en) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. SEMICONDUCTOR DEVICE WITH MULTIPLE POLARITY GROUPS
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
KR101953129B1 (en) 2018-11-20 2019-03-05 주식회사 에코전력 Sola module of roof integral and both sides type
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
CN109686697A (en) * 2018-12-24 2019-04-26 中国电子科技集团公司第五十八研究所 A kind of packaging method and its structure of multi-chip fan-out-type structure
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
KR102008968B1 (en) 2019-03-20 2019-08-08 (주)다인그룹이엔씨 Photovoltaic modules for apartment house roof installation
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10937736B2 (en) * 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US11383970B2 (en) * 2019-07-09 2022-07-12 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor devices and related methods
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11417619B2 (en) 2019-08-22 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Package and manufacturing method thereof
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
CN112466861A (en) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 Package structure and method for forming the same
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
DE102020108481B4 (en) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package and manufacturing process
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11410968B2 (en) * 2019-10-18 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
KR20210055164A (en) * 2019-11-07 2021-05-17 삼성전자주식회사 Semiconductor device and semiconductor package having the same
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11545438B2 (en) 2019-12-25 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
TWI762885B (en) * 2020-03-19 2022-05-01 恆勁科技股份有限公司 Semiconductor packaging substrate, manufacturing method and packaging process thereof
US11574857B2 (en) * 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
US11380611B2 (en) 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11276670B2 (en) * 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11404404B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020130962A1 (en) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11469197B2 (en) 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20220352046A1 (en) * 2021-04-28 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11804445B2 (en) * 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US20230066968A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3772066B2 (en) * 2000-03-09 2006-05-10 沖電気工業株式会社 Semiconductor device
CN101236943B (en) * 2007-02-01 2010-04-21 日月光半导体制造股份有限公司 Heat-radiation no-chip board film base plate with built-in chip and its making method
US20080217761A1 (en) * 2007-03-08 2008-09-11 Advanced Chip Engineering Technology Inc. Structure of semiconductor device package and method of the same
KR100891805B1 (en) 2007-05-25 2009-04-07 주식회사 네패스 Wafer level system in package and fabrication method thereof
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
US8334582B2 (en) 2008-06-26 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Protective seal ring for preventing die-saw induced stress
CN101937881B (en) * 2009-06-29 2013-01-02 日月光半导体制造股份有限公司 Semiconductor packaging structure and packaging method thereof
TWI501376B (en) 2009-10-07 2015-09-21 Xintec Inc Chip package and fabrication method thereof
JP5423880B2 (en) 2010-04-07 2014-02-19 株式会社島津製作所 Radiation detector and method of manufacturing the same
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8097490B1 (en) * 2010-08-27 2012-01-17 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interconnect layer for stacked semiconductor die
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US9443797B2 (en) * 2012-09-14 2016-09-13 STATS ChipPAC Pte. Ltd. Semiconductor device having wire studs as vertical interconnect in FO-WLP
US8866287B2 (en) 2012-09-29 2014-10-21 Intel Corporation Embedded structures for package-on-package architecture
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9941207B2 (en) * 2014-10-24 2018-04-10 STATS ChipPAC Pte. Ltd. Semiconductor device and method of fabricating 3D package with short cycle time and high yield

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157870B1 (en) * 2017-09-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
WO2020140096A1 (en) * 2018-12-28 2020-07-02 Applied Materials, Inc. Adhesive-less substrate bonding to carrier plate
US10847400B2 (en) 2018-12-28 2020-11-24 Applied Materials, Inc. Adhesive-less substrate bonding to carrier plate
TWI804702B (en) * 2018-12-28 2023-06-11 美商應用材料股份有限公司 Adhesive-less substrate bonding to carrier plate
US11450626B2 (en) * 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11774675B2 (en) * 2021-03-25 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN106057768A (en) 2016-10-26
TWI625831B (en) 2018-06-01
KR20160123964A (en) 2016-10-26
US20170025359A1 (en) 2017-01-26
US10083913B2 (en) 2018-09-25
KR101788412B1 (en) 2017-11-15
TW201639091A (en) 2016-11-01
US9461018B1 (en) 2016-10-04
CN106057768B (en) 2019-06-11

Similar Documents

Publication Publication Date Title
US10083913B2 (en) Fan-out POP structure with inconsecutive polymer layer
US11462530B2 (en) Multi-stack package-on-package structures
US11069656B2 (en) Three-layer package-on-package structure and method forming same
US11721559B2 (en) Integrated circuit package pad and methods of forming
US20210280435A1 (en) Redistribution Lines Having Stacking Vias
US11355378B2 (en) Fan-out interconnect structure and methods forming the same
US11018091B2 (en) Eliminate sawing-induced peeling through forming trenches
US9929071B2 (en) Dicing in wafer level package
US20220130794A1 (en) Aligning Bumps in Fan-Out Packaging Process
US11133282B2 (en) COWOS structures and methods forming same
US11587902B2 (en) Semiconductor structure and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TSAI, YI-LIN;CHANG, JEFFREY;LIN, JING-CHENG;AND OTHERS;SIGNING DATES FROM 20150511 TO 20150512;REEL/FRAME:035711/0647

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8