US20150130064A1 - Methods of manufacturing semiconductor devices and a semiconductor structure - Google Patents

Methods of manufacturing semiconductor devices and a semiconductor structure Download PDF

Info

Publication number
US20150130064A1
US20150130064A1 US14/601,296 US201514601296A US2015130064A1 US 20150130064 A1 US20150130064 A1 US 20150130064A1 US 201514601296 A US201514601296 A US 201514601296A US 2015130064 A1 US2015130064 A1 US 2015130064A1
Authority
US
United States
Prior art keywords
wafer
nitrogen
processes
chamber
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/601,296
Inventor
Felix P. Anderson
Steven P. Barkyoumb
Edward C. Cooney, III
Thomas L. McDevitt
William J. Murphy
David C. Strippe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/601,296 priority Critical patent/US20150130064A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MURPHY, WILLIAM J., STRIPPE, DAVID C., ANDERSON, FELIX P., BARKYOUMB, STEVEN P., COONEY, EDWARD C., III, MCDEVITT, THOMAS L.
Publication of US20150130064A1 publication Critical patent/US20150130064A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention generally relates to methods of manufacturing semiconductor devices, and more specifically, to processes for improving adhesion of films to semiconductor wafers and a semiconductor structure.
  • metal layers are used, for example, to electrically interconnect various devices of the integrated circuit. These metal layers may be, for example, nickel, tungsten, solder, and copper. These metals can be deposited using various different techniques such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, and electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating.
  • a barrier layer is formed in patterned structures such as, for example, vias, trenches or other features.
  • the barrier layer is used to prevent movement of materials between the circuit patterns into an adjacent dielectric layer.
  • the barrier layer is typically tantalum, or tantalum nitride, deposited into the vias and trenches by PVD processes.
  • a copper seed layer for example, is deposited over the barrier layer and, thereafter, an electroplating process forms the copper interconnect layer.
  • tantalum or tantalum nitride also deposits on the wafer. This forms a metal film on the entire wafer surface including at the edges and extreme edges or bevel of the wafer. This layer is very thin, typically in the range of 2 to 100 nanometers. It has also been found that the adhesion of the thin film to the wafer is not very reliable due to, at least, the concentration of the nitrogen (a mol percentage of less than 20%) to tantalum.
  • a structure comprises a wafer having an edge and extreme edges.
  • a trough and/or via is formed within a dielectric on the wafer.
  • the trough and/or via has a barrier of TaN (tantalum nitride) (or TaSiN) with a mol percentage of N with respect to a total Ta plus N being from about 25% to 90%.
  • the edge and extreme edges of the wafer have TaN deposited thereon with the mol percentage of N with respect to the total Ta plus N being from about 25% to 90%.
  • a method of fabricating a semiconductor structure comprises increasing a mol percent of nitride with respect to a total tantalum plus nitride to 25% or greater during a barrier layer fabrication process.
  • the mol percentage of the nitride is increased to a range of about 25% to 90%.
  • the mol percentage of the nitride is increased to a range of about 30% to 35%.
  • the mol percentage of the nitride is increased by introducing nitrogen into a chamber prior to a Pressure Vapor Deposition (PVD) process.
  • a ratio of nitrogen to argon during the PVD process is at a ratio of about 2.5:1 or greater.
  • the nitrogen is provided at a flow rate of between about 5 sccm to 300 sccm.
  • the nitrogen is provided at a flow rate of about 80 sccm.
  • the mol percentage of the nitride is increased by providing a power to the chamber of between about 100 W to 2 KW.
  • the mol percentage of the nitride is increased by providing a negative charge to a wafer during a PVD process.
  • the negative voltage is in a range of about ⁇ 25V to ⁇ 500V.
  • the mol percentage of the nitride is increased by introducing nitrogen as a heat transfer medium between a wafer and a chuck such that the nitrogen leaks from a back side of the wafer to a surface of the wafer.
  • the flow rate of the nitrogen is between about 5 sccm to 100 sccm.
  • the flow rate of the nitrogen is about 20 sccm.
  • the mol percentage of the nitride is increased by increasing a pressure of a chamber during a PVD process to about 20 mT.
  • a method of fabricating a semiconductor structure comprises adjusting a parameter in a chamber to increase a mol percent of nitride to 25% or greater with respect to a total tantalum plus nitride during a Pressure Vapor Deposition (PVD) process.
  • PVD Pressure Vapor Deposition
  • a method of depositing a barrier layer in a trough or via comprises introducing nitrogen into a deposition chamber such that a resultant mol percentage of nitride with respect to tantalum plus nitride is about 25% to 90%.
  • the introducing of nitrogen comprises at least one of: introducing nitrogen into the chamber prior to a PVD process, wherein a ratio of nitrogen to argon during the PVD process is at a ratio of greater than 2.5:1; providing a power to the chamber of between about 100W to 2KW; introducing nitrogen as a heat transfer medium between a wafer and a chuck such that the nitrogen leaks from a back side of the wafer to a surface of the wafer, wherein a flow rate of the nitrogen is between about 5 sccm to 100 sccm; attenuating a voltage applied to the wafer to a range of about ⁇ 25V to ⁇ 200V; and increasing a pressure of the chamber during the PVD process to about 20 mT.
  • FIG. 1 shows an exemplary structure fabricated in accordance with aspects of the invention
  • FIG. 2 shows an exemplary processing chamber used with processes in accordance with aspects of the invention
  • FIG. 3 graphically shows secondary ion mask spectroscopy (SIMS) data on nitrogen effects using a conventional process
  • FIGS. 4A-4C graphically show SIMS data on nitrogen effects using processes in accordance with the invention.
  • FIGS. 5A and 5B graphically show a comparison of surface wafer defects in different wiring layers.
  • the present invention generally relates to processes for improving adhesion of films to semiconductor wafers and a semiconductor structure.
  • defects creation e.g., decrease particle generation
  • the processes described herein will significantly reduce flaking of a TaN film from edges or extreme edges (bevel) of the wafer by effectively increasing the adhesion properties of the TaN film on the wafer.
  • the processes discussed herein will increase product yield and device reliability.
  • the suppression of defect creation is provided by improving the adhesion of TaN films (including, for example, derivates thereof such as TaSiN) to a wafer and more specifically to an edge and/or extreme edges of the wafer.
  • TaN films including, for example, derivates thereof such as TaSiN
  • the advantages of the present invention are possible by increasing the mol percentage of nitrogen to tantalum, compared to that which is conventionally used in semiconductor processing.
  • the mol percentage of nitrogen may be increased, for example, to about 25% or more with relation to the tantalum. That is, the mol percentage of nitrogen with respect to the total Ta plus N is preferably greater than 25%.
  • the present invention contemplates increasing the nitrogen mol percentage (with respect to a total for Ta plus N) to a range of about 25% to 90% and more particularly to a range of about 30% to 35%. It is possible to increase the mol percentage of nitrogen by improving conventional pressure vapor deposition processes (PVD) currently used to manufacture semiconductor devices. It should be understood by those of skill in the art that conventional PVD processes currently provide only about a mol percentage of 20% or less of nitrogen.
  • PVD pressure vapor deposition processes
  • FIG. 1 shows a structure which was fabricated using the processes of the invention.
  • TaN film is typically used in the formation of wires between layers of a semiconductor device.
  • the semiconductor device is manufactured by etching vias and/or troughs 14 into a dielectric layer 12 on a wafer 10 .
  • the dielectric layer 12 can be any dielectric layer such as, for example, SiO 2 , fluorinated silicon, carbon doped silicon, etc.
  • the vias and/or troughs 14 are patterned using conventional processes.
  • a resist is placed over the dielectric layer 12 .
  • Selective portions of the resist are exposed to form openings.
  • an etching takes place in order to form the vias and/or troughs 14 in the dielectric layer 12 .
  • the resist is then stripped.
  • a barrier layer 16 is deposited within the vias and/or troughs 14 .
  • This barrier layer 16 by the nature of the deposition process, is also deposited on the remaining portions of the structure including, for example, the edge and extreme edges 18 of the wafer 10 . (It is at the edge and extreme edges 18 of the wafer 10 that flaking results, which contributes to defect creation at later processes steps due to stresses imposed on the wafer, for example).
  • the barrier layer 16 can be, for example, Ta, TaN or Ta followed by a deposition of TaN or TaSiN, to name a few.
  • the mol percentage of nitrogen (with respect to a total for Ta plus N) is at about 25% or greater and can range from about 25% to 90% and is preferably about 30% to 35%. The higher mol percentage of nitrogen significantly increases the adhesion of the TaN to the wafer thus significantly decreasing the defect creation in subsequent processing steps.
  • the TaN (or TaSiN, etc.) film 16 can range between about 2 nm to 50 nm in the vias and/or troughs 14 and about 10% to 100% of such at the edge and extreme edges 18 of the wafer 10 .
  • the lower range of the thickness of the TaN film is provided at lower wiring levels; whereas, the high range of the thickness of the TaN film is provided at higher wiring levels.
  • a seed layer of copper or copper alloy 20 is provided in the vias and/or troughs 14 .
  • the seed layer 20 supports the formation of the copper wiring (also shown as reference numeral 20 ), via an electroplating process.
  • the structure is then planarized using, for example, chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • FIG. 2 is a schematic representation of a processing chamber implementing the processes of the invention.
  • the processing chamber generally depicted as reference numeral 100
  • the chamber 100 includes an interior portion 102 having a wafer platen and electrostatic chuck (ESC), generally depicted as reference numeral 104 .
  • ESC electrostatic chuck
  • the chamber 100 also includes other components employed for physical vapor deposition processes, for example gas supplies and valves, temperature and pressure controls and instruments, process timing devices, etc., all generally depicted at reference numeral 106 .
  • the chamber 100 also includes a target, T, comprised of Tantalum.
  • the methods as described herein are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • a flow of nitrogen is introduced into the chamber prior to the PVD process.
  • the flow of nitrogen increases the nitrogen in the chamber during the PVD process.
  • the nitrogen introduced into the chamber will mix with the TaN (or other elements included with the TaN, e.g., TaSiN) during the PVD process.
  • the nitrogen is provided at a flow rate of between about 5 sccm to 300 sccm and preferably at about 80 sccm.
  • the flow rate can continue for about between one to 60 seconds and preferably about 15 seconds with an 80 sccm flow rate.
  • the flow of nitrogen can continue with the PVD process.
  • the nitrogen introduced prior to the PVD process will accumulate in the chamber, including on the surfaces of the wafer. More specifically, during the PVD process, argon will etch away the tantalum forming TaN on the surface of the wafer; however, due to the additional nitrogen flow introduced into the chamber, the nitrogen concentration will increase, thereby increasing the mol percentage of nitrogen deposited on the wafer. In embodiments, the nitrogen mol percentage can increase to about 25% or more, as discussed above. This, in turn, will improve the adhesion of the barrier layer on the edge and extreme edges of the wafer.
  • a ratio of the nitrogen to argon can be about 4:1, with nitrogen being in the greater concentration. In further embodiments, the ratio of nitrogen to argon can range from about 2.5:1 or greater.
  • the nitrogen can be introduced into the chamber at 80 sccm and the argon can be introduced into the chamber at about 20 sccm or less.
  • the power (watts) used in the PVD process can be decreased compared to conventional processes.
  • conventional power usage is in the range of about 10 KW to about 50 KW.
  • the power is decreased to about 2 KW, but depending on the chamber can vary between 100 W to 2 KW.
  • the etch rate of the tantalum will be effectively decreased over time. This, in turn, will allow more saturation time for the nitrogen to deposit on the wafer. Accordingly, the mol percentage of nitrogen will increase, preferably to the mol target of about 25% or more. This, in turn, will improve the adhesion of the barrier layer on the edge and extreme edges of the wafer.
  • the voltage applied to the wafer during the PVD process can be attenuated to effectively increase nitrogen deposition.
  • a negative charge can be applied to the wafer (which acts as an anode).
  • the negative voltage will bias the wafer and attract nitrogen ions which, again, will increase the percentage of nitrogen to tantalum deposited on the wafer.
  • the voltage can be attenuated to the range of about ⁇ 25V to ⁇ 500V and preferably about ⁇ 200V. In this way, the mol percentage of nitrogen to tantalum will increase, preferably to the mol target of about 25% or more.
  • nitrogen can be introduced between the ESC and the wafer as the heat transfer medium.
  • the flow of nitrogen between the ESC and the wafer will not only act as the heat flow medium, but also will mix with the TaN (or other elements included with the TaN, e.g., TaSiN) during the PVD process. That is, in embodiments, the flow of nitrogen will leak from the back side of the wafer to increase the mol percentage of nitrogen.
  • the introduction of nitrogen (apart from the actual PVD process) will increase the mol percentage of nitrogen deposited on the wafer. In this way, the mol percentage of nitrogen to tantalum will increase, preferably to the mol target of about 25% or more.
  • the flow rate of nitrogen can range from between about 5 sccm to 100 sccm. In a more preferred embodiment, the flow rate of nitrogen is about 20 sccm.
  • a pressure of the chamber can be increased during the PVD process.
  • the increased pressure will increase the percentage of nitrogen to tantalum in the chamber.
  • the operating pressure of the chamber is about 2 mT to 3 mT.
  • the present invention contemplates an increase to the operating pressure to about 20 mT.
  • FIG. 3 shows a graph of SIMS (Secondary Ion Mask Spectroscopy) Data on nitrogen effects at the edge of a wafer using a conventional process. This graph is provided for comparison to the graphs depicted in FIGS. 4A-4C , which implement processes in accordance with the invention.
  • the SIMS data shows nitrogen deposition in a processing window, where the peak of the nitrogen is below the dashed line. This indicates a level of nitrogen at a certain depth on the wafer.
  • FIGS. 4A-4C show graphs of SIMS Data on nitrogen effects at the edge of a wafer using processes in accordance with the invention.
  • the peaks of the nitrogen in the processes according to the invention are at or above the dashed line, indicating a higher concentration of nitrogen on the wafer (compared to that of conventional processes).
  • the graph of FIG. 4A shows a level of nitrogen using the processes according to the first aspect of the invention, e.g., introducing nitrogen into the chamber prior to the PVD process and maintaining a ratio of nitrogen to argon at about 4:1.
  • the graph of FIG. 4B shows a level of nitrogen using the processes according to the first aspect of the invention, e.g., introducing nitrogen into the chamber at a flow rate of 80 sccm for about 15 seconds and maintaining such flow rate throughout the PVD process.
  • FIG. 4C shows a level of nitrogen using the combination of processes in each aspect of the invention combined. As shown graphically in FIG. 4C , the nitrogen level in this SIMS data is greater than each of the test results shown in FIGS. 4A and 4B (as well as FIG. 3 ).
  • FIGS. 5A and 5B graphically show a comparison of surface wafer defects in different wiring layers. More specifically, FIG. 5A shows surface wafer defects in an M1 wiring layer; whereas, FIG. 5B shows surface wafer defects in an M3 or upper wiring layer.
  • the graphs of FIGS. 5A and 5B are a result of conventional surface wafer defect scans.
  • the density of defects is less using the processes in accordance with the invention. Said otherwise, using two conventional processes results in more defects per cm 2 compared to using the processes in accordance with the invention.
  • the reduction in the creation of defects shown in FIGS. 5A and 5B result from the processes in accordance with the first aspect of the invention, e.g., introducing nitrogen into the chamber at a flow rate of 80 sccm for about 15 seconds and maintaining such flow rate throughout the PVD process.

Abstract

Processes for improving adhesion of films to semiconductor wafers and a semiconductor structure are provided. By implementing the processes of the invention, it is possible to significantly suppress defect creation, e.g., decrease particle generation, during wafer fabrication processes. More specifically, the processes described significantly reduce flaking of a TaN film from edges or extreme edges (bevel) of the wafer by effectively increasing the adhesion properties of the TaN film on the wafer. The method increasing a mol percent of nitride with respect to a total tantalum plus nitride to 25% or greater during a barrier layer fabrication process.

Description

    FIELD OF THE INVENTION
  • The present invention generally relates to methods of manufacturing semiconductor devices, and more specifically, to processes for improving adhesion of films to semiconductor wafers and a semiconductor structure.
  • BACKGROUND OF THE INVENTION
  • Production of semiconductor integrated circuits and other microelectronic devices from semiconductor wafers requires formation of one or more metal layers on a wafer. These metal layers are used, for example, to electrically interconnect various devices of the integrated circuit. These metal layers may be, for example, nickel, tungsten, solder, and copper. These metals can be deposited using various different techniques such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, and electroless plating.
  • Prior to the formation of metal interconnects, a barrier layer is formed in patterned structures such as, for example, vias, trenches or other features. The barrier layer is used to prevent movement of materials between the circuit patterns into an adjacent dielectric layer. The barrier layer is typically tantalum, or tantalum nitride, deposited into the vias and trenches by PVD processes. After the barrier layer is formed, a copper seed layer, for example, is deposited over the barrier layer and, thereafter, an electroplating process forms the copper interconnect layer.
  • However, it has been found that during the fabrication (e.g., PVD process) of the barrier layer, tantalum or tantalum nitride also deposits on the wafer. This forms a metal film on the entire wafer surface including at the edges and extreme edges or bevel of the wafer. This layer is very thin, typically in the range of 2 to 100 nanometers. It has also been found that the adhesion of the thin film to the wafer is not very reliable due to, at least, the concentration of the nitrogen (a mol percentage of less than 20%) to tantalum. It is also theorized that damage by semiconductor wafer processing steps, such as the damascence reactive ion etching (RIE) process, as well as from ion bombardment as a secondary result of the PVD process, causes damage to the near-silicon surface at the wafer edge. This damage then in turn promotes poor adhesion of dielectric layers that are deposited overtop the silicon wafer. The subsequent poor adhesion of the TaN layer, and exacerbated by its low nitrogen content, leads to subsequent flaking of the Tan and any material overtop the TaN barrier at the wafer edge and extreme edge. Due to the chemical composition of the TaN, this film has a tendency to flake off during subsequent processes of the integrated circuit.
  • This flaking, in turn, results in an increase in defect creation. More specifically, due to stresses imposed on the wafer in subsequent processes, the thin film of TaN flakes off, resulting in a significant increase in particle generation. This increase in particle generation will significantly reduce product yield and device reliability.
  • Accordingly, there exists a need in the art to overcome the deficiencies and limitations described hereinabove.
  • SUMMARY OF THE INVENTION
  • In a first aspect of the invention, a structure comprises a wafer having an edge and extreme edges. A trough and/or via is formed within a dielectric on the wafer. The trough and/or via has a barrier of TaN (tantalum nitride) (or TaSiN) with a mol percentage of N with respect to a total Ta plus N being from about 25% to 90%. The edge and extreme edges of the wafer have TaN deposited thereon with the mol percentage of N with respect to the total Ta plus N being from about 25% to 90%.
  • In an additional aspect of the invention, a method of fabricating a semiconductor structure comprises increasing a mol percent of nitride with respect to a total tantalum plus nitride to 25% or greater during a barrier layer fabrication process.
  • In embodiments, the mol percentage of the nitride is increased to a range of about 25% to 90%. The mol percentage of the nitride is increased to a range of about 30% to 35%. The mol percentage of the nitride is increased by introducing nitrogen into a chamber prior to a Pressure Vapor Deposition (PVD) process. A ratio of nitrogen to argon during the PVD process is at a ratio of about 2.5:1 or greater. The nitrogen is provided at a flow rate of between about 5 sccm to 300 sccm. The nitrogen is provided at a flow rate of about 80 sccm. The mol percentage of the nitride is increased by providing a power to the chamber of between about 100 W to 2 KW. The mol percentage of the nitride is increased by providing a negative charge to a wafer during a PVD process. The negative voltage is in a range of about −25V to −500V. The mol percentage of the nitride is increased by introducing nitrogen as a heat transfer medium between a wafer and a chuck such that the nitrogen leaks from a back side of the wafer to a surface of the wafer.
  • The flow rate of the nitrogen is between about 5 sccm to 100 sccm. The flow rate of the nitrogen is about 20 sccm. The mol percentage of the nitride is increased by increasing a pressure of a chamber during a PVD process to about 20 mT.
  • In a further aspect of the invention, a method of fabricating a semiconductor structure comprises adjusting a parameter in a chamber to increase a mol percent of nitride to 25% or greater with respect to a total tantalum plus nitride during a Pressure Vapor Deposition (PVD) process.
  • In yet another aspect of the invention, a method of depositing a barrier layer in a trough or via, comprises introducing nitrogen into a deposition chamber such that a resultant mol percentage of nitride with respect to tantalum plus nitride is about 25% to 90%. In embodiments, the introducing of nitrogen comprises at least one of: introducing nitrogen into the chamber prior to a PVD process, wherein a ratio of nitrogen to argon during the PVD process is at a ratio of greater than 2.5:1; providing a power to the chamber of between about 100W to 2KW; introducing nitrogen as a heat transfer medium between a wafer and a chuck such that the nitrogen leaks from a back side of the wafer to a surface of the wafer, wherein a flow rate of the nitrogen is between about 5 sccm to 100 sccm; attenuating a voltage applied to the wafer to a range of about −25V to −200V; and increasing a pressure of the chamber during the PVD process to about 20 mT.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is described in the detailed description which follows, in reference to the noted plurality of drawings by way of non-limiting examples of exemplary embodiments of the present invention.
  • FIG. 1 shows an exemplary structure fabricated in accordance with aspects of the invention;
  • FIG. 2 shows an exemplary processing chamber used with processes in accordance with aspects of the invention;
  • FIG. 3 graphically shows secondary ion mask spectroscopy (SIMS) data on nitrogen effects using a conventional process;
  • FIGS. 4A-4C graphically show SIMS data on nitrogen effects using processes in accordance with the invention; and
  • FIGS. 5A and 5B graphically show a comparison of surface wafer defects in different wiring layers.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention generally relates to processes for improving adhesion of films to semiconductor wafers and a semiconductor structure. By implementing the processes of the invention, it is now possible to significantly suppress defect creation, e.g., decrease particle generation, during wafer fabrication processes. More specifically, the processes described herein will significantly reduce flaking of a TaN film from edges or extreme edges (bevel) of the wafer by effectively increasing the adhesion properties of the TaN film on the wafer. The processes discussed herein will increase product yield and device reliability.
  • In one aspect of the invention, the suppression of defect creation is provided by improving the adhesion of TaN films (including, for example, derivates thereof such as TaSiN) to a wafer and more specifically to an edge and/or extreme edges of the wafer. As discussed herein, the advantages of the present invention are possible by increasing the mol percentage of nitrogen to tantalum, compared to that which is conventionally used in semiconductor processing. The mol percentage of nitrogen may be increased, for example, to about 25% or more with relation to the tantalum. That is, the mol percentage of nitrogen with respect to the total Ta plus N is preferably greater than 25%. More specifically, by implementing the processes herein, the present invention contemplates increasing the nitrogen mol percentage (with respect to a total for Ta plus N) to a range of about 25% to 90% and more particularly to a range of about 30% to 35%. It is possible to increase the mol percentage of nitrogen by improving conventional pressure vapor deposition processes (PVD) currently used to manufacture semiconductor devices. It should be understood by those of skill in the art that conventional PVD processes currently provide only about a mol percentage of 20% or less of nitrogen.
  • Structure of the Invention
  • FIG. 1 shows a structure which was fabricated using the processes of the invention. As should be the understood by those of skill in the art, TaN film is typically used in the formation of wires between layers of a semiconductor device. Conventionally, the semiconductor device is manufactured by etching vias and/or troughs 14 into a dielectric layer 12 on a wafer 10. The dielectric layer 12 can be any dielectric layer such as, for example, SiO2, fluorinated silicon, carbon doped silicon, etc.
  • The vias and/or troughs 14 are patterned using conventional processes. By way of illustration, a resist is placed over the dielectric layer 12. Selective portions of the resist are exposed to form openings. In subsequent processes, an etching takes place in order to form the vias and/or troughs 14 in the dielectric layer 12. The resist is then stripped.
  • Once the vias and/or troughs 14 are formed, a barrier layer 16 is deposited within the vias and/or troughs 14. This barrier layer 16, by the nature of the deposition process, is also deposited on the remaining portions of the structure including, for example, the edge and extreme edges 18 of the wafer 10. (It is at the edge and extreme edges 18 of the wafer 10 that flaking results, which contributes to defect creation at later processes steps due to stresses imposed on the wafer, for example).
  • The barrier layer 16 can be, for example, Ta, TaN or Ta followed by a deposition of TaN or TaSiN, to name a few. In the embodiments of the invention, the mol percentage of nitrogen (with respect to a total for Ta plus N) is at about 25% or greater and can range from about 25% to 90% and is preferably about 30% to 35%. The higher mol percentage of nitrogen significantly increases the adhesion of the TaN to the wafer thus significantly decreasing the defect creation in subsequent processing steps.
  • The TaN (or TaSiN, etc.) film 16 can range between about 2 nm to 50 nm in the vias and/or troughs 14 and about 10% to 100% of such at the edge and extreme edges 18 of the wafer 10. Typically, the lower range of the thickness of the TaN film is provided at lower wiring levels; whereas, the high range of the thickness of the TaN film is provided at higher wiring levels.
  • In additional processing steps, a seed layer of copper or copper alloy 20 is provided in the vias and/or troughs 14. The seed layer 20 supports the formation of the copper wiring (also shown as reference numeral 20), via an electroplating process. The structure is then planarized using, for example, chemical mechanical polishing (CMP). The processes described herein can be repeated for higher wiring layers.
  • Exemplary Chamber Used in Implementing Processes in Accordance with the Invention
  • FIG. 2 is a schematic representation of a processing chamber implementing the processes of the invention. In particular, the processing chamber, generally depicted as reference numeral 100, is used for PVD processes. The chamber 100 includes an interior portion 102 having a wafer platen and electrostatic chuck (ESC), generally depicted as reference numeral 104. (In conventional chambers, the wafer is held to the platen by an electrostatic force and an inert gas such as, for example, argon or helium, is used as a heat transfer medium (between the ESC and the wafer) in order to cool the wafer.) The chamber 100 also includes other components employed for physical vapor deposition processes, for example gas supplies and valves, temperature and pressure controls and instruments, process timing devices, etc., all generally depicted at reference numeral 106. The chamber 100 also includes a target, T, comprised of Tantalum.
  • Processes in Accordance with the Invention
  • It should be recognized by those of skill in the art that each of the aspects of the invention, disclosed below, can be provided in different combinations and permutations. For example, it is contemplated that each and any of the aspects of the invention and embodiments thereof can be combined to increase the mol percentage of nitrogen.
  • Also, the methods as described herein are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • First Aspect of the Invention
  • In a first aspect of the invention, prior to the PVD process, a flow of nitrogen is introduced into the chamber. The flow of nitrogen increases the nitrogen in the chamber during the PVD process. As should be understood by those of skill in the art, the nitrogen introduced into the chamber will mix with the TaN (or other elements included with the TaN, e.g., TaSiN) during the PVD process.
  • In embodiments, the nitrogen is provided at a flow rate of between about 5 sccm to 300 sccm and preferably at about 80 sccm. The flow rate can continue for about between one to 60 seconds and preferably about 15 seconds with an 80 sccm flow rate. In further embodiments, the flow of nitrogen can continue with the PVD process.
  • In embodiments, the nitrogen introduced prior to the PVD process will accumulate in the chamber, including on the surfaces of the wafer. More specifically, during the PVD process, argon will etch away the tantalum forming TaN on the surface of the wafer; however, due to the additional nitrogen flow introduced into the chamber, the nitrogen concentration will increase, thereby increasing the mol percentage of nitrogen deposited on the wafer. In embodiments, the nitrogen mol percentage can increase to about 25% or more, as discussed above. This, in turn, will improve the adhesion of the barrier layer on the edge and extreme edges of the wafer.
  • In embodiments of the invention, a ratio of the nitrogen to argon can be about 4:1, with nitrogen being in the greater concentration. In further embodiments, the ratio of nitrogen to argon can range from about 2.5:1 or greater. As one illustrative, non-limiting example, the nitrogen can be introduced into the chamber at 80 sccm and the argon can be introduced into the chamber at about 20 sccm or less.
  • Second Aspect of the Invention
  • In another aspect of the invention, the power (watts) used in the PVD process can be decreased compared to conventional processes. By way of example, depending on the particular chamber, conventional power usage is in the range of about 10 KW to about 50 KW. Comparatively, in embodiments of the invention, the power is decreased to about 2 KW, but depending on the chamber can vary between 100 W to 2 KW.
  • By decreasing the power, the etch rate of the tantalum will be effectively decreased over time. This, in turn, will allow more saturation time for the nitrogen to deposit on the wafer. Accordingly, the mol percentage of nitrogen will increase, preferably to the mol target of about 25% or more. This, in turn, will improve the adhesion of the barrier layer on the edge and extreme edges of the wafer.
  • Third Aspect of the Invention
  • In another aspect of the invention, the voltage applied to the wafer during the PVD process can be attenuated to effectively increase nitrogen deposition. For example, in embodiments of the invention, a negative charge can be applied to the wafer (which acts as an anode). The negative voltage, in turn, will bias the wafer and attract nitrogen ions which, again, will increase the percentage of nitrogen to tantalum deposited on the wafer. In embodiments, the voltage can be attenuated to the range of about −25V to −500V and preferably about −200V. In this way, the mol percentage of nitrogen to tantalum will increase, preferably to the mol target of about 25% or more.
  • Fourth Aspect of the Invention
  • In a further aspect of the invention, nitrogen can be introduced between the ESC and the wafer as the heat transfer medium. In this aspect of the invention, the flow of nitrogen between the ESC and the wafer will not only act as the heat flow medium, but also will mix with the TaN (or other elements included with the TaN, e.g., TaSiN) during the PVD process. That is, in embodiments, the flow of nitrogen will leak from the back side of the wafer to increase the mol percentage of nitrogen. As discussed above, the introduction of nitrogen (apart from the actual PVD process) will increase the mol percentage of nitrogen deposited on the wafer. In this way, the mol percentage of nitrogen to tantalum will increase, preferably to the mol target of about 25% or more.
  • In embodiments, the flow rate of nitrogen can range from between about 5 sccm to 100 sccm. In a more preferred embodiment, the flow rate of nitrogen is about 20 sccm.
  • Fifth Aspect of the Invention
  • In still another aspect of the invention, a pressure of the chamber can be increased during the PVD process. The increased pressure will increase the percentage of nitrogen to tantalum in the chamber. For example, in conventional processes, the operating pressure of the chamber is about 2 mT to 3 mT. However, the present invention contemplates an increase to the operating pressure to about 20 mT. By increasing the pressure, it is possible to increase the nitrogen atoms in the chamber. As such, as the tantalum moves about the chamber more nitrogen atoms will react with the tantalum. This, in turn, will increase the mol percentage of nitrogen to tantalum, preferably to the mol target of about 25% or more.
  • Graphical Representations of Exemplary Results in Accordance with Aspects of the Invention
  • FIG. 3 shows a graph of SIMS (Secondary Ion Mask Spectroscopy) Data on nitrogen effects at the edge of a wafer using a conventional process. This graph is provided for comparison to the graphs depicted in FIGS. 4A-4C, which implement processes in accordance with the invention. In particular and of interest, the SIMS data shows nitrogen deposition in a processing window, where the peak of the nitrogen is below the dashed line. This indicates a level of nitrogen at a certain depth on the wafer.
  • In comparison, FIGS. 4A-4C, show graphs of SIMS Data on nitrogen effects at the edge of a wafer using processes in accordance with the invention. As shown in FIGS. 4A-4C, the peaks of the nitrogen in the processes according to the invention are at or above the dashed line, indicating a higher concentration of nitrogen on the wafer (compared to that of conventional processes).
  • In particular, the graph of FIG. 4A shows a level of nitrogen using the processes according to the first aspect of the invention, e.g., introducing nitrogen into the chamber prior to the PVD process and maintaining a ratio of nitrogen to argon at about 4:1. The graph of FIG. 4B shows a level of nitrogen using the processes according to the first aspect of the invention, e.g., introducing nitrogen into the chamber at a flow rate of 80 sccm for about 15 seconds and maintaining such flow rate throughout the PVD process. FIG. 4C shows a level of nitrogen using the combination of processes in each aspect of the invention combined. As shown graphically in FIG. 4C, the nitrogen level in this SIMS data is greater than each of the test results shown in FIGS. 4A and 4B (as well as FIG. 3).
  • FIGS. 5A and 5B graphically show a comparison of surface wafer defects in different wiring layers. More specifically, FIG. 5A shows surface wafer defects in an M1 wiring layer; whereas, FIG. 5B shows surface wafer defects in an M3 or upper wiring layer. The graphs of FIGS. 5A and 5B are a result of conventional surface wafer defect scans.
  • As shown in both FIGS. 5A and 5B, the density of defects (number of defects/cm2) is less using the processes in accordance with the invention. Said otherwise, using two conventional processes results in more defects per cm2 compared to using the processes in accordance with the invention. The reduction in the creation of defects shown in FIGS. 5A and 5B result from the processes in accordance with the first aspect of the invention, e.g., introducing nitrogen into the chamber at a flow rate of 80 sccm for about 15 seconds and maintaining such flow rate throughout the PVD process.
  • While the invention has been described in terms of embodiments, those of skill in the art will recognize that the invention can be practiced with modifications and in the spirit and scope of the appended claims.

Claims (4)

What is claimed is:
1. A structure comprising:
a wafer having an edge and extreme edges; and
a trough and/or via formed within a dielectric on the wafer, wherein
the trough and/or via has a barrier of TaN (tantalum nitride) with a mol percentage of nitride (N) with respect to a total Ta plus N being from about 25% to 90%, and
the edge and extreme edges of the wafer have TaN deposited thereon with the mol percentage of N with respect to the total Ta plus N being from about 25% to 90%.
2. The structure of claim 1, wherein the mol percentage of N with respect to the total Ta plus N is from about 30% to 35% at the edge and extreme edges of the wafer.
3. The structure of claim 1, wherein the N leaks from a back side of the wafer to a surface of the wafer.
4. The method of claim 3, wherein the mol percentage of the N is in a range of about 30% to 35%.
US14/601,296 2008-02-22 2015-01-21 Methods of manufacturing semiconductor devices and a semiconductor structure Abandoned US20150130064A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/601,296 US20150130064A1 (en) 2008-02-22 2015-01-21 Methods of manufacturing semiconductor devices and a semiconductor structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/035,449 US8969195B2 (en) 2008-02-22 2008-02-22 Methods of manufacturing semiconductor devices and a semiconductor structure
US14/601,296 US20150130064A1 (en) 2008-02-22 2015-01-21 Methods of manufacturing semiconductor devices and a semiconductor structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/035,449 Division US8969195B2 (en) 2008-02-22 2008-02-22 Methods of manufacturing semiconductor devices and a semiconductor structure

Publications (1)

Publication Number Publication Date
US20150130064A1 true US20150130064A1 (en) 2015-05-14

Family

ID=40997507

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/035,449 Expired - Fee Related US8969195B2 (en) 2008-02-22 2008-02-22 Methods of manufacturing semiconductor devices and a semiconductor structure
US14/601,296 Abandoned US20150130064A1 (en) 2008-02-22 2015-01-21 Methods of manufacturing semiconductor devices and a semiconductor structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/035,449 Expired - Fee Related US8969195B2 (en) 2008-02-22 2008-02-22 Methods of manufacturing semiconductor devices and a semiconductor structure

Country Status (1)

Country Link
US (2) US8969195B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103025914B (en) * 2010-07-30 2016-04-13 吉坤日矿日石金属株式会社 Sputtering target and/or coil and their manufacture method
US8691681B2 (en) * 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US11923244B2 (en) * 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US20020005582A1 (en) * 1998-08-11 2002-01-17 Takeshi Nogami Pad structure for copper interconnection and its formation
US20040084320A1 (en) * 2002-10-30 2004-05-06 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US20050170641A1 (en) * 2004-01-30 2005-08-04 Semiconductor Leading Edge Technologies, Inc. Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
US20070026670A1 (en) * 2005-07-29 2007-02-01 Holger Schuehrer Method of reducing contamination by removing an interlayer dielectric from the substrate edge
US20070155133A1 (en) * 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US20120282766A1 (en) * 2011-05-06 2012-11-08 Lam Research Corporation Mitigation of silicide formation on wafer bevel

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184073B1 (en) * 1997-12-23 2001-02-06 Motorola, Inc. Process for forming a semiconductor device having an interconnect or conductive film electrically insulated from a conductive member or region
US6541371B1 (en) * 1999-02-08 2003-04-01 Novellus Systems, Inc. Apparatus and method for depositing superior Ta(N)/copper thin films for barrier and seed applications in semiconductor processing
US6337151B1 (en) * 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7189649B2 (en) * 2004-08-20 2007-03-13 United Microelectronics Corp. Method of forming a material film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005582A1 (en) * 1998-08-11 2002-01-17 Takeshi Nogami Pad structure for copper interconnection and its formation
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US20040084320A1 (en) * 2002-10-30 2004-05-06 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US20050170641A1 (en) * 2004-01-30 2005-08-04 Semiconductor Leading Edge Technologies, Inc. Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
US20070026670A1 (en) * 2005-07-29 2007-02-01 Holger Schuehrer Method of reducing contamination by removing an interlayer dielectric from the substrate edge
US20070155133A1 (en) * 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US20120282766A1 (en) * 2011-05-06 2012-11-08 Lam Research Corporation Mitigation of silicide formation on wafer bevel

Also Published As

Publication number Publication date
US20090212434A1 (en) 2009-08-27
US8969195B2 (en) 2015-03-03

Similar Documents

Publication Publication Date Title
US7396755B2 (en) Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer
KR100711526B1 (en) Process for the fabrication of a semiconductor device having copper interconnects
US6967405B1 (en) Film for copper diffusion barrier
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US7193327B2 (en) Barrier structure for semiconductor devices
US7368379B2 (en) Multi-layer interconnect structure for semiconductor devices
US8338293B2 (en) Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
JP7309697B2 (en) Method and apparatus for filling features of a substrate with cobalt
CN104157562A (en) Method for forming semiconductor structure
US6391774B1 (en) Fabrication process of semiconductor device
US20070077755A1 (en) Method of forming metal wiring in a semiconductor device
US20090134518A1 (en) Semiconductor device and manufacturing method of semiconductor device
US20150130064A1 (en) Methods of manufacturing semiconductor devices and a semiconductor structure
US20080265419A1 (en) Semiconductor structure comprising an electrically conductive feature and method of forming the same
US8039395B2 (en) Technique for forming embedded metal lines having increased resistance against stress-induced material transport
US7332425B2 (en) Simultaneous deposition and etch process for barrier layer formation in microelectronic device interconnects
US7981793B2 (en) Method of forming a metal directly on a conductive barrier layer by electrochemical deposition using an oxygen-depleted ambient
JP3737366B2 (en) Semiconductor device and manufacturing method thereof
US6423637B2 (en) Method of manufacturing copper wiring in a semiconductor device
US20180323103A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US7208415B2 (en) Plasma treatment method for electromigration reduction
US8039400B2 (en) Reducing contamination of semiconductor substrates during BEOL processing by performing a deposition/etch cycle during barrier deposition
US20090261477A1 (en) Semiconductor device and method of manufacturing the same
JP2004031497A (en) Semiconductor device and its manufacturing method
CN1426092A (en) Damascene process for chemically vapor depositing titanium nitride and copper metal layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ANDERSON, FELIX P.;BARKYOUMB, STEVEN P.;COONEY, EDWARD C., III;AND OTHERS;SIGNING DATES FROM 20150113 TO 20150115;REEL/FRAME:034774/0189

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117