US20150064923A1 - Plasma processing device and plasma processing method - Google Patents

Plasma processing device and plasma processing method Download PDF

Info

Publication number
US20150064923A1
US20150064923A1 US14/396,606 US201314396606A US2015064923A1 US 20150064923 A1 US20150064923 A1 US 20150064923A1 US 201314396606 A US201314396606 A US 201314396606A US 2015064923 A1 US2015064923 A1 US 2015064923A1
Authority
US
United States
Prior art keywords
gas
plasma
processing
plasma processing
target substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/396,606
Other versions
US9984906B2 (en
Inventor
Naoki Matsumoto
Yugo Tomita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATSUMOTO, NAOKI, TOMITA, YUGO
Publication of US20150064923A1 publication Critical patent/US20150064923A1/en
Application granted granted Critical
Publication of US9984906B2 publication Critical patent/US9984906B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Definitions

  • a plasma processing device that performs a plasma process for the purpose of depositing or etching a thin film.
  • the plasma processing device include a plasma CVD (Chemical Vapor Deposition) device for performing a thin film deposition process and a plasma etching device for performing an etching process.
  • the plasma processing device includes a processing chamber for processing a target substrate, a stage on which the target substrate is mounted in the processing chamber, a gas supply system for introducing a processing gas required in a plasma reaction into the processing chamber, and so forth.
  • the plasma processing device further includes a plasma generation mechanism for supplying electromagnetic energy such as microwaves or RF waves into the processing chamber to convert the processing gas in the processing chamber to plasma, a voltage applying mechanism for applying a bias voltage to the target stage and accelerating ions in the plasma toward the target substrate mounted on the target stage, and so forth.
  • a plasma etching device due to the variations in the inner surface temperature of the processing chamber, it is sometimes the case that the processing accuracy of target substrates varies even if a plasma process is repeated under the same processing condition.
  • a plasma etching device For example, if a plasma etching process is performed after a lapse of a period of time from when a previous plasma etching process is performed, there may be a case where the etching rate of the first target substrate of an initial lot (the first lot) becomes lower than the etching rate of a subsequent target substrate. Presumably, this is because the temperature of the inner surface of the processing chamber is decreased due to the leaving a certain amount of time after the execution of a previous plasma etching process and because the next plasma etching process is performed in that state.
  • seasoning is carried out prior to performing a plasma process for the target substrates of the first lot.
  • the seasoning means that the temperature of the inner surface of the processing chamber is increased by loading a dummy wafer on a stage and performing a plasma generating process before a plasma process for the first lot is performed. After the temperature of the inner surface of the processing chamber is increased, a normal plasma process is performed. According to this method, it is presumably possible to prevent a decrease in the etching rate even when the target substrate of the first lot are processed after the temperature of the inner surface of the processing chamber is decreased.
  • the related art by virtue of the seasoning process, the dummy wafer is loaded and the plasma process is performed prior to processing the target substrates of the first lot. Therefore, the number of target substrates processed per unit time is reduced. For that reason, in the related art, it is difficult to suppress a reduction in the etching rate of target substrates of the first lot while suppressing a reduction in the throughput of a plasma process of target substrates.
  • a plasma processing device in accordance with an aspect of the present invention includes a processing chamber configured to define a plasma processing space, and a substrate mounting stage provided within the processing chamber such that a target substrate is mounted on the substrate mounting stage.
  • the plasma processing device further includes a gas supply mechanism configured to introduce a processing gas to be used in a plasma reaction into the plasma processing space, and a plasma generation mechanism configured to supply electromagnetic energy for converting the processing gas introduced into the plasma processing space to plasma.
  • the plasma processing device includes a control unit configured to, if a command to start a plasma process for the target substrate mounted on a substrate carry-in stage outside the processing chamber is issued and the target substrate is to be transferred from the substrate carry-in stage into the processing chamber, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
  • a plasma processing device and a plasma processing method which are capable of suppressing a reduction in the etching rate of target substrates of the first lot while suppressing a reduction in the throughput of a plasma process of target substrates.
  • FIG. 1 is a view schematically showing a plasma processing system according to an embodiment.
  • FIG. 2 is a view schematically showing a plasma processing device according to the embodiment.
  • FIG. 3 is a plan view of a slot plate according to the embodiment, which is viewed in an axis X direction.
  • FIG. 4 is a sectional view showing, on an enlarged scale, an injector and a through-hole of a dielectric window according to the embodiment.
  • FIG. 5 is a view schematically showing a plasma processing method according to an embodiment.
  • FIG. 6 is a flowchart illustrating the plasma processing method according to the embodiment.
  • FIG. 7A is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 7B is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 7C is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 9 is a view illustrating one example of measurement points of the temperature of the dielectric window.
  • FIG. 10 is a view illustrating one example of the temperature measurement using a radiation thermometer.
  • FIG. 11 is a view illustrating another example of the temperature measurement using the radiation temperature measuring instrument.
  • FIG. 12 is a view showing the change in the temperature at each of the temperature measurement points of the dielectric window.
  • FIG. 13 is a view illustrating one example of the temperature measurement using an optical fiber thermometer.
  • FIG. 14A is a view showing the changes in the temperature of a dielectric window between lots.
  • FIG. 14B is a view showing the changes in the temperature of a dielectric window between lots.
  • FIG. 15 is a flowchart illustrating an inter-lot warm-up process according to an embodiment.
  • FIG. 1 is a view schematically showing a plasma processing system according to an embodiment.
  • the plasma processing system 1000 according to the embodiment includes an atmospheric transfer chamber 11 , loading and unloading ports 130 , load-lock chambers 150 and an alignment module 160 .
  • the plasma processing system 1000 further includes a vacuum transfer chamber 13 , a plurality of plasma processing devices PM 1 to PM 4 and a control unit 100 .
  • the atmospheric transfer chamber 11 is a rectangular parallelepiped transfer container which is kept under an atmospheric pressure and which is designed to transfer a target substrate W placed in each of the loading and unloading ports 130 to, e.g., the plasma processing device PM 1 .
  • a transfer mechanism 120 which includes a rotatable, extendable and retractable transfer arm for delivering the target substrate W between the loading and unloading ports 130 , the alignment module 160 and the load-lock chambers 150 .
  • a plurality of (three, in the embodiment) loading and unloading ports 130 is arranged side by side along one long-side sidewall of the atmospheric transfer chamber 11 .
  • the loading and unloading ports 130 are connected to one long-side sidewall of the atmospheric transfer chamber 11 through doors 140 .
  • Each of the loading and unloading ports 130 includes a FOUP (Front Opening Unified Pod) for accommodating target substrates W which have not yet been subjected to a plasma process.
  • FOUP Front Opening Unified Pod
  • a plurality of (two, in the embodiment) load-lock chambers 150 is arranged side by side along the other long-side sidewall of the atmospheric transfer chamber 11 .
  • the load-lock chambers 150 are preliminary vacuum chambers which are connected to the other long-side sidewall of the atmospheric transfer chamber 11 through gate valves G 1 and which are designed to deliver the target substrate W kept under an atmospheric pressure to the vacuum transfer chamber 13 kept under a vacuum pressure.
  • the alignment module 160 is provided on one short-side sidewall of the atmospheric transfer chamber 11 .
  • the alignment module 160 includes an orientor for adjusting the position of the target substrate W.
  • the vacuum transfer chamber 13 is a container for transferring the target substrate W.
  • the vacuum transfer chamber 13 has a hexagonal shape when seen in a plane view.
  • the vacuum transfer chamber 13 is maintained in a vacuum atmosphere by virtue of a vacuum pump not shown.
  • the load-lock chambers 150 are connected to the sidewalls of two sides of the vacuum transfer chamber 13 through gate valves G 2 .
  • the plasma processing devices PM 1 to PM 4 are connected to the sidewalls of the remaining four sides of the vacuum transfer chamber, 13 through gate valves G 3 .
  • a transfer mechanism 220 which includes a rotatable, extendable and retractable transfer arm for delivering the target substrate W between the load-lock chambers 150 and the plasma processing devices PM 1 to PM 4 .
  • the control unit 100 may be, e.g., a computer which includes a central processing unit (CPU) and a storage device such as a memory or the like.
  • the control unit 100 outputs various kinds of control signals according to programs and process recipes stored in the storage device.
  • the control unit 100 controls, e.g., transfer of the target substrate W, opening and closing of the respective gate valves G 1 to G 3 and the doors 140 , processes performed in the respective plasma processing devices PM 1 to PM 4 , a warm-up process, and the inter-lot warm-up process.
  • the various kinds of control signals outputted from the control unit 100 are inputted to the transfer mechanisms 120 and 220 , the respective gate valves G 1 to G 3 , the doors 140 and the plasma processing devices PM 1 to PM 4 . Details of the warm-up process and the inter-lot warm-up process executed by the control unit 100 will be described later.
  • the configuration of the plasma processing devices PM 1 to PM 4 according to the embodiment will be described.
  • description will be made by taking, as an example, a case where all the plasma processing devices PM 1 to PM 4 are plasma etching devices.
  • the present invention is not limited thereto.
  • the plasma processing device PM 1 will be representatively described. It should be appreciated that the plasma processing devices PM 2 to PM 4 have the same configuration as the plasma processing device PM 1 .
  • FIG. 2 is a view schematically showing the plasma processing device according to the embodiment.
  • the plasma processing device PM 1 shown in FIG. 2 includes a processing chamber 12 , a stage 14 , a microwave generator 16 , an antenna 18 and a dielectric window 20 .
  • the processing chamber 12 defines a processing space S for performing a plasma process.
  • the processing chamber 12 includes a sidewall 12 a and a bottom portion 12 b .
  • the sidewall 12 a is formed into a substantially tubular shape.
  • an axis X vertically extending through the center of the tubular sidewall 12 a will be imaginarily set and the extension direction of the axis X will be referred to as an axis X direction.
  • the bottom portion 12 b is provided at the lower end side of the sidewall 12 a to cover the bottom side opening of the sidewall 12 a .
  • An exhaust hole 12 h for exhausting a gas is provided in the bottom portion 12 b .
  • the upper end portion of the sidewall 12 a is opened.
  • the upper end opening of the sidewall 12 a is closed by the dielectric window 20 .
  • An O-ring 21 is interposed between the dielectric window 20 and the upper end portion of the sidewall 12 a .
  • the dielectric window 20 is provided in the upper end portion of the sidewall 12 a with the O-ring 21 interposed therebetween.
  • the sealing of the processing chamber 12 is made more reliable by the O-ring 21 .
  • the stage 14 is accommodated within the processing space S.
  • a target substrate W is mounted on the stage 14 .
  • the dielectric window 20 has a facing surface 20 a that faces the processing space S.
  • the microwave generator 16 generates a microwave of, e.g., 2.45 GHz.
  • the plasma processing device PM 1 further includes a tuner 22 , a waveguide 24 , a mode transducer 26 and a coaxial waveguide 28 .
  • the microwave generator 16 is connected to the waveguide 24 through the tuner 22 .
  • the waveguide 24 is, e.g., a rectangular waveguide.
  • the waveguide 24 is connected to the mode transducer 26 which is connected to the upper end of the coaxial waveguide 28 .
  • the coaxial waveguide 28 extends along the axis X.
  • the coaxial waveguide 28 includes an outer conductor 28 a and an inner conductor 28 b .
  • the outer conductor 28 a extends in the axis X direction and has a substantially cylindrical shape.
  • the inner conductor 28 b is provided within the outer conductor 28 a .
  • the inner conductor 28 b extends in the axis X direction and has a substantially cylindrical shape.
  • the microwave generated by the microwave generator 16 is guided to the mode transducer 26 through the tuner 22 and the waveguide 24 .
  • the mode transducer 26 converts the mode of the microwave and supplies the mode-converted microwave to the coaxial waveguide 28 .
  • the microwave is supplied from the coaxial waveguide 28 to the antenna 18 .
  • the antenna 18 emits a plasma-exciting microwave based on the microwave generated by the microwave generator 16 .
  • the antenna 18 includes a slot plate 30 , a dielectric plate 32 and a cooling jacket 34 .
  • the antenna 18 is provided on the opposite surface 20 b of the dielectric window 20 to the facing surface 20 a .
  • the antenna 18 Based on the microwave generated by the microwave generator 16 , the antenna 18 emits the plasma-exciting microwave toward the processing space S through the dielectric window 20 .
  • the microwave generator 16 and the antenna 18 constitute a plasma generation mechanism that supplies electromagnetic energy for converting a processing gas introduced into the processing space S to plasma.
  • the slot plate 30 is formed into a substantially disc-like shape with the surface thereof being orthogonal to the axis X.
  • the slot plate 30 is disposed on the opposite surface 20 b of the dielectric window 20 from the facing surface 20 a with the surface of the slot plate 30 making contact with the dielectric window 20 .
  • a plurality of slots 30 a is circumferentially arranged about the axis X.
  • FIG. 3 is a plan view of the slot plate according to the embodiment, which is viewed in the axis X direction.
  • the slot plate 30 is a slot plate that constitutes a radial line slot antenna.
  • the slot plate 30 is made of an electrically conductive metal and has a disc shape.
  • a plurality of slots 30 a is formed in the slot plate 30 .
  • Each of the slots 30 a includes a slot 30 b and a slot 30 c as elongated holes which extend in the mutually intersecting or orthogonal directions.
  • the slots 30 a are disposed at a specified interval in the radial direction and at a specified interval in the circumferential direction.
  • the slots 30 a include a first slot group 30 a - 1 in which the slots 30 a are formed along the circumferential direction of the slot plate 30 and a second slot group 30 a - 2 which is positioned radially outward of the first slot group 30 a - 1 and in which the slots 30 a are formed along the circumferential direction of the slot plate 30 .
  • a through-hole 30 d through which a conduit 36 to be described later passes is formed in the central portion of the slot plate 30 .
  • the dielectric plate 32 is formed into a substantially disc-like shape with the surface thereof being orthogonal to the axis X.
  • the dielectric plate 32 is provided between the slot plate 30 and the lower surface of the cooling jacket 34 .
  • the dielectric plate 32 is made of, e.g., quartz, and has a substantially disc-like shape.
  • the dielectric window 20 has an annular recess portion 20 c formed between a disc-like central portion and an outer peripheral portion of the facing surface 20 a which faces the processing space S.
  • the dielectric window includes a thick portion 20 d formed in the disc-like central portion and a thin portion 20 e formed between the disc-like central portion and the outer peripheral portion.
  • the surface of the cooling jacket 34 is electrically conductive.
  • the cooling jacket 34 has a flow path 34 a formed within the cooling jacket 34 such that a coolant can circulate through the flow path 34 a .
  • the dielectric plate 32 and the slot plate 30 are cooled by the circulation of the coolant.
  • the lower end of the outer conductor 28 a is electrically connected to the upper surface of the cooling jacket 34 .
  • the lower end of the inner conductor 28 b is electrically connected to the slot plate 30 through the holes formed in the central portions of the cooling jacket 34 and the dielectric plate 32 .
  • the microwave is propagated from the coaxial waveguide to the dielectric plate 32 and is introduced from the slots 30 a of the slot plate 30 into the processing space S through the dielectric window 20 .
  • a conduit 36 extends through the internal hole of the inner conductor 28 b of the coaxial waveguide 28 .
  • a through-hole 30 d through which the conduit 36 passes is formed in the central portion of the slot plate 30 .
  • the conduit 36 which extends along the axis X, is connected to gas supply systems 38 , 39 and 40 .
  • the gas supply system 38 supplies a processing gas for processing a target substrate W to the conduit 36 .
  • the processing gas supplied by the gas supply system 38 includes a fluorine-based gas.
  • the processing gas is an etching gas, e.g., CF 4 gas or CH 2 F 2 gas.
  • the gas supply system 38 may include a gas source 38 a , a valve 38 b and a flow rate controller 38 c .
  • the gas source 38 a is a supply source of the processing gas.
  • the valve 38 b switches the supply and the cutoff of the processing gas supplied from the gas source 38 a .
  • the flow rate controller 38 c is, e.g., a mass flow controller.
  • the flow rate controller 38 c controls the flow rate of the processing gas supplied from the gas source 38 a.
  • the gas supply system 39 supplies a processing gas for processing a target substrate W to the conduit 36 .
  • the processing gas supplied by the gas supply system 39 includes oxygen gas (O 2 gas).
  • the gas supply system 39 may include a gas source 39 a , a valve 39 b and a flow rate controller 39 c .
  • the gas source 39 a is a supply source of the oxygen gas.
  • the valve 39 b switches the supply and the cutoff of the processing gas supplied from the gas source 39 a .
  • the flow rate controller 39 c is, e.g., a mass flow controller.
  • the flow rate controller 39 c controls the flow rate of the processing gas supplied from the gas source 39 a.
  • the gas supply system 40 supplies argon gas to the conduit 36 .
  • argon gas is supplied from the gas supply system 40 .
  • the gas supply system 40 may include a gas source 40 a , a valve 40 b and a flow rate controller 40 c .
  • the gas source 40 a is a supply source of the argon gas.
  • the valve 40 b switches the supply and the cutoff of the argon gas supplied from the gas source 40 a .
  • the flow rate controller 40 c is, e.g., a mass flow controller.
  • the flow rate controller 40 c controls the flow rate of the argon gas supplied from the gas source 40 a .
  • the gas supply systems 38 , 39 and 40 constitute a gas supply mechanism for introducing processing gases to be used in a plasma reaction into the processing space S.
  • the plasma processing device PM 1 may further include an injector 41 .
  • the injector 41 supplies a gas from the conduit 36 to a through-hole 20 h formed in the dielectric window 20 .
  • the gas supplied to the through-hole 20 h of the dielectric window 20 is introduced into the processing space S.
  • a gas supply route defined by the conduit 36 , the injector 41 and the through-hole 20 h will be sometimes referred to as a “central gas introduction part”.
  • the plasma processing device PM 1 may further include a gas supply unit 42 .
  • the gas supply unit 42 is provided between the stage 14 and the dielectric window 20 to supply, a gas from the periphery of the axis X to the processing space S.
  • the gas supply unit 42 will be sometimes referred to as a “peripheral gas introduction part”.
  • the gas supply unit 42 includes a conduit 42 a .
  • the conduit 42 a is provided between the dielectric window 20 and the stage 14 to annularly extend about the axis X.
  • a plurality of gas supply holes 42 b is formed in the conduit 42 a .
  • the gas supply holes 42 b are annularly arranged and are opened toward the axis X such that the gas supplied into the conduit 42 a is supplied toward the axis X.
  • the gas supply unit 42 is connected to gas supply systems 43 , 44 and 45 through a conduit 46 .
  • the gas supply system 43 supplies a processing gas for processing a target substrate W to the gas supply unit 42 .
  • the processing gas supplied from the gas supply system 43 includes a fluorine-based gas which is the same as the processing gas of the gas supply system 38 .
  • the processing gas is an etching gas, e.g., CF 4 gas or CH 2 F 2 gas.
  • the gas supply system 43 may include a gas source 43 a , a valve 43 b and a flow rate controller 43 c .
  • the gas source 43 a is a supply source of the processing gas.
  • the valve 43 b switches the supply and the cutoff of the processing gas supplied from the gas source 43 a .
  • the flow rate controller 43 c is, e.g., a mass flow controller.
  • the flow rate controller 43 c controls the flow rate of the processing gas supplied from the gas source 43 a.
  • the gas supply system 45 supplies argon gas to the gas supply unit 42 .
  • argon gas is supplied from the gas supply system 45 .
  • the gas supply system 45 may include a gas source 45 a , a valve 45 b and a flow rate controller 45 c .
  • the gas source 45 a is a supply source of the argon gas.
  • the valve 45 b switches the supply and the cutoff of the argon gas supplied from the gas source 45 a .
  • the flow rate controller 45 c is, e.g., a mass flow controller.
  • the flow rate controller 45 c controls the flow rate of the argon gas supplied from the gas source 45 a .
  • the gas supply systems 43 , 44 and 45 constitute a gas supply mechanism for introducing processing gases used in a plasma reaction into the processing space S.
  • the stage 14 is provided to face the dielectric window 20 in the axis X direction.
  • the stage 14 is provided such that the processing space S exists between the dielectric window 20 and the stage 14 .
  • a target substrate W is mounted on the stage 14 .
  • the stage 14 includes a stand 14 a , a focus ring 14 b and an electrostatic chuck 14 c.
  • the stand 14 a is supported by a tubular support 48 .
  • the tubular support 48 is made of an insulating material.
  • the tubular support 48 extends vertically upward from the bottom portion 12 b .
  • An electrically-conductive tubular support portion 50 is provided around the tubular support 48 .
  • the tubular support portion 50 extends vertically upward from the bottom portion 12 b of the processing chamber 12 along the outer circumference of the tubular support 48 .
  • a ring-shaped exhaust path 51 is formed between the tubular support portion 50 and the sidewall 12 a.
  • a ring-shaped baffle plate 52 having a plurality of through-holes is installed in the upper portion of the exhaust path 51 .
  • An exhaust device 56 is connected to the lower portion of the exhaust hole 12 h through an exhaust pipe 54 .
  • the exhaust device 56 includes a vacuum pump such as a turbo molecular pump or the like.
  • the processing space S defined within the processing chamber 12 can be depressurized to a desired vacuum degree by the exhaust device 56 .
  • the stand 14 a serves as a high-frequency electrode.
  • a bias high frequency power supply 58 is electrically connected to the stand 14 a through a power feeding rod 62 and a matching unit 60 .
  • the high frequency power supply 58 outputs a specified high-frequency power having a predetermined frequency, e.g., 13.65 MHz, which is suitable for controlling the energy of ions attracted to a target substrate W.
  • the matching unit 60 accommodates a matcher for matching the impedance at side of the high frequency power supply 58 and the impedance at the side of loads including the electrode, the plasma, the processing chamber 12 and the like.
  • the matcher includes a blocking capacitor for generating a self-bias voltage.
  • the electrostatic chuck 14 c is provided on the upper surface of the stand 14 a .
  • the electrostatic chuck 14 c holds a target substrate W with an electrostatic attraction force.
  • the focus ring 14 b annularly surrounding the target substrate W is provided at the radial outer side of the electrostatic chuck 14 c .
  • the electrostatic chuck 14 c includes an electrode 14 d , and insulation films 14 e and 14 f .
  • the electrode 14 d is formed of a conductive film and is provided between the insulation film 14 e and the insulation film 14 f .
  • a high-voltage DC power supply 64 is electrically connected to the electrode 14 d through a switch 66 and a coated wire 68 .
  • the electrostatic chuck 14 c can attract and hold the target substrate W with a Coulomb's force generated by a DC voltage applied from the DC power supply 64 .
  • a ring-shaped coolant channel 14 g extending in the circumferential direction is provided within the stand 14 a .
  • a coolant e.g., cooling water
  • the temperature of the upper surface of the electrostatic chuck 14 c is controlled depending on the temperature of the coolant.
  • a heat transfer gas e.g., a He gas, is supplied to between the upper surface of the electrostatic chuck 14 c and the rear surface of the target substrate W through a gas supply pipe 74 .
  • the temperature of the target substrate W is controlled depending on the temperature of the upper surface of the electrostatic chuck 14 c .
  • the control signals outputted from the control unit 100 are inputted to the microwave generator 16 , the exhaust device 56 , the valve 38 b , the flow rate controller 38 c , the valve 43 b , the flow rate controller 43 c , the valve 39 b , the flow rate controller 39 c , the valve 40 b , the flow rate controller 40 c , the valve 44 b , the flow rate controller 44 c , the valve 45 b and the flow rate controller 45 c.
  • FIG. 4 is a sectional view showing, on an enlarged scale, the injector and the through-hole of the dielectric window according to the embodiment.
  • the dielectric window 20 includes an accommodation space 20 s and a through-hole 20 h in order from above along the axis X.
  • the through-hole 20 h brings the accommodation space 20 s and the processing space S into communication with each other.
  • the through-hole 20 h extends along the axis X and is configured such that an area of one portion of the through-hole 20 h between an antenna 18 side opening and a processing space S side opening becomes smaller than an area of another portion of the through-hole 20 h between the one portion and the antenna 18 side opening.
  • area used herein refers to the area of the through-hole 20 h on a plane orthogonal to the axis X. In one example, as shown in FIG. 4 , the through-hole 20 h has such a taper shape that the diameter thereof becomes smaller downward along the axis X.
  • the injector 41 and one end portion 36 b of the conduit 36 are accommodated within the accommodation space 20 s .
  • the conduit 36 may be made of an electrically conductive material.
  • the conduit 36 includes a body portion 36 a and one end portion 36 b .
  • the body portion 36 a extends along the axis X and has a tubular shape.
  • One end portion 36 b has a substantially disc-like shape and has an outer diameter larger than that of the body portion 36 a .
  • the conduit 36 is provided with a gas supply internal hole extending through the body portion 36 a and one end portion 36 b .
  • the body portion 36 a of the conduit 36 extends through the internal hole of the inner conductor 28 b.
  • the inner conductor 28 b is connected to the slot plate 30 .
  • the inner edge portion of the slot plate 30 is pinched by the lower end of the inner conductor 28 b and a metallic member 80 .
  • the member 80 is fixed to the lower end of the inner conductor 28 b by screws 82 .
  • the upper surface of one end portion 36 b of the conduit 36 makes contact with the lower surface of the slot plate 30 . In this way, the inner conductor 28 b , the slot plate 30 and the conduit 36 are electrically connected to one another.
  • the injector 41 is accommodated within the accommodation space 20 s at the lower side of one end portion 36 b of the conduit 36 .
  • the injector 41 has electric conductivity and has a substantially disc-like shape.
  • the injector 41 is made of, e.g., aluminum or stainless steel.
  • the injector 41 includes a first surface 41 a at the side of one end portion 36 b and a second surface 41 b at the side of the through-hole 20 h .
  • an Y 2 O 3 film may be formed on the second surface 41 b . This film may be formed by coating Y 2 O 3 on the second surface 41 b and then melting the coated film with an electron beam.
  • the injector 41 is fixed to one end portion 36 b of the conduit 36 by screws 84 and is electrically connected to one end portion 36 b of the conduit 36 .
  • the injector 41 can be set at the same electric potential as the inner conductor 28 b , the slot plate 30 and the conduit 36 .
  • the injector 41 may be set at, e.g., a ground potential.
  • an O-ring 86 is provided between the second surface 41 b of the injector 41 and the dielectric window 20 .
  • the O-ring 86 annularly extends to surround the through-hole 20 h side openings of the through-holes 41 h .
  • air-tightness is secured between the injector 41 and the dielectric window 20 .
  • An O-ring 88 is provided between the first surface 41 a of the injector 41 and one end portion 36 b of the conduit 36 .
  • the O-ring 88 annularly extends to surround the one end portion 36 b side openings of the through-holes 41 h .
  • air-tightness is secured between the injector 41 and one end portion 36 b of the conduit 36 .
  • FIG. 5 is a view schematically showing a plasma processing method according to an embodiment.
  • FIG. 5 shows a sequence of a transfer flow of a target substrate W, a warm-up plasma process and a plasma process.
  • the target substrate W is transferred from the loading and unloading port 130 to the plasma processing device PM 1 and is plasma-processed in the plasma processing device PM 1 .
  • the present invention is not limited thereto.
  • the target substrate W is placed at the loading and unloading port 130 . If a command is issued to start a plasma process for the target substrate W placed at the loading and unloading port 130 , the control unit 100 starts the transfer of the target substrate W.
  • the control unit 100 transfers the target substrate W from the loading and unloading port 130 to the alignment module 160 through the door 140 and the atmospheric transfer chamber 11 .
  • the alignment module 160 performs a process of adjusting the position of the target substrate W.
  • the control unit 100 transfers the position-adjusted target substrate W from the alignment module 160 to the load-lock chamber 150 through the gate valve G 1 .
  • the load-lock chamber 150 is depressurized to a vacuum pressure.
  • the control unit 100 transfers the target substrate W from the load-lock chamber 150 kept under a vacuum pressure to the plasma processing device PM 1 through the gate valve G 2 , the vacuum transfer chamber 13 and the gate valve G 3 .
  • the plasma processing device PM 1 performs a plasma process, e.g., an etching process, with respect to the target substrate W thus transferred.
  • the warm-up process refers to a process (warm-up plasma process) in which, during the transfer of the target substrate W, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism in a state (wafer-less state) where the target substrate W is not mounted on the stage 14 .
  • the control unit 100 finishes the warm-up process when the target substrate W reaches the gate valve G 3 installed in front of the plasma processing device PM 1 but before the target substrate W is transferred to the plasma processing device PM 1 .
  • the plasma processing device PM 1 performs a process (plasma process) in which, for the purpose of, e.g., an etching process, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism.
  • a process plasma process in which, for the purpose of, e.g., an etching process, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism.
  • FIG. 6 is a flowchart illustrating the plasma processing method according to the embodiment.
  • the control unit 100 starts the transfer of the target substrate W placed at the loading and unloading port 130 (S 102 ). Subsequently, if the transfer of the target substrate W is started, the control unit 100 starts a warm-up process (S 103 ). Then, the control unit 100 determines whether the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 is equal to or higher than a predetermined temperature or whether a predetermined time is elapsed (S 104 ).
  • the control unit 100 continues to perform the warm-up process until the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 becomes the predetermined temperature or higher or until the predetermined time is elapsed (if No in S 104 ).
  • the control unit 100 finishes the warm-up process (S 105 ) if the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 becomes the predetermined temperature or higher or if the predetermined time is elapsed (if Yes in S 104 ).
  • the control unit 100 starts a plasma process such as plasma etching or the like (S 107 ).
  • the warm-up process is performed while the target substrate W is being transferred to the plasma processing device PM 1 pursuant to the command for starting the plasma process of the target substrate W. Therefore, even if the target substrate W belongs to the first lot, the temperature of, e.g., the dielectric window 20 of the plasma processing device PM 1 is increased to a desired temperature at the time when the plasma process is performed with respect to the target substrate W of the first lot. In addition, the warm-up process is performed during the transfer of the target substrate W. Thus, the throughput is hardly affected by the warm-up process.
  • the plasma processing device PM 1 and the plasma processing method of the embodiment it is possible to suppress a reduction in the etching rate of the target substrate W of the first lot and to suppress a reduction in the throughput of the plasma process of the target substrate W.
  • FIGS. 7A , 7 B and 7 C are views for explaining the effects obtained by the plasma processing method according to the embodiment.
  • FIGS. 7A , 7 B and 7 C are views illustrating the effects of the plasma processing method according to the embodiment.
  • FIGS. 7A , 7 B and 7 C the horizontal axis indicates the number (slot number) denoting the processing order of target substrates W transferred into the plasma processing device PM 1 in one lot.
  • the vertical axis indicates the etching rate (nm/min) and the time averaged temperature (° C.) of the dielectric window 20 .
  • FIG. 7A is a graph representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where a plasma etching process is performed with respect to the target substrate W without using the plasma processing method according to the embodiment.
  • FIGS. 7B and 7C are graphs representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where a plasma etching process is performed with respect to the target substrate W using the plasma processing method according to the embodiment.
  • the temperature of the dielectric window 20 when processing the target substrate W of slot number “1” was lower than the temperature of the dielectric window 20 when processing the target substrates W of other slot numbers.
  • the etching rate of the target substrate W of slot number “1” was lower than the etching rates of the target substrates W of other slot numbers. This is called a “first wafer effect” which refers to a phenomenon that the etching rate of the target substrate W of slot number “1” is reduced due to the low temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W of slot number “1”.
  • FIG. 7C is a graph representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where the warm-up process was performed for a longer time than in FIG. 7B .
  • a curve 182 in FIG. 7C when processing the target substrate W of slot number “1”, the temperature of the dielectric window 20 was significantly higher than the temperature of the dielectric window 20 when processing the target substrates W of other slot numbers.
  • the etching rate of the target substrate W of slot number “1” could be kept substantially equal to the etching rates of the target substrates W of other slot numbers.
  • control unit 100 may cause a gas supply mechanism to supply a processing gas including oxygen gas into the processing space S. Moreover, when performing the warm-up process, the control unit 100 may cause a gas supply mechanism to first supply a first processing gas including a fluorine-based gas into the processing space S and then to supply a second processing gas including oxygen gas into the processing space S.
  • a gas supply mechanism to first supply a first processing gas including a fluorine-based gas into the processing space S and then to supply a second processing gas including oxygen gas into the processing space S.
  • FIG. 8 is a view showing changes in the temperature of the dielectric window for each type of processing gases in the plasma processing method according to the embodiment.
  • the horizontal axis indicates the time (sec) elapsed from the start of the warm-up process.
  • the vertical axis indicates the temperature (C) of the dielectric window 20 .
  • the curve 190 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF 6 gas (150 sccm), which is a fluorine-based gas, and O 2 gas (50 sccm) were supplied into the processing space S.
  • the curve 191 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF 6 gas (100 sccm) and O 2 gas (100 sccm) were supplied into the processing space S.
  • the curve 192 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF 6 gas (50 sccm) and O 2 gas (150 sccm) were supplied into the processing space S.
  • the curve 193 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, O 2 gas (200 sccm) was supplied into the processing space S.
  • the curve 194 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, O 2 gas (350 sccm) was supplied into the processing space S.
  • the curve 195 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, Ar gas (200 sccm) was supplied into the processing space S.
  • the curve 196 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, Ar gas (150 sccm) and O 2 gas (50 sccm) were supplied into the processing space S.
  • the time required for the temperature of the dielectric window 20 to reach 150° C. was 147 sec in case where the SF 6 gas (150 sccm) and the O 2 gas (50 sccm) were supplied as the processing gases (the curve 190 ).
  • the time required for the temperature of the dielectric window 20 to reach 150° C. was 246 sec in case where the SF 6 gas (100 sccm) and the O 2 gas (100 sccm) were supplied as the processing gases (the curve 191 ).
  • the time required for the temperature of the dielectric window 20 to reach 150° C. was 264 sec in case where the O 2 gas (200 sccm) was supplied as the processing gas (the curve 193 ).
  • the time required for the temperature of the dielectric window 20 to reach 150° C. was 283 sec in case where the SF 6 gas (50 sccm) and the O 2 gas (150 sccm) were supplied as the processing gases (the curve 192 ).
  • the time required for the temperature of the dielectric window 20 to reach 150° C. was 299 sec in case where the O 2 gas (350 sccm) was supplied as the processing gas (the curve 194 ).
  • the temperature of the dielectric window 20 failed to reach 150° C. in case where the Ar gas (200 sccm) was supplied as the processing gas (the curve 195 ) and in case where the Ar gas (150 sccm) and the O 2 gas (50 sccm) were supplied as the processing gases (the curve 196 ).
  • the temperature rise of the dielectric window 20 due to the warm-up process becomes faster when the O 2 gas is used as the processing gas than when the Ar gas is used as the processing gas. For that reason, in the embodiment, as the processing gas for the warm-up process, a processing gas including oxygen gas may be supplied into the processing space S.
  • the temperature rise of the dielectric window 20 due to the warm-up process becomes faster as the concentration of the SF 6 gas grows higher.
  • a plasma process such as etching or the like.
  • a first processing gas including a fluorine-based gas may be first supplied into the processing space S and then a second processing gas including oxygen gas may be supplied into the processing space S. This makes it possible to rapidly increase the temperature of the dielectric window 20 in the warm-up process and to clean the inside of the processing chamber 12 prior to the plasma process.
  • FIG. 9 is a view illustrating one example of measurement points of the temperature of the dielectric window.
  • FIGS. 10 and 11 are views illustrating one example of the temperature measurement using a radiation temperature measuring instrument.
  • the measurement points of the temperature of the dielectric window 20 may include a point 202 on an inner surface of the thin portion 20 e provided by the recess portion 20 c of the dielectric window 20 and a point 204 on an inner surface of the thick portion 30 d of the central portion of the dielectric window 20 .
  • the installation angle of the radiation thermometer 210 is adjusted. Then, the radiation thermometer 210 measures radiation energy such as infrared rays or the like, which is emitted from the measurement point 204 , through the measurement window 212 provided in the sidewall 12 a , thereby measuring the surface temperature of the measurement point 204 .
  • FIG. 12 is a view showing the change in the temperature at each of the temperature measurement points of the dielectric window.
  • the horizontal axis indicates the time (sec) elapsed from the start of the warm-up process.
  • the vertical axis indicates the temperature (C) of the dielectric window 20 .
  • the curve 212 indicates the change in the temperature of the measurement point 202 .
  • the curve 214 indicates the change in the temperature of the measurement point 204 .
  • the temperature of the measurement point 202 i.e., the temperature of the thin portion 20 e of the dielectric window 20
  • the temperature of the measurement point 204 i.e., the temperature of the thick portion 20 d of the dielectric window 20 , is gently increased.
  • the phenomenon (first wafer effect) that the etching rate of the target substrate W of an initial lot, e.g., the first lot, becomes low may be generated in case where the temperature of the thick portion 20 d of the central portion of the dielectric window 20 does not reach the predetermined temperature (e.g., 150° C.).
  • the predetermined temperature e.g. 150° C.
  • the temperature of the thick portion 20 d of the dielectric window 20 is measured using the radiation thermometer 210 and that the control unit 100 performs the warm-up process until the temperature of the thick portion 20 d of the dielectric window 20 measured by the radiation thermometer 210 becomes equal to or higher than the predetermined temperature (e.g., 150° C.).
  • the predetermined temperature e.g. 150° C.
  • the instrument for measuring the temperature of the dielectric window 20 is not limited to the radiation thermometer 210 .
  • FIG. 13 is a view illustrating one example of the temperature measurement using an optical fiber thermometer.
  • an optical fiber thermometer 220 is installed to measure temperature in the peripheral portion of the dielectric window 20 from the outside of the processing chamber 12 .
  • the transition of temperature rise of the dielectric window 20 differs in the central portion and the peripheral portion of the facing surface 20 a .
  • the warm-up process is performed while measuring the temperature of the central portion.
  • the temperature of the dielectric window 20 may be measured with a simplified configuration by, e.g., finding the correlation between the temperatures of the central portion and the peripheral portion of the dielectric window 20 through a preliminary experiment or simulation.
  • the correlation of the temperatures refers to, e.g., a temperature relationship in which, if the temperature of the peripheral portion of the dielectric window 20 is increased to a certain temperature (e.g., 250° C.), the temperature of the central portion of the facing surface 20 a of the dielectric window 20 can be regarded as having been increased to a desired temperature (e.g., 150° C.).
  • control unit 100 performs the warm-up process until the temperature of the peripheral portion of the dielectric window 20 measured by the optical fiber thermometer 220 becomes equal to or higher than a predetermined temperature which is set based on the correlation between the temperature of the peripheral portion of the dielectric window 20 measured by the optical fiber thermometer 220 and the temperature of the central portion of the facing surface 20 a of the dielectric window 20 .
  • FIGS. 14A and 14B are views showing a change in the temperature of the dielectric window between lots.
  • the horizontal axis indicates the time (sec) elapsed.
  • the vertical axis indicates the temperature (° C.) of the dielectric window 20 and the power (W) of microwave.
  • FIG. 14A shows the change in the temperature of the dielectric window in case where the time between lots is relatively long.
  • FIG. 14B shows the change in the temperature of the dielectric window in case where the time between lots is relatively short.
  • the curve 230 indicates the change in the power of microwave.
  • the curve 232 indicates the change in the temperature of the dielectric window 20 .
  • the curve 240 indicates the change in the power of microwave.
  • the curve 242 indicates the change in the temperature of the dielectric window 20 .
  • the temperature of the dielectric window 20 sharply decreases.
  • the average of the etching rates of the target substrates W of the initial lot (first lot) was 15.8 nm/min
  • the average of the etching rates of the target substrates W of the exchanged lot (second lot) was sharply reduced to 14.6 nm/min.
  • the lot exchange time 244 is relatively short after performing the plasma process for an initial lot, the reduction in the temperature of the dielectric window 20 is relatively small.
  • the average of the etching rates of the target substrates W of the initial lot (first lot) was 15.4 nm/min
  • the average of the etching rates of the target substrates W of the exchanged lot (second lot) was 15.3 nm/min. In this way, if the lot exchange time is relatively short and if the reduction in the temperature of the dielectric window 20 is relatively small, the reduction in the etching rate of the target substrate W is relatively small.
  • the inter-lot warm-up process refers to a process in which, during the time between the first lot and the second lot, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism in a state (wafer-less state) where the target substrate W is not mounted on the stage 14 .
  • FIG. 15 is a flowchart illustrating an inter-lot warm-up process according to an embodiment. As shown in FIG. 15 , if a plasma process for the first lot is finished (S 201 ), the control unit 100 determines whether a predetermined time is elapsed prior to performing a plasma process with respect to the second lot (S 202 ).
  • control unit 100 determines whether the temperature of the dielectric window is less than a predetermined temperature prior to performing the plasma process with respect to the second lot (S 203 ).
  • the control unit 100 If it is determined that the predetermined time is elapsed prior to performing the plasma process with respect to the second lot (if Yes in S 202 ) or if it is determined that the temperature of the dielectric window 20 is less than the predetermined temperature prior to performing the plasma process with respect to the second lot (if Yes in S 203 ), the control unit 100 starts an inter-lot warm-up process (S 204 ). After finishing the inter-lot warm-up process, the control unit 100 performs a plasma process with respect to the second lot (S 205 ).
  • the inter-lot warm-up process is performed. Accordingly, it is possible to prevent the temperature of the inner surface of the processing chamber (the dielectric window 20 ) from decreasing during the lot exchange time between the first lot and the second lot. This makes it possible to suppress a reduction in the etching rate of the target substrate W of the exchanged lot.
  • the warm-up process is performed while the target substrate W is being transferred to the plasma processing device PM 1 pursuant to a command for starting the plasma process of the target substrate W. Therefore, even if the target substrate W belongs to the first lot, the temperature of, e.g., the dielectric window 20 of the plasma processing device PM 1 is increased to a desired temperature at the time when the plasma process is performed with respect to the target substrate W of the first lot. In addition, since the warm-up process is performed during the transfer of the target substrate W, the throughput is hardly affected.
  • the plasma processing device PM 1 and the plasma processing method of the embodiment it is possible to suppress a reduction in the etching rate of the target substrate W of the first lot and to suppress a reduction in the throughput of the plasma process of the target substrate W.
  • a processing gas including oxygen gas is used when performing the warm-up process. It is therefore possible to rapidly increase the temperature of the dielectric window 20 .
  • the plasma processing device PM 1 of the present embodiment supplies a first processing gas including a fluorine-based gas into the plasma processing space and then supplies a second processing gas including oxygen gas into the plasma processing space. Therefore, according to the plasma processing device PM 1 of the present embodiment, it is possible to rapidly increase the temperature of the dielectric window 20 with the first processing gas and to clean the processing chamber 12 with the second processing gas.
  • the plasma processing device PM 1 of the present embodiment performs the inter-lot warm-up process if a predetermined time is elapsed or if the temperature of the dielectric window 20 is less than a predetermined temperature.
  • the inter-lot warm-up process it is possible to suppress a reduction in the temperature of the dielectric window 20 when exchanging the lots. This makes it possible to suppress a reduction in the etching rate of the target substrate W of the exchanged lot.
  • processing chamber 14 stage 16: microwave generator 18: antenna 20a: facing surface 20d: thick portion 20e: thin portion 20: dielectric window 30: slot plate 38, 39, 40, 43, 44, 45: gas supply system 100: control unit 120: transfer mechanism 130: loading and unloading port 150: load-lock chamber 160: alignment module 210: radiation thermometer 220: optical fiber thermometer PM1: plasma processing device

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

A plasma processing device includes a processing chamber defining a plasma processing space and a stage for mounting thereon a target substrate in the processing chamber. The plasma processing device further includes a gas supply mechanism for introducing a processing gas into the plasma processing space, a plasma generation mechanism for supplying electromagnetic energy into the plasma processing space, and a control unit configured to, if a command to start a plasma process for the target substrate mounted on a substrate carry-in stage is issued, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the stage.

Description

    TECHNICAL FIELD
  • Various aspects and embodiments of the present invention relate to a plasma processing device and a plasma processing method.
  • BACKGROUND ART
  • In a semiconductor manufacturing process, there is widely used a plasma processing device that performs a plasma process for the purpose of depositing or etching a thin film. Examples of the plasma processing device include a plasma CVD (Chemical Vapor Deposition) device for performing a thin film deposition process and a plasma etching device for performing an etching process.
  • The plasma processing device includes a processing chamber for processing a target substrate, a stage on which the target substrate is mounted in the processing chamber, a gas supply system for introducing a processing gas required in a plasma reaction into the processing chamber, and so forth. The plasma processing device further includes a plasma generation mechanism for supplying electromagnetic energy such as microwaves or RF waves into the processing chamber to convert the processing gas in the processing chamber to plasma, a voltage applying mechanism for applying a bias voltage to the target stage and accelerating ions in the plasma toward the target substrate mounted on the target stage, and so forth.
  • In the plasma processing device, due to the variations in the inner surface temperature of the processing chamber, it is sometimes the case that the processing accuracy of target substrates varies even if a plasma process is repeated under the same processing condition. Description will be made by taking a plasma etching device as an example. For example, if a plasma etching process is performed after a lapse of a period of time from when a previous plasma etching process is performed, there may be a case where the etching rate of the first target substrate of an initial lot (the first lot) becomes lower than the etching rate of a subsequent target substrate. Presumably, this is because the temperature of the inner surface of the processing chamber is decreased due to the leaving a certain amount of time after the execution of a previous plasma etching process and because the next plasma etching process is performed in that state.
  • In the related art, as a solution to this problem, there is known a method in which, as disclosed in Patent Document 1, seasoning is carried out prior to performing a plasma process for the target substrates of the first lot. The seasoning means that the temperature of the inner surface of the processing chamber is increased by loading a dummy wafer on a stage and performing a plasma generating process before a plasma process for the first lot is performed. After the temperature of the inner surface of the processing chamber is increased, a normal plasma process is performed. According to this method, it is presumably possible to prevent a decrease in the etching rate even when the target substrate of the first lot are processed after the temperature of the inner surface of the processing chamber is decreased.
  • RELATED ART DOCUMENTS Patent Documents
    • Patent Document 1: Japanese Patent Application Publication No. 2005-244065
    SUMMARY OF THE INVENTION Problems to be Solved
  • However, in the related art, by virtue of the seasoning process, the dummy wafer is loaded and the plasma process is performed prior to processing the target substrates of the first lot. Therefore, the number of target substrates processed per unit time is reduced. For that reason, in the related art, it is difficult to suppress a reduction in the etching rate of target substrates of the first lot while suppressing a reduction in the throughput of a plasma process of target substrates.
  • Means for Solving the Problems
  • A plasma processing device in accordance with an aspect of the present invention includes a processing chamber configured to define a plasma processing space, and a substrate mounting stage provided within the processing chamber such that a target substrate is mounted on the substrate mounting stage. The plasma processing device further includes a gas supply mechanism configured to introduce a processing gas to be used in a plasma reaction into the plasma processing space, and a plasma generation mechanism configured to supply electromagnetic energy for converting the processing gas introduced into the plasma processing space to plasma. Furthermore, the plasma processing device includes a control unit configured to, if a command to start a plasma process for the target substrate mounted on a substrate carry-in stage outside the processing chamber is issued and the target substrate is to be transferred from the substrate carry-in stage into the processing chamber, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
  • Effects of the Invention
  • According to various aspects and embodiments of the present invention, it is possible to realize a plasma processing device and a plasma processing method which are capable of suppressing a reduction in the etching rate of target substrates of the first lot while suppressing a reduction in the throughput of a plasma process of target substrates.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a view schematically showing a plasma processing system according to an embodiment.
  • FIG. 2 is a view schematically showing a plasma processing device according to the embodiment.
  • FIG. 3 is a plan view of a slot plate according to the embodiment, which is viewed in an axis X direction.
  • FIG. 4 is a sectional view showing, on an enlarged scale, an injector and a through-hole of a dielectric window according to the embodiment.
  • FIG. 5 is a view schematically showing a plasma processing method according to an embodiment.
  • FIG. 6 is a flowchart illustrating the plasma processing method according to the embodiment.
  • FIG. 7A is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 7B is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 7C is a view for explaining the effect obtained by the plasma processing method according to the embodiment.
  • FIG. 8 is a view showing the changes in the temperature of a dielectric window for each type of processing gases in the plasma processing method according to the embodiment.
  • FIG. 9 is a view illustrating one example of measurement points of the temperature of the dielectric window.
  • FIG. 10 is a view illustrating one example of the temperature measurement using a radiation thermometer.
  • FIG. 11 is a view illustrating another example of the temperature measurement using the radiation temperature measuring instrument.
  • FIG. 12 is a view showing the change in the temperature at each of the temperature measurement points of the dielectric window.
  • FIG. 13 is a view illustrating one example of the temperature measurement using an optical fiber thermometer.
  • FIG. 14A is a view showing the changes in the temperature of a dielectric window between lots.
  • FIG. 14B is a view showing the changes in the temperature of a dielectric window between lots.
  • FIG. 15 is a flowchart illustrating an inter-lot warm-up process according to an embodiment.
  • EMBODIMENTS OF THE INVENTION
  • Embodiments will now be described with reference to the drawings. In the respective drawings, identical or equivalent parts will be designated by like reference symbols.
  • FIG. 1 is a view schematically showing a plasma processing system according to an embodiment. As shown in FIG. 1, the plasma processing system 1000 according to the embodiment includes an atmospheric transfer chamber 11, loading and unloading ports 130, load-lock chambers 150 and an alignment module 160. The plasma processing system 1000 further includes a vacuum transfer chamber 13, a plurality of plasma processing devices PM1 to PM4 and a control unit 100.
  • The atmospheric transfer chamber 11 is a rectangular parallelepiped transfer container which is kept under an atmospheric pressure and which is designed to transfer a target substrate W placed in each of the loading and unloading ports 130 to, e.g., the plasma processing device PM1. Within the atmospheric transfer chamber 11, there is provided a transfer mechanism 120 which includes a rotatable, extendable and retractable transfer arm for delivering the target substrate W between the loading and unloading ports 130, the alignment module 160 and the load-lock chambers 150. A plurality of (three, in the embodiment) loading and unloading ports 130 is arranged side by side along one long-side sidewall of the atmospheric transfer chamber 11. The loading and unloading ports 130 are connected to one long-side sidewall of the atmospheric transfer chamber 11 through doors 140. Each of the loading and unloading ports 130 includes a FOUP (Front Opening Unified Pod) for accommodating target substrates W which have not yet been subjected to a plasma process.
  • A plurality of (two, in the embodiment) load-lock chambers 150 is arranged side by side along the other long-side sidewall of the atmospheric transfer chamber 11. The load-lock chambers 150 are preliminary vacuum chambers which are connected to the other long-side sidewall of the atmospheric transfer chamber 11 through gate valves G1 and which are designed to deliver the target substrate W kept under an atmospheric pressure to the vacuum transfer chamber 13 kept under a vacuum pressure. The alignment module 160 is provided on one short-side sidewall of the atmospheric transfer chamber 11. The alignment module 160 includes an orientor for adjusting the position of the target substrate W.
  • The vacuum transfer chamber 13 is a container for transferring the target substrate W. The vacuum transfer chamber 13 has a hexagonal shape when seen in a plane view. The vacuum transfer chamber 13 is maintained in a vacuum atmosphere by virtue of a vacuum pump not shown. The load-lock chambers 150 are connected to the sidewalls of two sides of the vacuum transfer chamber 13 through gate valves G2. The plasma processing devices PM1 to PM4 are connected to the sidewalls of the remaining four sides of the vacuum transfer chamber, 13 through gate valves G3. Within the vacuum transfer chamber 13, there is provided a transfer mechanism 220 which includes a rotatable, extendable and retractable transfer arm for delivering the target substrate W between the load-lock chambers 150 and the plasma processing devices PM1 to PM4.
  • The control unit 100 may be, e.g., a computer which includes a central processing unit (CPU) and a storage device such as a memory or the like. The control unit 100 outputs various kinds of control signals according to programs and process recipes stored in the storage device. The control unit 100 controls, e.g., transfer of the target substrate W, opening and closing of the respective gate valves G1 to G3 and the doors 140, processes performed in the respective plasma processing devices PM1 to PM4, a warm-up process, and the inter-lot warm-up process. The various kinds of control signals outputted from the control unit 100 are inputted to the transfer mechanisms 120 and 220, the respective gate valves G1 to G3, the doors 140 and the plasma processing devices PM1 to PM4. Details of the warm-up process and the inter-lot warm-up process executed by the control unit 100 will be described later.
  • Next, the configuration of the plasma processing devices PM1 to PM4 according to the embodiment will be described. In the present embodiment, description will be made by taking, as an example, a case where all the plasma processing devices PM1 to PM4 are plasma etching devices. However, the present invention is not limited thereto. In the following description, the plasma processing device PM1 will be representatively described. It should be appreciated that the plasma processing devices PM2 to PM4 have the same configuration as the plasma processing device PM1.
  • FIG. 2 is a view schematically showing the plasma processing device according to the embodiment. The plasma processing device PM1 shown in FIG. 2 includes a processing chamber 12, a stage 14, a microwave generator 16, an antenna 18 and a dielectric window 20.
  • The processing chamber 12 defines a processing space S for performing a plasma process. The processing chamber 12 includes a sidewall 12 a and a bottom portion 12 b. The sidewall 12 a is formed into a substantially tubular shape. In the following description, an axis X vertically extending through the center of the tubular sidewall 12 a will be imaginarily set and the extension direction of the axis X will be referred to as an axis X direction. The bottom portion 12 b is provided at the lower end side of the sidewall 12 a to cover the bottom side opening of the sidewall 12 a. An exhaust hole 12 h for exhausting a gas is provided in the bottom portion 12 b. The upper end portion of the sidewall 12 a is opened.
  • The upper end opening of the sidewall 12 a is closed by the dielectric window 20. An O-ring 21 is interposed between the dielectric window 20 and the upper end portion of the sidewall 12 a. The dielectric window 20 is provided in the upper end portion of the sidewall 12 a with the O-ring 21 interposed therebetween. The sealing of the processing chamber 12 is made more reliable by the O-ring 21. The stage 14 is accommodated within the processing space S. A target substrate W is mounted on the stage 14. The dielectric window 20 has a facing surface 20 a that faces the processing space S.
  • The microwave generator 16 generates a microwave of, e.g., 2.45 GHz. In the embodiment, the plasma processing device PM1 further includes a tuner 22, a waveguide 24, a mode transducer 26 and a coaxial waveguide 28.
  • The microwave generator 16 is connected to the waveguide 24 through the tuner 22. The waveguide 24 is, e.g., a rectangular waveguide. The waveguide 24 is connected to the mode transducer 26 which is connected to the upper end of the coaxial waveguide 28.
  • The coaxial waveguide 28 extends along the axis X. The coaxial waveguide 28 includes an outer conductor 28 a and an inner conductor 28 b. The outer conductor 28 a extends in the axis X direction and has a substantially cylindrical shape. The inner conductor 28 b is provided within the outer conductor 28 a. The inner conductor 28 b extends in the axis X direction and has a substantially cylindrical shape.
  • The microwave generated by the microwave generator 16 is guided to the mode transducer 26 through the tuner 22 and the waveguide 24. The mode transducer 26 converts the mode of the microwave and supplies the mode-converted microwave to the coaxial waveguide 28. The microwave is supplied from the coaxial waveguide 28 to the antenna 18.
  • The antenna 18 emits a plasma-exciting microwave based on the microwave generated by the microwave generator 16. The antenna 18 includes a slot plate 30, a dielectric plate 32 and a cooling jacket 34. The antenna 18 is provided on the opposite surface 20 b of the dielectric window 20 to the facing surface 20 a. Based on the microwave generated by the microwave generator 16, the antenna 18 emits the plasma-exciting microwave toward the processing space S through the dielectric window 20. The microwave generator 16 and the antenna 18 constitute a plasma generation mechanism that supplies electromagnetic energy for converting a processing gas introduced into the processing space S to plasma.
  • The slot plate 30 is formed into a substantially disc-like shape with the surface thereof being orthogonal to the axis X. The slot plate 30 is disposed on the opposite surface 20 b of the dielectric window 20 from the facing surface 20 a with the surface of the slot plate 30 making contact with the dielectric window 20. In the slot plate 30, a plurality of slots 30 a is circumferentially arranged about the axis X.
  • FIG. 3 is a plan view of the slot plate according to the embodiment, which is viewed in the axis X direction. In the embodiment, as shown in FIG. 3, the slot plate 30 is a slot plate that constitutes a radial line slot antenna. The slot plate 30 is made of an electrically conductive metal and has a disc shape. A plurality of slots 30 a is formed in the slot plate 30. Each of the slots 30 a includes a slot 30 b and a slot 30 c as elongated holes which extend in the mutually intersecting or orthogonal directions. The slots 30 a are disposed at a specified interval in the radial direction and at a specified interval in the circumferential direction.
  • In other words, the slots 30 a include a first slot group 30 a-1 in which the slots 30 a are formed along the circumferential direction of the slot plate 30 and a second slot group 30 a-2 which is positioned radially outward of the first slot group 30 a-1 and in which the slots 30 a are formed along the circumferential direction of the slot plate 30. A through-hole 30 d through which a conduit 36 to be described later passes is formed in the central portion of the slot plate 30.
  • Referring again to FIG. 2, the dielectric plate 32 is formed into a substantially disc-like shape with the surface thereof being orthogonal to the axis X. The dielectric plate 32 is provided between the slot plate 30 and the lower surface of the cooling jacket 34. The dielectric plate 32 is made of, e.g., quartz, and has a substantially disc-like shape. The dielectric window 20 has an annular recess portion 20 c formed between a disc-like central portion and an outer peripheral portion of the facing surface 20 a which faces the processing space S. Thus, the dielectric window includes a thick portion 20 d formed in the disc-like central portion and a thin portion 20 e formed between the disc-like central portion and the outer peripheral portion.
  • The surface of the cooling jacket 34 is electrically conductive. The cooling jacket 34 has a flow path 34 a formed within the cooling jacket 34 such that a coolant can circulate through the flow path 34 a. The dielectric plate 32 and the slot plate 30 are cooled by the circulation of the coolant. The lower end of the outer conductor 28 a is electrically connected to the upper surface of the cooling jacket 34. The lower end of the inner conductor 28 b is electrically connected to the slot plate 30 through the holes formed in the central portions of the cooling jacket 34 and the dielectric plate 32.
  • The microwave is propagated from the coaxial waveguide to the dielectric plate 32 and is introduced from the slots 30 a of the slot plate 30 into the processing space S through the dielectric window 20. In the embodiment, a conduit 36 extends through the internal hole of the inner conductor 28 b of the coaxial waveguide 28. A through-hole 30 d through which the conduit 36 passes is formed in the central portion of the slot plate 30. The conduit 36, which extends along the axis X, is connected to gas supply systems 38, 39 and 40.
  • The gas supply system 38 supplies a processing gas for processing a target substrate W to the conduit 36. The processing gas supplied by the gas supply system 38 includes a fluorine-based gas. In the embodiment, the processing gas is an etching gas, e.g., CF4 gas or CH2F2 gas. The gas supply system 38 may include a gas source 38 a, a valve 38 b and a flow rate controller 38 c. The gas source 38 a is a supply source of the processing gas. The valve 38 b switches the supply and the cutoff of the processing gas supplied from the gas source 38 a. The flow rate controller 38 c is, e.g., a mass flow controller. The flow rate controller 38 c controls the flow rate of the processing gas supplied from the gas source 38 a.
  • The gas supply system 39 supplies a processing gas for processing a target substrate W to the conduit 36. The processing gas supplied by the gas supply system 39 includes oxygen gas (O2 gas). The gas supply system 39 may include a gas source 39 a, a valve 39 b and a flow rate controller 39 c. The gas source 39 a is a supply source of the oxygen gas. The valve 39 b switches the supply and the cutoff of the processing gas supplied from the gas source 39 a. The flow rate controller 39 c is, e.g., a mass flow controller. The flow rate controller 39 c controls the flow rate of the processing gas supplied from the gas source 39 a.
  • The gas supply system 40 supplies argon gas to the conduit 36. In the embodiment, in addition to the processing gas supplied from the gas supply system 39, argon gas is supplied from the gas supply system 40. The gas supply system 40 may include a gas source 40 a, a valve 40 b and a flow rate controller 40 c. The gas source 40 a is a supply source of the argon gas. The valve 40 b switches the supply and the cutoff of the argon gas supplied from the gas source 40 a. The flow rate controller 40 c is, e.g., a mass flow controller. The flow rate controller 40 c controls the flow rate of the argon gas supplied from the gas source 40 a. The gas supply systems 38, 39 and 40 constitute a gas supply mechanism for introducing processing gases to be used in a plasma reaction into the processing space S.
  • In the embodiment, the plasma processing device PM1 may further include an injector 41. The injector 41 supplies a gas from the conduit 36 to a through-hole 20 h formed in the dielectric window 20. The gas supplied to the through-hole 20 h of the dielectric window 20 is introduced into the processing space S. In the following description, a gas supply route defined by the conduit 36, the injector 41 and the through-hole 20 h will be sometimes referred to as a “central gas introduction part”.
  • In the embodiment, the plasma processing device PM1 may further include a gas supply unit 42. The gas supply unit 42 is provided between the stage 14 and the dielectric window 20 to supply, a gas from the periphery of the axis X to the processing space S. In the following description, the gas supply unit 42 will be sometimes referred to as a “peripheral gas introduction part”. The gas supply unit 42 includes a conduit 42 a. The conduit 42 a is provided between the dielectric window 20 and the stage 14 to annularly extend about the axis X. A plurality of gas supply holes 42 b is formed in the conduit 42 a. The gas supply holes 42 b are annularly arranged and are opened toward the axis X such that the gas supplied into the conduit 42 a is supplied toward the axis X. The gas supply unit 42 is connected to gas supply systems 43, 44 and 45 through a conduit 46.
  • The gas supply system 43 supplies a processing gas for processing a target substrate W to the gas supply unit 42. The processing gas supplied from the gas supply system 43 includes a fluorine-based gas which is the same as the processing gas of the gas supply system 38. In the embodiment, the processing gas is an etching gas, e.g., CF4 gas or CH2F2 gas. The gas supply system 43 may include a gas source 43 a, a valve 43 b and a flow rate controller 43 c. The gas source 43 a is a supply source of the processing gas. The valve 43 b switches the supply and the cutoff of the processing gas supplied from the gas source 43 a. The flow rate controller 43 c is, e.g., a mass flow controller. The flow rate controller 43 c controls the flow rate of the processing gas supplied from the gas source 43 a.
  • The processing gas supplied by the gas supply system 44 includes oxygen gas which is the same as the processing gas of the gas supply system 39. The gas supply system 44 supplies the processing gas including oxygen gas (O2 gas) to the gas supply unit 42. The gas supply system 44 may include a gas source 44 a, a valve 44 b and a flow rate controller 44 c. The gas source 44 a is a supply source of the oxygen gas. The valve 44 b switches the supply and the cutoff of the processing gas supplied from the gas source 44 a. The flow rate controller 44 c is, e.g., a mass flow controller. The flow rate controller 44 c controls the flow rate of the processing gas supplied from the gas source 44 a.
  • The gas supply system 45 supplies argon gas to the gas supply unit 42. In the embodiment, in addition to the processing gas supplied from the gas supply system 44, argon gas is supplied from the gas supply system 45. The gas supply system 45 may include a gas source 45 a, a valve 45 b and a flow rate controller 45 c. The gas source 45 a is a supply source of the argon gas. The valve 45 b switches the supply and the cutoff of the argon gas supplied from the gas source 45 a. The flow rate controller 45 c is, e.g., a mass flow controller. The flow rate controller 45 c controls the flow rate of the argon gas supplied from the gas source 45 a. The gas supply systems 43, 44 and 45 constitute a gas supply mechanism for introducing processing gases used in a plasma reaction into the processing space S.
  • The stage 14 is provided to face the dielectric window 20 in the axis X direction. The stage 14 is provided such that the processing space S exists between the dielectric window 20 and the stage 14. A target substrate W is mounted on the stage 14. In the embodiment, the stage 14 includes a stand 14 a, a focus ring 14 b and an electrostatic chuck 14 c.
  • The stand 14 a is supported by a tubular support 48. The tubular support 48 is made of an insulating material. The tubular support 48 extends vertically upward from the bottom portion 12 b. An electrically-conductive tubular support portion 50 is provided around the tubular support 48. The tubular support portion 50 extends vertically upward from the bottom portion 12 b of the processing chamber 12 along the outer circumference of the tubular support 48. A ring-shaped exhaust path 51 is formed between the tubular support portion 50 and the sidewall 12 a.
  • A ring-shaped baffle plate 52 having a plurality of through-holes is installed in the upper portion of the exhaust path 51. An exhaust device 56 is connected to the lower portion of the exhaust hole 12 h through an exhaust pipe 54. The exhaust device 56 includes a vacuum pump such as a turbo molecular pump or the like. The processing space S defined within the processing chamber 12 can be depressurized to a desired vacuum degree by the exhaust device 56.
  • The stand 14 a serves as a high-frequency electrode. A bias high frequency power supply 58 is electrically connected to the stand 14 a through a power feeding rod 62 and a matching unit 60. The high frequency power supply 58 outputs a specified high-frequency power having a predetermined frequency, e.g., 13.65 MHz, which is suitable for controlling the energy of ions attracted to a target substrate W. The matching unit 60 accommodates a matcher for matching the impedance at side of the high frequency power supply 58 and the impedance at the side of loads including the electrode, the plasma, the processing chamber 12 and the like. The matcher includes a blocking capacitor for generating a self-bias voltage.
  • The electrostatic chuck 14 c is provided on the upper surface of the stand 14 a. The electrostatic chuck 14 c holds a target substrate W with an electrostatic attraction force. The focus ring 14 b annularly surrounding the target substrate W is provided at the radial outer side of the electrostatic chuck 14 c. The electrostatic chuck 14 c includes an electrode 14 d, and insulation films 14 e and 14 f. The electrode 14 d is formed of a conductive film and is provided between the insulation film 14 e and the insulation film 14 f. A high-voltage DC power supply 64 is electrically connected to the electrode 14 d through a switch 66 and a coated wire 68. The electrostatic chuck 14 c can attract and hold the target substrate W with a Coulomb's force generated by a DC voltage applied from the DC power supply 64.
  • A ring-shaped coolant channel 14 g extending in the circumferential direction is provided within the stand 14 a. A coolant, e.g., cooling water, having a predetermined temperature is circulated and supplied from a chiller unit (not shown) to the coolant channel 14 g through pipes 70 and 72. The temperature of the upper surface of the electrostatic chuck 14 c is controlled depending on the temperature of the coolant. A heat transfer gas, e.g., a He gas, is supplied to between the upper surface of the electrostatic chuck 14 c and the rear surface of the target substrate W through a gas supply pipe 74. The temperature of the target substrate W is controlled depending on the temperature of the upper surface of the electrostatic chuck 14 c. The control signals outputted from the control unit 100 are inputted to the microwave generator 16, the exhaust device 56, the valve 38 b, the flow rate controller 38 c, the valve 43 b, the flow rate controller 43 c, the valve 39 b, the flow rate controller 39 c, the valve 40 b, the flow rate controller 40 c, the valve 44 b, the flow rate controller 44 c, the valve 45 b and the flow rate controller 45 c.
  • Hereinafter, the injector 41 and the through-hole 20 h of the dielectric window 20 will be described in more detail with reference to FIG. 4. FIG. 4 is a sectional view showing, on an enlarged scale, the injector and the through-hole of the dielectric window according to the embodiment.
  • As shown in FIG. 4, the dielectric window 20 includes an accommodation space 20 s and a through-hole 20 h in order from above along the axis X. The through-hole 20 h brings the accommodation space 20 s and the processing space S into communication with each other. The through-hole 20 h extends along the axis X and is configured such that an area of one portion of the through-hole 20 h between an antenna 18 side opening and a processing space S side opening becomes smaller than an area of another portion of the through-hole 20 h between the one portion and the antenna 18 side opening. The term “area” used herein refers to the area of the through-hole 20 h on a plane orthogonal to the axis X. In one example, as shown in FIG. 4, the through-hole 20 h has such a taper shape that the diameter thereof becomes smaller downward along the axis X.
  • The injector 41 and one end portion 36 b of the conduit 36 are accommodated within the accommodation space 20 s. The conduit 36 may be made of an electrically conductive material. The conduit 36 includes a body portion 36 a and one end portion 36 b. The body portion 36 a extends along the axis X and has a tubular shape. One end portion 36 b has a substantially disc-like shape and has an outer diameter larger than that of the body portion 36 a. The conduit 36 is provided with a gas supply internal hole extending through the body portion 36 a and one end portion 36 b. The body portion 36 a of the conduit 36 extends through the internal hole of the inner conductor 28 b.
  • As mentioned above, the inner conductor 28 b is connected to the slot plate 30. In the embodiment, the inner edge portion of the slot plate 30 is pinched by the lower end of the inner conductor 28 b and a metallic member 80. The member 80 is fixed to the lower end of the inner conductor 28 b by screws 82. The upper surface of one end portion 36 b of the conduit 36 makes contact with the lower surface of the slot plate 30. In this way, the inner conductor 28 b, the slot plate 30 and the conduit 36 are electrically connected to one another.
  • The injector 41 is accommodated within the accommodation space 20 s at the lower side of one end portion 36 b of the conduit 36. The injector 41 has electric conductivity and has a substantially disc-like shape. The injector 41 is made of, e.g., aluminum or stainless steel.
  • The injector 41 includes a first surface 41 a at the side of one end portion 36 b and a second surface 41 b at the side of the through-hole 20 h. A plurality of through-holes 41 h extending from the first surface 41 a to the second surface 41 b is formed in the injector 41. In an embodiment, an Y2O3 film may be formed on the second surface 41 b. This film may be formed by coating Y2O3 on the second surface 41 b and then melting the coated film with an electron beam.
  • The injector 41 is fixed to one end portion 36 b of the conduit 36 by screws 84 and is electrically connected to one end portion 36 b of the conduit 36. Thus, the injector 41 can be set at the same electric potential as the inner conductor 28 b, the slot plate 30 and the conduit 36. The injector 41 may be set at, e.g., a ground potential.
  • In the embodiment, an O-ring 86 is provided between the second surface 41 b of the injector 41 and the dielectric window 20. The O-ring 86 annularly extends to surround the through-hole 20 h side openings of the through-holes 41 h. By virtue of the O-ring 86, air-tightness is secured between the injector 41 and the dielectric window 20. An O-ring 88 is provided between the first surface 41 a of the injector 41 and one end portion 36 b of the conduit 36. The O-ring 88 annularly extends to surround the one end portion 36 b side openings of the through-holes 41 h. Thus, air-tightness is secured between the injector 41 and one end portion 36 b of the conduit 36.
  • In the plasma processing device PM1 configured as above, a gas is supplied from the through-hole 20 h of the dielectric window 20 into the processing space S through the conduit 36 and the through-holes 41 h of the injector 41 along the axis X. Below the through-hole 20 h, a gas is supplied from the gas supply unit 42 toward the axis X. Microwave is introduced from the antenna 18 into the processing space S and/or the through-hole 20 h through the dielectric window 20. Thus, plasma is generated in the processing space S and/or the through-hole 20 h. In this manner, the plasma processing device PM1 can generate plasma without applying magnetic fields.
  • Next, description will be made on a plasma processing method using the plasma processing device PM1. FIG. 5 is a view schematically showing a plasma processing method according to an embodiment. FIG. 5 shows a sequence of a transfer flow of a target substrate W, a warm-up plasma process and a plasma process. In the example shown in FIG. 5, the target substrate W is transferred from the loading and unloading port 130 to the plasma processing device PM1 and is plasma-processed in the plasma processing device PM1. However, the present invention is not limited thereto.
  • First, description will be made on the transfer of the target substrate W. As shown in FIG. 5, the target substrate W is placed at the loading and unloading port 130. If a command is issued to start a plasma process for the target substrate W placed at the loading and unloading port 130, the control unit 100 starts the transfer of the target substrate W. The control unit 100 transfers the target substrate W from the loading and unloading port 130 to the alignment module 160 through the door 140 and the atmospheric transfer chamber 11. The alignment module 160 performs a process of adjusting the position of the target substrate W.
  • Subsequently, the control unit 100 transfers the position-adjusted target substrate W from the alignment module 160 to the load-lock chamber 150 through the gate valve G1. After the target substrate W is transferred, the load-lock chamber 150 is depressurized to a vacuum pressure. Then, the control unit 100 transfers the target substrate W from the load-lock chamber 150 kept under a vacuum pressure to the plasma processing device PM1 through the gate valve G2, the vacuum transfer chamber 13 and the gate valve G3. The plasma processing device PM1 performs a plasma process, e.g., an etching process, with respect to the target substrate W thus transferred.
  • Next, description will be made on a warm-up process of the control unit 100. As shown in FIG. 5, if the transfer of the target substrate W is started pursuant to a command of starting a plasma process for the target substrate W placed at the loading and unloading port 130, the control unit 100 performs a warm-up process. The warm-up process refers to a process (warm-up plasma process) in which, during the transfer of the target substrate W, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism in a state (wafer-less state) where the target substrate W is not mounted on the stage 14.
  • The control unit 100 finishes the warm-up process when the target substrate W reaches the gate valve G3 installed in front of the plasma processing device PM1 but before the target substrate W is transferred to the plasma processing device PM1. After the target substrate W is transferred to the plasma processing device PM1 and is mounted on the stage 14, the plasma processing device PM1 performs a process (plasma process) in which, for the purpose of, e.g., an etching process, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism.
  • In the embodiment described above, there is illustrated an example where the warm-up process is finished after the target substrate W has reached the gate valve G. However, the present invention is not limited thereto. FIG. 6 is a flowchart illustrating the plasma processing method according to the embodiment.
  • As shown in FIG. 6, if a command to start a plasma process for the target substrate W placed at the loading and unloading port 130 is issued (S101), the control unit 100 starts the transfer of the target substrate W placed at the loading and unloading port 130 (S102). Subsequently, if the transfer of the target substrate W is started, the control unit 100 starts a warm-up process (S103). Then, the control unit 100 determines whether the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 is equal to or higher than a predetermined temperature or whether a predetermined time is elapsed (S104).
  • The control unit 100 continues to perform the warm-up process until the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 becomes the predetermined temperature or higher or until the predetermined time is elapsed (if No in S104). On the other hand, the control unit 100 finishes the warm-up process (S105) if the temperature of the central portion of, e.g., the facing surface 20 a of the dielectric window 20 becomes the predetermined temperature or higher or if the predetermined time is elapsed (if Yes in S104).
  • Subsequently, if the target substrate W arrives at the plasma processing device PM1 (the stage 14) (S106), the control unit 100 starts a plasma process such as plasma etching or the like (S107).
  • According to the plasma processing device PM1 and the plasma processing method of the embodiment, the warm-up process is performed while the target substrate W is being transferred to the plasma processing device PM1 pursuant to the command for starting the plasma process of the target substrate W. Therefore, even if the target substrate W belongs to the first lot, the temperature of, e.g., the dielectric window 20 of the plasma processing device PM1 is increased to a desired temperature at the time when the plasma process is performed with respect to the target substrate W of the first lot. In addition, the warm-up process is performed during the transfer of the target substrate W. Thus, the throughput is hardly affected by the warm-up process. As a result, according to the plasma processing device PM1 and the plasma processing method of the embodiment, it is possible to suppress a reduction in the etching rate of the target substrate W of the first lot and to suppress a reduction in the throughput of the plasma process of the target substrate W.
  • Next, description will be made on the effects obtained by the plasma processing method according to the embodiment. FIGS. 7A, 7B and 7C are views for explaining the effects obtained by the plasma processing method according to the embodiment. FIGS. 7A, 7B and 7C are views illustrating the effects of the plasma processing method according to the embodiment.
  • In FIGS. 7A, 7B and 7C, the horizontal axis indicates the number (slot number) denoting the processing order of target substrates W transferred into the plasma processing device PM1 in one lot. The vertical axis indicates the etching rate (nm/min) and the time averaged temperature (° C.) of the dielectric window 20. FIG. 7A is a graph representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where a plasma etching process is performed with respect to the target substrate W without using the plasma processing method according to the embodiment. FIGS. 7B and 7C are graphs representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where a plasma etching process is performed with respect to the target substrate W using the plasma processing method according to the embodiment.
  • Referring first to FIG. 7A, when the plasma processing method according to the embodiment was not used, as indicated by a curve 174, the temperature of the dielectric window 20 when processing the target substrate W of slot number “1” was lower than the temperature of the dielectric window 20 when processing the target substrates W of other slot numbers. Furthermore, as indicated by a curve 172, the etching rate of the target substrate W of slot number “1” was lower than the etching rates of the target substrates W of other slot numbers. This is called a “first wafer effect” which refers to a phenomenon that the etching rate of the target substrate W of slot number “1” is reduced due to the low temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W of slot number “1”.
  • In contrast, when the plasma processing method according to the embodiment was used, the warm-up process was performed while the target substrate W was being transferred to the plasma processing device PM1 pursuant to the command for starting the plasma process of target substrate W. As a result, as indicated by a curve 178 in FIG. 7B, the temperature of the dielectric window 20 when processing the target substrate W of slot number “1” was equal to or a little higher than the temperature of the dielectric window 20 when processing the target substrates W of other slot numbers. In this way, according to the plasma processing method of the embodiment, it is possible to keep high the temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W of slot number “1”. As a result, as indicated by a curve 176, the etching rate of the target substrate W of slot number “1” could be kept substantially equal to the etching rates of the target substrates W of other slot numbers.
  • FIG. 7C is a graph representing the relationship between the temperature of the dielectric window 20 and the etching rate in case where the warm-up process was performed for a longer time than in FIG. 7B. As indicated by a curve 182 in FIG. 7C, when processing the target substrate W of slot number “1”, the temperature of the dielectric window 20 was significantly higher than the temperature of the dielectric window 20 when processing the target substrates W of other slot numbers. In this way, according to the plasma processing method of the embodiment, it is possible to keep high the temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W of slot number “1”. As a result, as indicated by a curve 180, the etching rate of the target substrate W of slot number “1” could be kept substantially equal to the etching rates of the target substrates W of other slot numbers.
  • When performing the warm-up process, the control unit 100 may cause a gas supply mechanism to supply a processing gas including oxygen gas into the processing space S. Moreover, when performing the warm-up process, the control unit 100 may cause a gas supply mechanism to first supply a first processing gas including a fluorine-based gas into the processing space S and then to supply a second processing gas including oxygen gas into the processing space S. In this regard, description will be made herein below.
  • FIG. 8 is a view showing changes in the temperature of the dielectric window for each type of processing gases in the plasma processing method according to the embodiment. In FIG. 8, the horizontal axis indicates the time (sec) elapsed from the start of the warm-up process. The vertical axis indicates the temperature (C) of the dielectric window 20.
  • In FIG. 8, the curve 190 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF6 gas (150 sccm), which is a fluorine-based gas, and O2 gas (50 sccm) were supplied into the processing space S. The curve 191 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF6 gas (100 sccm) and O2 gas (100 sccm) were supplied into the processing space S. The curve 192 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, SF6 gas (50 sccm) and O2 gas (150 sccm) were supplied into the processing space S.
  • The curve 193 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, O2 gas (200 sccm) was supplied into the processing space S. The curve 194 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, O2 gas (350 sccm) was supplied into the processing space S.
  • The curve 195 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gas of the warm-up process, Ar gas (200 sccm) was supplied into the processing space S. The curve 196 indicates the change in the temperature of the dielectric window 20 in case where, as the processing gases of the warm-up process, Ar gas (150 sccm) and O2 gas (50 sccm) were supplied into the processing space S.
  • The time required for the temperature of the dielectric window 20 to reach 150° C. was 147 sec in case where the SF6 gas (150 sccm) and the O2 gas (50 sccm) were supplied as the processing gases (the curve 190). The time required for the temperature of the dielectric window 20 to reach 150° C. was 246 sec in case where the SF6 gas (100 sccm) and the O2 gas (100 sccm) were supplied as the processing gases (the curve 191).
  • The time required for the temperature of the dielectric window 20 to reach 150° C. was 264 sec in case where the O2 gas (200 sccm) was supplied as the processing gas (the curve 193). The time required for the temperature of the dielectric window 20 to reach 150° C. was 283 sec in case where the SF6 gas (50 sccm) and the O2 gas (150 sccm) were supplied as the processing gases (the curve 192).
  • The time required for the temperature of the dielectric window 20 to reach 150° C. was 299 sec in case where the O2 gas (350 sccm) was supplied as the processing gas (the curve 194). The temperature of the dielectric window 20 failed to reach 150° C. in case where the Ar gas (200 sccm) was supplied as the processing gas (the curve 195) and in case where the Ar gas (150 sccm) and the O2 gas (50 sccm) were supplied as the processing gases (the curve 196).
  • As can be noted from these results and FIG. 8, the temperature rise of the dielectric window 20 due to the warm-up process becomes faster when the O2 gas is used as the processing gas than when the Ar gas is used as the processing gas. For that reason, in the embodiment, as the processing gas for the warm-up process, a processing gas including oxygen gas may be supplied into the processing space S.
  • As can be noted from these results and FIG. 8, the temperature rise of the dielectric window 20 due to the warm-up process becomes faster as the concentration of the SF6 gas grows higher. In case where plasma is generated using the SF6 gas in the warm-up process, it is preferred that the inside of the processing chamber 12 is cleaned prior to subsequently performing a plasma process such as etching or the like. For that reason, in the embodiment, as the processing gas for the warm-up process, a first processing gas including a fluorine-based gas may be first supplied into the processing space S and then a second processing gas including oxygen gas may be supplied into the processing space S. This makes it possible to rapidly increase the temperature of the dielectric window 20 in the warm-up process and to clean the inside of the processing chamber 12 prior to the plasma process.
  • Next, description will be made on the measurement of the temperature of the dielectric window 20. FIG. 9 is a view illustrating one example of measurement points of the temperature of the dielectric window. FIGS. 10 and 11 are views illustrating one example of the temperature measurement using a radiation temperature measuring instrument.
  • As shown in FIG. 9, the measurement points of the temperature of the dielectric window 20 may include a point 202 on an inner surface of the thin portion 20 e provided by the recess portion 20 c of the dielectric window 20 and a point 204 on an inner surface of the thick portion 30 d of the central portion of the dielectric window 20.
  • In case where the temperature of the point 202 is measured, as shown in FIG. 10, a radiation thermometer 210 is installed outside the sidewall 12 a. Then, the radiation thermometer 210 measures radiation energy such as infrared rays or the like, which is emitted from the measurement point 202, through a measurement window 212 provided in the sidewall 12 a, thereby measuring the surface temperature of the measurement point 202.
  • In case where the temperature of the point 204 is measured, as shown in FIG. 11, the installation angle of the radiation thermometer 210 is adjusted. Then, the radiation thermometer 210 measures radiation energy such as infrared rays or the like, which is emitted from the measurement point 204, through the measurement window 212 provided in the sidewall 12 a, thereby measuring the surface temperature of the measurement point 204.
  • Next, description will be made on the change of the temperature of the dielectric window 20 in case where the temperatures of the point 202 and the point 204 are measured. FIG. 12 is a view showing the change in the temperature at each of the temperature measurement points of the dielectric window. In FIG. 12, the horizontal axis indicates the time (sec) elapsed from the start of the warm-up process. The vertical axis indicates the temperature (C) of the dielectric window 20.
  • In FIG. 12, the curve 212 indicates the change in the temperature of the measurement point 202. The curve 214 indicates the change in the temperature of the measurement point 204. As shown in FIG. 12, after the warm-up process is started, the temperature of the measurement point 202, i.e., the temperature of the thin portion 20 e of the dielectric window 20, is sharply increased first. The temperature of the measurement point 204, i.e., the temperature of the thick portion 20 d of the dielectric window 20, is gently increased.
  • In this regard, even if the temperature of the thin portion 20 e reaches a predetermined temperature (e.g., 150° C.), the phenomenon (first wafer effect) that the etching rate of the target substrate W of an initial lot, e.g., the first lot, becomes low may be generated in case where the temperature of the thick portion 20 d of the central portion of the dielectric window 20 does not reach the predetermined temperature (e.g., 150° C.). In other words, in case where the temperature of the measurement point 202 corresponding to the thin portion 20 e is measured and the warm-up process is finished because the measured temperature reaches the predetermined temperature, there is a fear that the first wafer effect is generated due to the failure to sufficiently heating the thick portion 20 d of the dielectric window 20. For that reason, in the embodiment, it is preferred that the temperature of the thick portion 20 d of the dielectric window 20 is measured using the radiation thermometer 210 and that the control unit 100 performs the warm-up process until the temperature of the thick portion 20 d of the dielectric window 20 measured by the radiation thermometer 210 becomes equal to or higher than the predetermined temperature (e.g., 150° C.).
  • The instrument for measuring the temperature of the dielectric window 20 is not limited to the radiation thermometer 210. For example, it may be possible to use an optical fiber thermometer. FIG. 13 is a view illustrating one example of the temperature measurement using an optical fiber thermometer.
  • As shown in FIG. 13, an optical fiber thermometer 220 is installed to measure temperature in the peripheral portion of the dielectric window 20 from the outside of the processing chamber 12. As described above, the transition of temperature rise of the dielectric window 20 differs in the central portion and the peripheral portion of the facing surface 20 a. In order to suppress the first wafer effect, it is preferred that the warm-up process is performed while measuring the temperature of the central portion.
  • However, the temperature of the dielectric window 20 may be measured with a simplified configuration by, e.g., finding the correlation between the temperatures of the central portion and the peripheral portion of the dielectric window 20 through a preliminary experiment or simulation. The correlation of the temperatures refers to, e.g., a temperature relationship in which, if the temperature of the peripheral portion of the dielectric window 20 is increased to a certain temperature (e.g., 250° C.), the temperature of the central portion of the facing surface 20 a of the dielectric window 20 can be regarded as having been increased to a desired temperature (e.g., 150° C.).
  • For that reason, it is preferred that the control unit 100 performs the warm-up process until the temperature of the peripheral portion of the dielectric window 20 measured by the optical fiber thermometer 220 becomes equal to or higher than a predetermined temperature which is set based on the correlation between the temperature of the peripheral portion of the dielectric window 20 measured by the optical fiber thermometer 220 and the temperature of the central portion of the facing surface 20 a of the dielectric window 20.
  • This makes it possible to simplify the temperature measurement configuration, because the temperature of the peripheral portion of the dielectric window 20 can be measured at the atmosphere side by the optical fiber thermometer 220 without having to measure the temperature of the central portion of the facing surface 20 a of the dielectric window 20 with the radiation thermometer 210.
  • Next, description will be made on an inter-lot warm-up process. FIGS. 14A and 14B are views showing a change in the temperature of the dielectric window between lots. In FIGS. 14A and 14B, the horizontal axis indicates the time (sec) elapsed. The vertical axis indicates the temperature (° C.) of the dielectric window 20 and the power (W) of microwave. FIG. 14A shows the change in the temperature of the dielectric window in case where the time between lots is relatively long. FIG. 14B shows the change in the temperature of the dielectric window in case where the time between lots is relatively short. In FIG. 14A, the curve 230 indicates the change in the power of microwave. The curve 232 indicates the change in the temperature of the dielectric window 20. In FIG. 14B, the curve 240 indicates the change in the power of microwave. The curve 242 indicates the change in the temperature of the dielectric window 20.
  • As indicated by the curve 230 in FIG. 14A, if the lot exchange time 234 is relatively long after performing the plasma process for an initial lot, the temperature of the dielectric window 20 sharply decreases. In this case, the average of the etching rates of the target substrates W of the initial lot (first lot) was 15.8 nm/min, whereas the average of the etching rates of the target substrates W of the exchanged lot (second lot) was sharply reduced to 14.6 nm/min.
  • On the other hand, as indicated by the curve 240 in FIG. 14B, if the lot exchange time 244 is relatively short after performing the plasma process for an initial lot, the reduction in the temperature of the dielectric window 20 is relatively small. In this case, the average of the etching rates of the target substrates W of the initial lot (first lot) was 15.4 nm/min, whereas the average of the etching rates of the target substrates W of the exchanged lot (second lot) was 15.3 nm/min. In this way, if the lot exchange time is relatively short and if the reduction in the temperature of the dielectric window 20 is relatively small, the reduction in the etching rate of the target substrate W is relatively small.
  • In other words, if the lot exchange time is long, the reduction in the temperature of the dielectric window 20 becomes relatively large and the etching rate of the target substrate W of the exchanged lot decreases. Thus, the warm-up process between lots (the inter-lot warm-up process) is effective. The inter-lot warm-up process refers to a process in which, during the time between the first lot and the second lot, a processing gas is supplied into the processing space S by a gas supply mechanism and electromagnetic energy is supplied into the processing space S by a plasma generation mechanism in a state (wafer-less state) where the target substrate W is not mounted on the stage 14.
  • FIG. 15 is a flowchart illustrating an inter-lot warm-up process according to an embodiment. As shown in FIG. 15, if a plasma process for the first lot is finished (S201), the control unit 100 determines whether a predetermined time is elapsed prior to performing a plasma process with respect to the second lot (S202).
  • If it is determined that the predetermined time is not elapsed prior to performing the plasma process with respect to the second lot (if No in S202), the control unit 100 determines whether the temperature of the dielectric window is less than a predetermined temperature prior to performing the plasma process with respect to the second lot (S203).
  • If it is determined that the predetermined time is elapsed prior to performing the plasma process with respect to the second lot (if Yes in S202) or if it is determined that the temperature of the dielectric window 20 is less than the predetermined temperature prior to performing the plasma process with respect to the second lot (if Yes in S203), the control unit 100 starts an inter-lot warm-up process (S204). After finishing the inter-lot warm-up process, the control unit 100 performs a plasma process with respect to the second lot (S205).
  • In this way, during the time between the first lot and the second lot, if the predetermined time is lapsed or if the temperature of the dielectric window 20 is less than the predetermined temperature, the inter-lot warm-up process is performed. Accordingly, it is possible to prevent the temperature of the inner surface of the processing chamber (the dielectric window 20) from decreasing during the lot exchange time between the first lot and the second lot. This makes it possible to suppress a reduction in the etching rate of the target substrate W of the exchanged lot.
  • According to the plasma processing device PM1 of the present embodiment, the warm-up process is performed while the target substrate W is being transferred to the plasma processing device PM1 pursuant to a command for starting the plasma process of the target substrate W. Therefore, even if the target substrate W belongs to the first lot, the temperature of, e.g., the dielectric window 20 of the plasma processing device PM1 is increased to a desired temperature at the time when the plasma process is performed with respect to the target substrate W of the first lot. In addition, since the warm-up process is performed during the transfer of the target substrate W, the throughput is hardly affected. As a result, according to the plasma processing device PM1 and the plasma processing method of the embodiment, it is possible to suppress a reduction in the etching rate of the target substrate W of the first lot and to suppress a reduction in the throughput of the plasma process of the target substrate W.
  • According to the plasma processing device PM1 of the present embodiment, a processing gas including oxygen gas is used when performing the warm-up process. It is therefore possible to rapidly increase the temperature of the dielectric window 20. When performing the warm-up process, the plasma processing device PM1 of the present embodiment supplies a first processing gas including a fluorine-based gas into the plasma processing space and then supplies a second processing gas including oxygen gas into the plasma processing space. Therefore, according to the plasma processing device PM1 of the present embodiment, it is possible to rapidly increase the temperature of the dielectric window 20 with the first processing gas and to clean the processing chamber 12 with the second processing gas.
  • When exchanging the lots, the plasma processing device PM1 of the present embodiment performs the inter-lot warm-up process if a predetermined time is elapsed or if the temperature of the dielectric window 20 is less than a predetermined temperature. By the inter-lot warm-up process, it is possible to suppress a reduction in the temperature of the dielectric window 20 when exchanging the lots. This makes it possible to suppress a reduction in the etching rate of the target substrate W of the exchanged lot.
  • Description of Reference Symbols
    12: processing chamber 14: stage
    16: microwave generator 18: antenna
    20a: facing surface 20d: thick portion
    20e: thin portion 20: dielectric window
    30: slot plate
    38, 39, 40, 43, 44, 45: gas supply system
    100: control unit 120: transfer mechanism
    130: loading and unloading port 150: load-lock chamber
    160: alignment module 210: radiation thermometer
    220: optical fiber thermometer
    PM1: plasma processing device

Claims (17)

1. A plasma processing device, comprising:
a processing chamber configured to define a plasma processing space;
a substrate mounting stage provided within the processing chamber such that a target substrate is mounted on the substrate mounting stage;
a gas supply mechanism configured to introduce a processing gas to be used in a plasma reaction into the plasma processing space;
a plasma generation mechanism configured to supply electromagnetic energy for converting the processing gas introduced into the plasma processing space to plasma; and
a control unit configured to, if a command to start a plasma process for a target substrate mounted on a loading and unloading port outside the processing chamber is issued and the target substrate is to be transferred from the loading and unloading port into the processing chamber, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
2. The plasma processing device of claim 1, wherein the plasma generation mechanism includes a microwave generator, a dielectric body having a facing surface which faces the plasma processing space, and an antenna provided on an opposite surface of the dielectric body to the facing surface and configured to radiate a plasma excitation microwave toward the plasma processing space through the dielectric body based on a microwave generated by the microwave generator, and
wherein the control unit performs the warm-up process until a temperature of a central portion of the facing surface of the dielectric body becomes equal to or higher than a predetermined temperature.
3. The plasma processing device of claim 2, further comprising:
a radiation thermometer configured to measure the temperature of the central portion of the facing surface of the dielectric body from the outside of the processing chamber,
wherein the control unit performs the warm-up process until the temperature of the central portion of the facing surface of the dielectric body measured by the radiation thermometer becomes equal to or higher than the predetermined temperature.
4. The plasma processing device of claim 2, further comprising:
an optical fiber thermometer configured to measure a temperature of a peripheral portion of the dielectric body from the outside of the processing chamber,
wherein the control unit performs the warm-up process until the temperature of the peripheral portion of the dielectric body measured by the optical fiber thermometer becomes equal to or higher than the predetermined temperature which is set based on a correlation between the temperature of the peripheral portion of the dielectric body measured by the optical fiber thermometer and the temperature of the central portion of the facing surface of the dielectric body.
5. The plasma processing device of claim 1, wherein the processing gas includes oxygen gas, and
wherein the control unit is configured to supply the processing gas including the oxygen gas into the plasma processing space by the gas supply mechanism.
6. The plasma processing device of claim 1, wherein the processing gas includes a first processing gas including a fluorine-based gas and a second processing gas including oxygen gas, and
wherein the control unit is configured to supply the first processing gas into the plasma processing space by the gas supply mechanism and then to supply the second processing gas into the plasma processing space by the gas supply mechanism.
7. The plasma processing device of claim 1, wherein the control unit is configured to, after performing a plasma process for a target substrate of a first lot and before performing a plasma process for a target substrate of the next lot, if a predetermined time is elapsed or if a temperature of a predetermined portion of the plasma processing device is less than a predetermined temperature, perform an inter-lot warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
8. A plasma processing method using a plasma processing device which includes a processing chamber configured to define a plasma processing space, a substrate mounting stage provided within the processing chamber such that a target substrate is mounted on the substrate mounting stage, a gas supply mechanism configured to introduce a processing gas to be used in a plasma reaction into the plasma processing space, and a plasma generation mechanism configured to supply electromagnetic energy for converting the processing gas introduced into the plasma processing space to plasma, the method comprising:
if a command to start a plasma process for a target substrate mounted on a loading and unloading port outside the processing chamber is issued, while the target substrate is being transferred from the loading and unloading port into the processing chamber, supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
9. The plasma processing device of claim 2, wherein the processing gas includes oxygen gas, and
wherein the control unit is configured to supply the processing gas including the oxygen gas into the plasma processing space by the gas supply mechanism.
10. The plasma processing device of claim 3, wherein the processing gas includes oxygen gas, and
wherein the control unit is configured to supply the processing gas including the oxygen gas into the plasma processing space by the gas supply mechanism.
11. The plasma processing device of claim 4, wherein the processing gas includes oxygen gas, and
wherein the control unit is configured to supply the processing gas including the oxygen gas into the plasma processing space by the gas supply mechanism.
12. The plasma processing device of claim 2, wherein the processing gas includes a first processing gas including a fluorine-based gas and a second processing gas including oxygen gas, and
wherein the control unit is configured to supply the first processing gas into the plasma processing space by the gas supply mechanism and then to supply the second processing gas into the plasma processing space by the gas supply mechanism.
13. The plasma processing device of claim 3, wherein the processing gas includes a first processing gas including a fluorine-based gas and a second processing gas including oxygen gas, and
wherein the control unit is configured to supply the first processing gas into the plasma processing space by the gas supply mechanism and then to supply the second processing gas into the plasma processing space by the gas supply mechanism.
14. The plasma processing device of claim 4, wherein the processing gas includes a first processing gas including a fluorine-based gas and a second processing gas including oxygen gas, and
wherein the control unit is configured to supply the first processing gas into the plasma processing space by the gas supply mechanism and then to supply the second processing gas into the plasma processing space by the gas supply mechanism.
15. The plasma processing device of claim 2, wherein the control unit is configured to, after performing a plasma process for a target substrate of a first lot and before performing a plasma process for a target substrate of the next lot, if a predetermined time is elapsed or if a temperature of a predetermined portion of the plasma processing device is less than a predetermined temperature, perform an inter-lot warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
16. The plasma processing device of claim 3, wherein the control unit is configured to, after performing a plasma process for a target substrate of a first lot and before performing a plasma process for a target substrate of the next lot, if a predetermined time is elapsed or if a temperature of a predetermined portion of the plasma processing device is less than a predetermined temperature, perform an inter-lot warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
17. The plasma processing device of claim 4, wherein the control unit is configured to, after performing a plasma process for a target substrate of a first lot and before performing a plasma process for a target substrate of the next lot, if a predetermined time is elapsed or if a temperature of a predetermined portion of the plasma processing device is less than a predetermined temperature, perform an inter-lot warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the substrate mounting stage.
US14/396,606 2012-05-25 2013-05-21 Plasma processing device and plasma processing method Active 2033-11-05 US9984906B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012119619 2012-05-25
JP2012-119619 2012-05-25
PCT/JP2013/064114 WO2013176144A1 (en) 2012-05-25 2013-05-21 Plasma processing device and plasma processing method

Publications (2)

Publication Number Publication Date
US20150064923A1 true US20150064923A1 (en) 2015-03-05
US9984906B2 US9984906B2 (en) 2018-05-29

Family

ID=49623832

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/396,606 Active 2033-11-05 US9984906B2 (en) 2012-05-25 2013-05-21 Plasma processing device and plasma processing method

Country Status (5)

Country Link
US (1) US9984906B2 (en)
JP (1) JP6014661B2 (en)
KR (1) KR102102003B1 (en)
TW (1) TWI574587B (en)
WO (1) WO2013176144A1 (en)

Cited By (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
US20190172689A1 (en) * 2017-12-05 2019-06-06 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US20200216961A1 (en) * 2017-09-20 2020-07-09 Kokusai Electric Corporation Substrate processing apparatus, a non-transitory computer-readable recording medium
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20200303169A1 (en) * 2019-03-20 2020-09-24 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN113498546A (en) * 2020-02-03 2021-10-12 株式会社日立高新技术 Plasma processing apparatus and plasma processing method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US10184183B2 (en) 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
JP2018095901A (en) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 Substrate treating device
JP2018116836A (en) * 2017-01-18 2018-07-26 東京エレクトロン株式会社 Antenna, plasma processing apparatus, and plasma processing method
JP7267843B2 (en) * 2019-06-07 2023-05-02 株式会社アルバック Plasma processing equipment
KR102619965B1 (en) * 2022-05-16 2024-01-02 세메스 주식회사 Apparatus for Treating Substrate and Method for Treating Substrate

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US5846331A (en) * 1996-04-25 1998-12-08 Nec Corporation Plasma processing apparatus
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
US5990017A (en) * 1991-06-27 1999-11-23 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US6736930B1 (en) * 1999-03-29 2004-05-18 Tokyo Electron Limited Microwave plasma processing apparatus for controlling a temperature of a wavelength reducing member
US20040118520A1 (en) * 2002-12-03 2004-06-24 Canon Kabushiki Kaisha Plasma processing apparatus and method
US20050148167A1 (en) * 2002-07-30 2005-07-07 Tokyo Electron Limited Method and apparatus for forming insulating layer
US20050227500A1 (en) * 2002-03-29 2005-10-13 Tokyo Electron Limited Method for producing material of electronic device
US20070113788A1 (en) * 2003-09-04 2007-05-24 Tokyo Electron Limited Plasma processing equipment
US20070163502A1 (en) * 2004-01-09 2007-07-19 Toshihisa Nozawa Substrate processing apparatus
US20110048642A1 (en) * 2009-09-02 2011-03-03 Tokyo Electron Limited Plasma processing apparatus
US20110108195A1 (en) * 2008-07-04 2011-05-12 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing Appratus using temperature adjusting mechanism
US20110168673A1 (en) * 2008-07-04 2011-07-14 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and mechanism for regulating temperature of dielectric window
US20110303641A1 (en) * 2010-06-11 2011-12-15 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120064726A1 (en) * 2010-09-15 2012-03-15 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JP4490704B2 (en) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ Plasma processing method
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP4646941B2 (en) * 2007-03-30 2011-03-09 東京エレクトロン株式会社 Substrate processing apparatus and method for stabilizing state in processing chamber
JP5545795B2 (en) * 2008-02-26 2014-07-09 株式会社日立国際電気 Substrate processing apparatus and semiconductor manufacturing apparatus management method
JP2010153508A (en) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp Method for etching sample
JP2010219198A (en) 2009-03-16 2010-09-30 Hitachi High-Technologies Corp Plasma processing apparatus

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US5990017A (en) * 1991-06-27 1999-11-23 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
US5846331A (en) * 1996-04-25 1998-12-08 Nec Corporation Plasma processing apparatus
US6736930B1 (en) * 1999-03-29 2004-05-18 Tokyo Electron Limited Microwave plasma processing apparatus for controlling a temperature of a wavelength reducing member
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US20050227500A1 (en) * 2002-03-29 2005-10-13 Tokyo Electron Limited Method for producing material of electronic device
US20050148167A1 (en) * 2002-07-30 2005-07-07 Tokyo Electron Limited Method and apparatus for forming insulating layer
US20040118520A1 (en) * 2002-12-03 2004-06-24 Canon Kabushiki Kaisha Plasma processing apparatus and method
US20070113788A1 (en) * 2003-09-04 2007-05-24 Tokyo Electron Limited Plasma processing equipment
US20070163502A1 (en) * 2004-01-09 2007-07-19 Toshihisa Nozawa Substrate processing apparatus
US20110108195A1 (en) * 2008-07-04 2011-05-12 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing Appratus using temperature adjusting mechanism
US20110168673A1 (en) * 2008-07-04 2011-07-14 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and mechanism for regulating temperature of dielectric window
US20110048642A1 (en) * 2009-09-02 2011-03-03 Tokyo Electron Limited Plasma processing apparatus
US20110303641A1 (en) * 2010-06-11 2011-12-15 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120064726A1 (en) * 2010-09-15 2012-03-15 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Cited By (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US20200216961A1 (en) * 2017-09-20 2020-07-09 Kokusai Electric Corporation Substrate processing apparatus, a non-transitory computer-readable recording medium
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11315770B2 (en) * 2017-12-05 2022-04-26 Tokyo Electron Limited Exhaust device for processing apparatus provided with multiple blades
US20190172689A1 (en) * 2017-12-05 2019-06-06 Tokyo Electron Limited Exhaust device, processing apparatus, and exhausting method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US20200303169A1 (en) * 2019-03-20 2020-09-24 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
CN113498546A (en) * 2020-02-03 2021-10-12 株式会社日立高新技术 Plasma processing apparatus and plasma processing method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12006572B2 (en) 2020-10-01 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2020-10-05 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12009224B2 (en) 2021-09-24 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
WO2023096717A1 (en) * 2021-11-23 2023-06-01 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Also Published As

Publication number Publication date
TW201410081A (en) 2014-03-01
JPWO2013176144A1 (en) 2016-01-14
KR20150016491A (en) 2015-02-12
US9984906B2 (en) 2018-05-29
WO2013176144A1 (en) 2013-11-28
TWI574587B (en) 2017-03-11
KR102102003B1 (en) 2020-04-20
JP6014661B2 (en) 2016-10-25

Similar Documents

Publication Publication Date Title
US9984906B2 (en) Plasma processing device and plasma processing method
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
US11328904B2 (en) Substrate processing apparatus
US9028191B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
WO2013114870A1 (en) Plasma processing device, and plasma processing method
CN109509694B (en) Plasma processing apparatus and plasma processing method
US20110240598A1 (en) Plasma processing apparatus and plasma processing method
US10763087B2 (en) Plasma processing apparatus
US20170236690A1 (en) Plasma processing apparatus
KR20200010743A (en) Substrate support apparatus and plasma processing apparatus having the same
US11538668B2 (en) Mounting stage, substrate processing device, and edge ring
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
KR20180099504A (en) Semiconductor manufacturing method and plasma processing apparatus
US20210313202A1 (en) Substrate support
US20180151380A1 (en) Substrate processing apparatus and heat shield plate
TWI808675B (en) Plasma treatment device and plasma treatment method
US11984303B2 (en) Holding method of edge ring, plasma processing apparatus, and substrate processing system
US20150176125A1 (en) Substrate processing apparatus
JP7329131B2 (en) Plasma processing apparatus and plasma processing method
US20210202298A1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MATSUMOTO, NAOKI;TOMITA, YUGO;REEL/FRAME:034021/0436

Effective date: 20141020

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4