US20120238088A1 - Fabrication method of metal gates for gate-last process - Google Patents

Fabrication method of metal gates for gate-last process Download PDF

Info

Publication number
US20120238088A1
US20120238088A1 US13/513,160 US201113513160A US2012238088A1 US 20120238088 A1 US20120238088 A1 US 20120238088A1 US 201113513160 A US201113513160 A US 201113513160A US 2012238088 A1 US2012238088 A1 US 2012238088A1
Authority
US
United States
Prior art keywords
gate
metal
metal layer
layer
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/513,160
Inventor
Jinjuan Xiang
Wenwu Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Assigned to Institute of Microelectronics, Chinese Academy of Sciences reassignment Institute of Microelectronics, Chinese Academy of Sciences ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, WENWU, XIANG, JINJUAN
Publication of US20120238088A1 publication Critical patent/US20120238088A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present invention relates to the field of semiconductor technology, and more particularly to a method for manufacturing a metal gate in a gate-last process.
  • gate manufacturing in CMOS technology at the 22 nm technology node and beyond generally comprises a gate-first process and a gate-last process.
  • the gate-first process comprises: firstly depositing a gate dielectric layer, and forming a gate on the gate dielectric layer; then performing source/drain implantation; and performing annealing to activate the ions in the source/drain, to form a source region and a drain region.
  • the gate-first process has an advantage of simple procedures; however, it also has a disadvantage that the gate has to suffer high temperature during the annealing process, which may cause threshold voltage Vt drift in the transistor and affect electrical performance of the device.
  • the gate-last process comprises: firstly depositing a gate dielectric layer, and forming a dummy gate (e.g., polycrystalline silicon) on the gate dielectric layer; then forming a source region and a drain region; then removing the dummy gate to form a gate groove; and filling the gate groove with a suitable metal to form a metal gate.
  • a dummy gate e.g., polycrystalline silicon
  • the width of the gate groove may become so small that the filling result of the metal material cannot meet certain requirements, e.g., there maybe gaps or holes exist in the metal filled in the gate groove, which may increase the parasitic resistance and cause problems such as degradation of the reliability of the transistor.
  • a problem to be solved by the present invention is providing a method for manufacturing a metal gate in a gate-last process, which may reduce the parasitic resistance of the gate and improve the reliability of the transistor.
  • a method for manufacturing a metal gate in a gate-last process comprising:
  • the substrate comprising a gate groove
  • the step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once to fill the gate groove with a metal layer comprises:
  • the material of the metal layer is Al or TiAl x .
  • the metal layer comprises:
  • element-metal layers at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
  • the step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once comprises the steps of:
  • the material of the metal sublayer is Al or TiAl x .
  • the metal sublayer comprises:
  • element-metal layers at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
  • the materials of the element-metal layers are Ti and Al from bottom to top.
  • the annealing is performed in N 2 or He.
  • the annealing is performed at a temperature ranging from 300 to 600 degrees Celsius
  • PVD Physical vapor deposition
  • CVD Chemical vapor deposition
  • the present invention may bring the following advantages:
  • a metal layer depositing-annealing process for at least once i.e., by firstly filling the gate groove with a metal material and then performing annealing on the metal material, due to the fluidity characteristic of metal materials under an annealing temperature, filling topography of the metal inside the gate groove can be improved, thereby improving filling performance of the metal and reducing gaps and holes in the filled metal layer.
  • the metal layer deposition according to the embodiment of the present invention can be performed using conventional PVD or CVD, consequently, almost every metal can be deposited.
  • PVD or CVD By depositing a metal material with low resistivity and good electrical conductivity in the gate groove using PVD or CVD and then performing annealing, the filling performance of the metal inside the gate groove can be improved, thereby reducing the parasitic resistance of the gate and improving the reliability of the transistor.
  • FIG. 1 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a first embodiment
  • FIGS. 2 a to 2 h are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment
  • FIG. 3 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a second embodiment
  • FIGS. 4 a to 4 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the second embodiment
  • FIG. 5 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a third embodiment
  • FIGS. 6 a to 6 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the third embodiment
  • FIG. 7 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a fourth embodiment.
  • FIGS. 8 a to 8 g are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment.
  • a method for manufacturing a metal gate in a gate-last process comprises: providing a substrate, the substrate comprising a gate groove; performing a metal layer depositing-annealing process on a surface of the substrate for at least once, to fill the gate groove with a metal layer; and removing a portion of the metal layer that is outside the gate groove.
  • a metal layer depositing-annealing process for at least once, i.e., by firstly filling the gate groove with a metal material and then performing annealing on the metal material, due to the fluidity characteristic of metal materials under an annealing temperature, filling topography of the metal inside the gate groove can be improved, thereby improving filling performance of the metal and reducing gaps and holes in the filled metal layer.
  • the metal layer deposition according to the embodiment of the present invention can be performed using conventional PVD or CVD, consequently, almost every metal can be deposited.
  • PVD or CVD By depositing a metal material with low resistivity and good electrical conductivity in the gate groove using PVD or CVD and then performing annealing, the filling performance of the metal inside the gate groove can be improved, thereby reducing the parasitic resistance of the gate and improving the reliability of the transistor.
  • FIG. 1 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to this embodiment
  • FIGS. 2 a to 2 h are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the embodiment.
  • the method for manufacturing a metal gate in a gate-last process comprises the following steps:
  • Step S 1 a semiconductor substrate 20 is provided, with a gate dielectric layer 22 formed on the semiconductor substrate 20 and a gate layer 24 formed on the gate dielectric layer 22 .
  • the material of the semiconductor substrate 20 may be monocrystalline silicon (Si), monocrystalline germanium (Ge), Silicon-germanium (SiGe) or Silicon carbide (SiC); may be Silicon-On-Insulator (SOI) or Germanium-On-Insulator (GOI); or, may also be another material, for example, an III-V compound such as gallium arsenide.
  • a shallow trench isolation region 21 may be formed in a surface of the semiconductor substrate 20 by a Shallow Trench Isolation (STI) process, for isolating active regions formed subsequently.
  • STI Shallow Trench Isolation
  • a gate dielectric layer 22 may be deposited on the semiconductor substrate 20 .
  • the gate dielectric layer 22 may comprise a gate oxide layer 221 and a high dielectric constant (high-K) dielectric layer 222 (e.g., any one or a combination of: HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Al 2 O 3 , La 2 O 3 , ZrO 2 , and LaAlO) stacked sequentially.
  • the material of the gate oxide layer 221 may be silicon oxide or silicon oxynitride, and its thickness may be about 0.1 nm to 1 nm.
  • the material of the gate oxide layer 221 may also be another material known to those skilled in the art.
  • the material of the high-K dielectric layer 222 may be Hafnium Oxide (HfO2) with a high dielectric constant, and its thickness may be about 1 nm to 5 nm. In other embodiments, the material of the high-K dielectric layer 222 may also be another material known to those skilled in the art.
  • a gate layer 24 is deposited on the gate dielectric layer 22 .
  • the material of the gate layer 24 may be polycrystalline silicon, and its thickness may be about 10 nm to 100 nm; and in other embodiments, the material of the gate layer 24 may also be another material known to those skilled in the art, or, the gate layer 24 may have a multi-layered structure.
  • Step S 2 a patterned hard mask layer 25 is formed on the gate layer 24 , and a gate structure is formed by using the hard mask layer as an etch stop layer.
  • a hard mask material layer (not shown in the figures) may be deposited on the gate layer 24 , and then the hard mask material layer may be etched so as to form a hard mask layer 25 with a gate pattern (i.e., patterned hard mask layer).
  • the hard mask layer 25 may comprise a silicon oxide layer 251 and a silicon nitride layer 252 stacked sequentially, with the thickness of the silicon oxide layer 251 being about 5 nm to 30 nm, and the thickness of the silicon nitride layer 252 being about 10 nm to 70 nm.
  • the patterned hard mask layer 25 may be used as an etch stop layer to etch the gate layer 24 and the gate dielectric layer 22 , to form a gate structure.
  • the gate structure may comprise a dummy gate 23 formed from etching the gate layer 24 and the etched gate dielectric layer 22 .
  • Step S 3 spacers 27 are formed on both sides of the gate structure, and a source/drain region 28 is formed.
  • spacers 27 may be formed on the sidewalls of the gate structure (the dummy gate 23 and the gate dielectric layer 22 ).
  • the spacers 27 may have a multi-layered structure, comprising a first spacer layer 271 , a second spacer layer 272 and a third spacer layer 273 from inside to outside in that order.
  • the first spacer layer 271 may join the dummy gate 23 at the outside of the dummy gate 23 .
  • the material of the first spacer 271 may be, e.g., silicon nitride (Si 3 N 4 ) and its thickness may be about 5 nm to 15 nm.
  • the second spacer layer 272 may be located at the outside of the first spacer layer 271 , and the material of the second spacer layer 272 may be silicon oxide and the thickness may be about 2 nm to 10 nm.
  • the third spacer layer 273 may be located at the outside of the second spacer layer 272 , and the material of the third spacer layer 272 may be Si 3 N 4 and the thickness may be about 10 nm to 40 nm.
  • the spacers 27 may have a single-layered or double-layered structure.
  • the source/drain region may also have a source/drain extension region, i.e., Lightly-Doped Drain (LDD) structure, and the source/drain extension region (not numbered in the figure) may be formed by lightly doping the semiconductor substrate 20 using the dummy gate 23 as a mask before formation of the spacers 27 and after formation of the dummy gate 23 .
  • the source/drain extension region may be formed by performing the light doping during the forming of the spacers 27 after formation of the first spacer dielectric layer 271 .
  • Step S 4 a pre-metal dielectric layer 29 is deposited, and planarization is performed so that the dummy gate 23 is exposed.
  • a pre-metal dielectric layer 29 may be deposited, and the interlayer dielectric layer 29 may cover the surface of the semiconductor substrate 20 comprising the dummy gate 23 and the spacers 27 .
  • the material of the pre-metal dielectric layer 29 may be silicon oxide, Si 3 N 4 , or another material known to those skilled in the art, e.g., any one or a combination of: PSG, BSG, FSG, and other low-K materials.
  • the surface of the semiconductor substrate 20 may be planarized by Chemical Mechanical Polishing, which comprises two planarization processes.
  • the first planarization process stops at the hard mask layer 25 (as shown in FIG. 2 c ), i.e., to remove the raised pre-metal dielectric layer; and the second planarization process stops at a surface of the dummy gate 23 , i.e., to remove the hard mask layer 25 .
  • Step S 5 The dummy gate 23 is removed to form a gate groove 30 , and a diffusion blocking layer 31 is formed in the gate groove 30 .
  • the dummy gate 23 may be removed by dry-etching or wet-etching (as shown in FIG. 2 d ), i.e., to remove the polycrystalline silicon and expose the gate dielectric layer 22 .
  • the high-K dielectric layer may be exposed at the bottom of the gate groove, and the sidewalls may be the exposed first spacer dielectric layer 271 .
  • the high-K dielectric layer (It is noted that the dielectric layer may also be a gate dielectric layer of another material. This is similar in the following descriptions, and will not be noted again in the specification) may also be removed. Then, a high-K dielectric layer may need to be reformed before deposition of a diffusion blocking layer 31 .
  • a diffusion blocking layer 31 may be deposited in the gate groove 30 .
  • the metal diffusion blocking layer 31 may have a single-layered structure such as TiAlN, or may have a multi-layered structure such as a two-layered structure formed by TiN and TiAlN stacked sequentially; and for pMOS devices, the metal diffusion blocking layer 31 may have a single-layered structure such as TiN, or may have a multi-layered structure such as two-layered structure formed by TaN and TiN stacked sequentially.
  • Step S 6 a gate metal layer 32 is deposited on the surface of the substrate comprising the gate groove 30 .
  • a metal layer 32 for manufacturing a metal gate may be deposited on a surface of the substrate by PVD or CVD, and the metal layer 32 may fill the gate groove 30 and cover the surface of the substrate that is outside the gate groove 30 .
  • the critical dimension of gates is relatively small, resulting a relatively small width of the gate groove 30 , and the ability of PVD or CVD deposition of a gate metal layer to fill a groove is relatively poor, therefore, gaps or holes 33 are often formed in the metal layer 32 when the gate groove 30 is filled with the metal layer 32 .
  • the PVD process may be performed at a normal temperature or a raised temperature, or may be ionized PVD. Heated PVD and ionized PVD may provide a better hole-filling performance of the metal layer to some extent as compared with normal temperature PVD.
  • the material of the metal layer 32 may be Al, or a TiAl x alloy.
  • the PVD process e.g., magnetron sputtering
  • the PVD process may use a corresponding alloy target or use a multi-metal target, and form an alloyed metal layer directly on the surface of the substrate.
  • Step S 7 annealing is performed on the metal layer 32 , to modify filling topography inside the gate groove.
  • the annealing process may be performed in a protective atmosphere, at a temperature lower than but close to the melting point of the metal layer (e.g., medium-temperature annealing), so that reflow occurs to the metal layer during the annealing process.
  • the thicker metal at the opening of the gate groove (indicated by arrow A in the figure) reflows to the gaps or holes 33 , thereby modifying the filling topography inside the gate groove, hence, the gate groove 30 is filled up and the gaps or holes 33 are eliminated.
  • the protective atmosphere may be a N 2 or He atmosphere
  • the annealing temperature may range from 300 to 600 degrees Celsius.
  • Step S 8 the portion of the metal layer 32 that is outside the gate groove 30 is removed, to form a metal gate 34 .
  • a planarization process may be performed on the surface of the substrate having the metal layer 32 and stop at a surface of the pre-metal dielectric layer 29 , so as to remove the portion of the gate metal layer that is outside the gate groove 30 , and form a metal gate 34 .
  • the method described above can improve hole-filling performance, reduce gaps and holes generated when filling the gate groove with the metal layer, facilitate reduction of the parasitic resistance of the gate, and improve the reliability of the transistor.
  • the alloyed metal layer when the material of the metal layer is an alloy, the alloyed metal layer may be formed directly on the surface of the substrate. In fact, it may also be formed by: firstly depositing an element metal having a higher melting point of the two or more element metals in the alloy, and then depositing an element metal having a lower melting point in the alloy. This will be described below in detail in conjunction with the accompanying drawings in the following embodiment.
  • FIG. 3 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a second embodiment
  • FIGS. 4 a to 4 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the second embodiment.
  • the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S 1 -S 5 as shown in FIG. 2 a - 2 e ), which will be omitted here.
  • the differences may exist in the steps after deposition of a metal layer, and that the material of the metal layer is an alloy comprising at least two element metals, for example, a TiAl x alloy in this embodiment.
  • the method comprises the following steps.
  • Step S 61 a first element-metal layer 32 a is deposited on the surface of the substrate comprising the gate groove 30 .
  • a first element-metal layer 32 a may be deposited by PVD or CVD.
  • the material of the first element-metal layer 32 a may be pure metal Ti, and its thickness may be 10 nm to 90 nm.
  • the first element-metal layer 32 a covers the inside of the gate groove 30 and the surface of the pre-metal dielectric layer 29 that is outside the gate groove 30 ; however, the first element-metal layer 32 a does not fill the gate groove 30 , it only covers the side walls and the bottom of the gate groove 30 .
  • Step S 62 a second element-metal layer 32 b is deposited on the first element-metal layer 32 a.
  • a second element-metal layer 32 b may be deposited by PVD or CVD.
  • the material of the second element-metal layer 32 b may be pure metal Al and its thickness may be 10 nm to 90 nm.
  • the second element-metal layer 32 b covers the first element-metal layer 32 a and fills the gate groove 30 .
  • the critical dimension of gates is relatively small, resulting a relatively small width of the gate groove 30 , and the ability of PVD or CVD deposition of a gate metal layer to fill a groove is relatively poor, therefore, gaps or holes 33 are often formed in the second element-metal layer 32 b when the gate groove 30 is filled with the second element-metal layer 32 b.
  • the melting point of the material of the first element-metal layer is higher than that of the second element-metal layer, i.e., the element metal having a higher melting point in the alloy is deposited firstly, for example, in a TiAl x alloy metal Ti has a higher melting point than metal Al, thus the layer of Ti is deposited before the deposition of the layer of Al.
  • Step S 63 annealing is performed on the first element-metal layer 32 a and the second element-metal layer 32 b, to form an alloyed metal layer and modify filling topography inside the gate groove.
  • the annealing process may be performed in a protective atmosphere, at a temperature lower than but close to the melting point of the second element-metal layer 32 b (medium-temperature annealing), so that, on one hand, the first element-metal layer 32 a alloys with the second element-metal layer 32 b, to form a metal layer 32 ; and on the other hand, reflow occurs to the alloy during the annealing process, i.e., the thicker metal at the opening of the gate groove reflows to the gaps or holes 33 a, thereby modifying the filling topography inside the gate groove, hence, the gate groove 30 is filled up and the gaps or holes 33 are eliminated.
  • the protective atmosphere may be a N 2 or He atmosphere, and the annealing temperature may range from 300 to 600 degrees Celsius.
  • the melting point of the first element-metal layer that is deposited firstly is higher than that of the second element-metal layer, and the second element metal also serves to improve shape-retaining performance of the filled metal.
  • Step S 64 the portion of the metal layer 32 that is outside the gate groove 30 is removed, to form a metal gate 34 .
  • a planarization process may be performed on the surface of the substrate having the metal layer 32 , and stop at a surface of the pre-metal dielectric layer 29 , so as to remove the portion of the metal layer that is outside the gate groove 30 , and form a metal gate 34 .
  • the element metal having a higher melting point in a multi-element alloy e.g., metal Ti in a TiAl x alloy
  • the element metal having a lower melting point in the alloy e.g., metal Al in a TiAl x alloy
  • a reflow process is performed by controlling the parameters such as temperature and time in a thermal processing process (e.g., annealing and reflow in a N 2 or He atmosphere at a temperature ranging from 300 to 600 degrees Celsius), and finally the objects of having the metal layers alloyed and filling with the metal material at a small technology node are achieved.
  • the objects may also be achieved by the approach above with deposited element-metal layers, and in the process of deposition of the layers, the elemental metal layers are stacked from bottom to top in the order of decreasing melting points.
  • the metal layer depositing-annealing process is performed for one cycle; and in the annealing process in the second embodiment, the deposition of the two single-element-metal layers is achieved with one alloying process.
  • the metal gate may also be formed by performing the metal layer depositing-annealing process for multiple cycles, which will be described in detail in a third embodiment and a fourth embodiment.
  • FIG. 5 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a third embodiment
  • FIGS. 6 a to 6 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the third embodiment.
  • the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S 1 -S 5 as shown in FIG. 2 a - 2 e ), which will be omitted here.
  • the differences may exist in the steps after deposition of a metal layer.
  • the method for manufacturing a metal gate in a gate-last process comprises the following steps.
  • Step S 71 a metal sublayer is deposited.
  • a metal sublayer 32 c for manufacturing a metal gate may be deposited on a surface of the substrate by PVD or CVD.
  • the metal sublayer 32 c covers the inside of the gate groove 30 and covers the surface of the substrate that is outside the gate groove 30 . Its thickness is smaller than the width of the gate groove, hence multiple metal sublayers may need to be deposited to fill the gate groove.
  • the material of the metal sublayer 32 c may be a single-element metal or an alloy comprising at least two element metals.
  • Step S 72 annealing is performed on the metal sublayer, to modify filling topography of the gate groove, thereby completing a cycle of the depositing-annealing process.
  • the annealing process is performed in a protective atmosphere, at a temperature lower than but close to the melting point of the metal sublayer (medium-temperature annealing), so that reflow occurs to the metal sublayer during the annealing process.
  • the thicker metal at the opening of the gate groove reflows to the inside of the gate groove 30 , thereby modifying the filling topography inside the gate groove, and covering the inside of the gate groove more uniformly.
  • the protective atmosphere may be a N 2 or He atmosphere, and the annealing temperature may range from 300 to 600 degrees Celsius. Steps S 71 -S 72 forms one depositing-annealing process cycle.
  • Step S 73 the depositing-annealing process cycle is repeated for at least two times, until the gate groove is filled up, and a metal layer is formed by multiple metal sublayers.
  • another metal sublayer 32 d is deposited, covering the metal sublayer 32 c, and then an annealing process is performed on the metal sublayer 32 d , to modify the filling topography inside the gate groove.
  • the material of the metal sublayer 32 d may be the same as that of the metal sublayer 32 c, their thicknesses may also be the same or similar, and the parameters of the annealing processes may be substantially the same.
  • Step S 74 the portion of the metal layer that is outside the gate groove is removed, to form a metal gate.
  • the depositing-annealing process is performed for two cycles; however in practice, the depositing-annealing process may be performed for more than two cycles according to design requirements.
  • the process performed once in the first embodiment of depositing a metal layer and then performing an annealing process is performed for multiple cycles, and each of the cycles comprises depositing a metal sublayer and annealing it.
  • the cycle is repeated for multiple times until the gate groove is filled up.
  • the alloyed metal layer may be formed directly on the surface of the substrate.
  • an element metal having a higher melting point of the two or more element metals in the alloy may be formed first, and then an element metal having a lower melting point in the alloy may be formed.
  • the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S 1 -S 5 as shown in FIG. 2 a - 2 e ).
  • the material of the metal sublayer is an alloy comprising at least two element metals, and in one depositing-annealing process cycle, the first element-metal layer and the second element-metal layer are deposited sequentially, and then annealing is performed to form the alloyed metal layer and modify the filling topography.
  • FIG. 7 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to the fourth embodiment
  • FIGS. 8 a to 8 g are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment.
  • the step of depositing a metal sublayer on a surface of the substrate comprises the following steps.
  • Step S 81 a first element-metal layer 32 e is deposited on the surface of the substrate comprising the inside of the gate groove, referring to FIG. 8 a .
  • the material of the first element-metal layer 32 e may be Ti.
  • Step S 82 a second element-metal layer 32 f is formed on the first element-metal layer 32 e, referring to FIG. 8 b .
  • the material of the second element-metal layer may be Al.
  • the melting point of the first element-metal layer 32 e is higher than that of the second element-metal layer 32 f, and the thickness of the first and the second element-metal layer 32 f and 32 e is smaller than that of the width of the gate groove, hence the depositing process may need to be performed for multiple times to fill the gate groove.
  • Step S 83 annealing is performed on the first and the second element-metal layer 32 f and 32 e, to form an alloyed metal sublayer and modify filling topography inside the gate groove, thereby completing a cycle of the depositing-annealing process, referring to FIG. 8 c.
  • Step S 84 the depositing-annealing process is performed for at least two cycles, until the gate groove is filled up and a metal layer is formed by multiple metal sublayers.
  • a first element-metal layer 32 e ′ and a second element-metal layer 32 f ′ are deposited, and then annealing is performed, to modify the filling topography inside the gate groove; meanwhile, another metal layer is formed through alloying.
  • the materials of the first element-metal layer 32 e and 32 e ′, and the second element-metal layer 32 f and 32 f ′ may be the same, their thicknesses may be the same or similar, and the parameters of the annealing processes may be substantially the same. After multiple depositing-annealing process cycles, a good metal-filling result may be obtained.
  • Step S 85 the portion of the metal layer that is outside the gate groove is removed, to form a metal gate 34 e, referring to FIG. 8 g.
  • the metal sublayer is a two-element alloy; and in other embodiment it may be an alloy of three or more elements, and the number of cycles performed of the depositing-annealing process may be determined as required in practice.
  • the depositing-annealing process is performed for two cycles; and in other embodiments, the number of cycles may be three or more than three.

Abstract

A method for fabricating metal gates using a gate-last process, comprising: providing a substrate (20), the substrate comprising a gate trench (30); performing at least one metal layer deposition and one annealing on the surface of the substrate to fill a metal layer (32) in the gate trench; and removing the metal layer outside of the gate trench. This method can reduce the parasitic resistance of the gates and improve the reliability of the transistors.

Description

  • This application is a National Stage application of, and claims priority to, international application PCT/CN2011/080300 filed on Sep. 28, 2011, which claimed priority to Chinese patent application 201010500383.0 filed on Sep. 29, 2010. Both the PCT international application and the Chinese application are incorporated herein by reference in their entireties.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of semiconductor technology, and more particularly to a method for manufacturing a metal gate in a gate-last process.
  • BACKGROUND OF THE INVENTION
  • Currently, in the manufacturing procedure of integrated circuits, gate manufacturing in CMOS technology at the 22 nm technology node and beyond generally comprises a gate-first process and a gate-last process.
  • The gate-first process comprises: firstly depositing a gate dielectric layer, and forming a gate on the gate dielectric layer; then performing source/drain implantation; and performing annealing to activate the ions in the source/drain, to form a source region and a drain region. The gate-first process has an advantage of simple procedures; however, it also has a disadvantage that the gate has to suffer high temperature during the annealing process, which may cause threshold voltage Vt drift in the transistor and affect electrical performance of the device.
  • The gate-last process comprises: firstly depositing a gate dielectric layer, and forming a dummy gate (e.g., polycrystalline silicon) on the gate dielectric layer; then forming a source region and a drain region; then removing the dummy gate to form a gate groove; and filling the gate groove with a suitable metal to form a metal gate. Thus, the high temperature brought by the formation of the source region and the drain region can be avoided to the gate electrode, thereby reducing threshold voltage Vt drift in transistor, improving the electrical performance of the device in comparison with the gate-first process.
  • However, in CMOS technology at the 22 nm technology node and beyond, the width of the gate groove may become so small that the filling result of the metal material cannot meet certain requirements, e.g., there maybe gaps or holes exist in the metal filled in the gate groove, which may increase the parasitic resistance and cause problems such as degradation of the reliability of the transistor.
  • SUMMARY OF THE INVENTION
  • A problem to be solved by the present invention is providing a method for manufacturing a metal gate in a gate-last process, which may reduce the parasitic resistance of the gate and improve the reliability of the transistor. In order to solve the problems mentioned above, according to the present invention, a method for manufacturing a metal gate in a gate-last process is provided, comprising:
  • providing a substrate, the substrate comprising a gate groove;
  • performing a metal layer depositing-annealing process on a surface of the substrate for at least once, to fill the gate groove with a metal layer; and
  • removing a portion of the metal layer that is outside the gate groove.
  • The step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once to fill the gate groove with a metal layer comprises:
  • depositing a metal layer on a surface of the substrate, to fill the gate groove; and
  • performing annealing on the metal layer, to modify filling topography inside the gate groove.
  • Preferably, the material of the metal layer is Al or TiAlx.
  • Optionally, the metal layer comprises:
  • at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
  • Optionally, the step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once comprises the steps of:
  • depositing a metal sublayer on a surface of the substrate;
  • performing annealing on the metal sublayer, to modify filling topography of the metal sublayer, thereby completing a cycle of the depositing-annealing process; and
  • performing at least two cycles of the depositing-annealing process.
  • Preferably, the material of the metal sublayer is Al or TiAlx.
  • Optionally, the metal sublayer comprises:
  • at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
  • Preferably, the materials of the element-metal layers are Ti and Al from bottom to top.
  • Preferably, the annealing is performed in N2 or He.
  • Preferably, the annealing is performed at a temperature ranging from 300 to 600 degrees Celsius
  • Preferably, Physical vapor deposition (PVD) or Chemical vapor deposition (CVD) is used to deposit the metal layer in the metal layer depositing-annealing process.
  • In comparison with the prior art, the present invention may bring the following advantages:
  • By performing a metal layer depositing-annealing process for at least once, i.e., by firstly filling the gate groove with a metal material and then performing annealing on the metal material, due to the fluidity characteristic of metal materials under an annealing temperature, filling topography of the metal inside the gate groove can be improved, thereby improving filling performance of the metal and reducing gaps and holes in the filled metal layer.
  • As compared with Atomic Layer Deposition (ALD), which has good shape-retaining performance, but is limited in its application in metal gate manufacturing due to its limited types of precursor source for metal layer deposition, the metal layer deposition according to the embodiment of the present invention can be performed using conventional PVD or CVD, consequently, almost every metal can be deposited. By depositing a metal material with low resistivity and good electrical conductivity in the gate groove using PVD or CVD and then performing annealing, the filling performance of the metal inside the gate groove can be improved, thereby reducing the parasitic resistance of the gate and improving the reliability of the transistor.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a first embodiment;
  • FIGS. 2 a to 2 h are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment;
  • FIG. 3 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a second embodiment;
  • FIGS. 4 a to 4 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the second embodiment;
  • FIG. 5 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a third embodiment;
  • FIGS. 6 a to 6 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the third embodiment;
  • FIG. 7 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a fourth embodiment; and
  • FIGS. 8 a to 8 g are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment.
  • DETAILED DESCRIPTION OF THE INVENTION
  • According to an embodiment of the present invention, a method for manufacturing a metal gate in a gate-last process is provided, and the method comprises: providing a substrate, the substrate comprising a gate groove; performing a metal layer depositing-annealing process on a surface of the substrate for at least once, to fill the gate groove with a metal layer; and removing a portion of the metal layer that is outside the gate groove.
  • In the method described above for manufacturing a metal gate, by performing a metal layer depositing-annealing process for at least once, i.e., by firstly filling the gate groove with a metal material and then performing annealing on the metal material, due to the fluidity characteristic of metal materials under an annealing temperature, filling topography of the metal inside the gate groove can be improved, thereby improving filling performance of the metal and reducing gaps and holes in the filled metal layer.
  • As compared with Atomic Layer Deposition (ALD), which has good shape-retaining performance, but is limited in its application in metal gate manufacturing due to its limited types of precursor source for metal layer deposition, the metal layer deposition according to the embodiment of the present invention can be performed using conventional PVD or CVD, consequently, almost every metal can be deposited. By depositing a metal material with low resistivity and good electrical conductivity in the gate groove using PVD or CVD and then performing annealing, the filling performance of the metal inside the gate groove can be improved, thereby reducing the parasitic resistance of the gate and improving the reliability of the transistor.
  • For a better understanding of the objects, features and advantages of the present invention described above, the embodiments of the present invention will be described hereinafter in detail with the accompanying drawings as reference.
  • Numerous specific details are set forth in the following descriptions, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details, and that equivalent details to the present invention may be obtained without deviation from the essence of the present invention. Hence, the present invention is not limited to the embodiments disclosed herein.
  • In addition, the present invention is described in detail in conjunction with the accompanying drawings. In the detailed descriptions of the embodiments of the present invention, for illustrative purposes, the sectional views illustrating device structures may be enlarged locally without maintaining their normal proportions; and the accompanying drawings are merely examples, which should not be considered as limiting the scope of the present invention. Moreover, in an actual manufacturing process, three dimensions, i.e., length, width and depth, should be included.
  • First Embodiment
  • FIG. 1 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to this embodiment; and FIGS. 2 a to 2 h are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the embodiment.
  • As shown in FIG. 1, the method for manufacturing a metal gate in a gate-last process comprises the following steps:
  • Step S1: a semiconductor substrate 20 is provided, with a gate dielectric layer 22 formed on the semiconductor substrate 20 and a gate layer 24 formed on the gate dielectric layer 22.
  • Specifically, referring to FIG. 2 a, the material of the semiconductor substrate 20 may be monocrystalline silicon (Si), monocrystalline germanium (Ge), Silicon-germanium (SiGe) or Silicon carbide (SiC); may be Silicon-On-Insulator (SOI) or Germanium-On-Insulator (GOI); or, may also be another material, for example, an III-V compound such as gallium arsenide.
  • A shallow trench isolation region 21 may be formed in a surface of the semiconductor substrate 20 by a Shallow Trench Isolation (STI) process, for isolating active regions formed subsequently.
  • After the formation of the shallow trench isolation region 21, a gate dielectric layer 22 may be deposited on the semiconductor substrate 20. In this embodiment, the gate dielectric layer 22 may comprise a gate oxide layer 221 and a high dielectric constant (high-K) dielectric layer 222 (e.g., any one or a combination of: HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Al2O3, La2O3, ZrO2, and LaAlO) stacked sequentially. The material of the gate oxide layer 221 may be silicon oxide or silicon oxynitride, and its thickness may be about 0.1 nm to 1 nm. In other embodiments, the material of the gate oxide layer 221 may also be another material known to those skilled in the art. The material of the high-K dielectric layer 222 may be Hafnium Oxide (HfO2) with a high dielectric constant, and its thickness may be about 1 nm to 5 nm. In other embodiments, the material of the high-K dielectric layer 222 may also be another material known to those skilled in the art.
  • Then, a gate layer 24 is deposited on the gate dielectric layer 22. In this embodiment, the material of the gate layer 24 may be polycrystalline silicon, and its thickness may be about 10 nm to 100 nm; and in other embodiments, the material of the gate layer 24 may also be another material known to those skilled in the art, or, the gate layer 24 may have a multi-layered structure.
  • Step S2: a patterned hard mask layer 25 is formed on the gate layer 24, and a gate structure is formed by using the hard mask layer as an etch stop layer.
  • Specifically, referring to FIGS. 2 a and 2 b, a hard mask material layer (not shown in the figures) may be deposited on the gate layer 24, and then the hard mask material layer may be etched so as to form a hard mask layer 25 with a gate pattern (i.e., patterned hard mask layer). In this embodiment, the hard mask layer 25 may comprise a silicon oxide layer 251 and a silicon nitride layer 252 stacked sequentially, with the thickness of the silicon oxide layer 251 being about 5 nm to 30 nm, and the thickness of the silicon nitride layer 252 being about 10 nm to 70 nm.
  • Then, the patterned hard mask layer 25 may be used as an etch stop layer to etch the gate layer 24 and the gate dielectric layer 22, to form a gate structure. The gate structure may comprise a dummy gate 23 formed from etching the gate layer 24 and the etched gate dielectric layer 22.
  • Step S3, spacers 27 are formed on both sides of the gate structure, and a source/drain region 28 is formed.
  • Specifically, referring to FIG. 2 c, spacers 27 may be formed on the sidewalls of the gate structure (the dummy gate 23 and the gate dielectric layer 22). In this embodiment, the spacers 27 may have a multi-layered structure, comprising a first spacer layer 271, a second spacer layer 272 and a third spacer layer 273 from inside to outside in that order. The first spacer layer 271 may join the dummy gate 23 at the outside of the dummy gate 23. The material of the first spacer 271 may be, e.g., silicon nitride (Si3N4) and its thickness may be about 5 nm to 15 nm. The second spacer layer 272 may be located at the outside of the first spacer layer 271, and the material of the second spacer layer 272 may be silicon oxide and the thickness may be about 2 nm to 10 nm. The third spacer layer 273 may be located at the outside of the second spacer layer 272, and the material of the third spacer layer 272 may be Si3N4 and the thickness may be about 10 nm to 40 nm. In other embodiments, the spacers 27 may have a single-layered or double-layered structure.
  • Then, ion implantation may be performed on the semiconductor substrate 20 by using the dummy gate 23 and the spacers 27 as a mask, to form a source region 281 and a drain region 282. In this embodiment, the source/drain region may also have a source/drain extension region, i.e., Lightly-Doped Drain (LDD) structure, and the source/drain extension region (not numbered in the figure) may be formed by lightly doping the semiconductor substrate 20 using the dummy gate 23 as a mask before formation of the spacers 27 and after formation of the dummy gate 23. In other embodiments, the source/drain extension region may be formed by performing the light doping during the forming of the spacers 27 after formation of the first spacer dielectric layer 271.
  • Step S4: a pre-metal dielectric layer 29 is deposited, and planarization is performed so that the dummy gate 23 is exposed.
  • Specifically, referring to FIG. 2 d, after the formation of the source/drain region 28, a pre-metal dielectric layer 29 may be deposited, and the interlayer dielectric layer 29 may cover the surface of the semiconductor substrate 20 comprising the dummy gate 23 and the spacers 27. In this embodiment, the material of the pre-metal dielectric layer 29 may be silicon oxide, Si3N4, or another material known to those skilled in the art, e.g., any one or a combination of: PSG, BSG, FSG, and other low-K materials.
  • Then, the surface of the semiconductor substrate 20 may be planarized by Chemical Mechanical Polishing, which comprises two planarization processes. The first planarization process stops at the hard mask layer 25 (as shown in FIG. 2 c), i.e., to remove the raised pre-metal dielectric layer; and the second planarization process stops at a surface of the dummy gate 23, i.e., to remove the hard mask layer 25.
  • Step S5: The dummy gate 23 is removed to form a gate groove 30, and a diffusion blocking layer 31 is formed in the gate groove 30.
  • Specifically, referring to FIG. 2 e, the dummy gate 23 may be removed by dry-etching or wet-etching (as shown in FIG. 2 d), i.e., to remove the polycrystalline silicon and expose the gate dielectric layer 22. In this embodiment, the high-K dielectric layer may be exposed at the bottom of the gate groove, and the sidewalls may be the exposed first spacer dielectric layer 271. In other embodiments, the high-K dielectric layer (It is noted that the dielectric layer may also be a gate dielectric layer of another material. This is similar in the following descriptions, and will not be noted again in the specification) may also be removed. Then, a high-K dielectric layer may need to be reformed before deposition of a diffusion blocking layer 31.
  • Then, a diffusion blocking layer 31 may be deposited in the gate groove 30. In this embodiment, for nMOS devices, the metal diffusion blocking layer 31 may have a single-layered structure such as TiAlN, or may have a multi-layered structure such as a two-layered structure formed by TiN and TiAlN stacked sequentially; and for pMOS devices, the metal diffusion blocking layer 31 may have a single-layered structure such as TiN, or may have a multi-layered structure such as two-layered structure formed by TaN and TiN stacked sequentially.
  • Step S6: a gate metal layer 32 is deposited on the surface of the substrate comprising the gate groove 30.
  • Specifically, referring to FIG. 2 f, a metal layer 32 for manufacturing a metal gate may be deposited on a surface of the substrate by PVD or CVD, and the metal layer 32 may fill the gate groove 30 and cover the surface of the substrate that is outside the gate groove 30. At the 22 nm technology node and beyond, the critical dimension of gates is relatively small, resulting a relatively small width of the gate groove 30, and the ability of PVD or CVD deposition of a gate metal layer to fill a groove is relatively poor, therefore, gaps or holes 33 are often formed in the metal layer 32 when the gate groove 30 is filled with the metal layer 32.
  • The PVD process may be performed at a normal temperature or a raised temperature, or may be ionized PVD. Heated PVD and ionized PVD may provide a better hole-filling performance of the metal layer to some extent as compared with normal temperature PVD.
  • The material of the metal layer 32 may be Al, or a TiAlx alloy. When the material of the metal layer 32 is an alloy, the PVD process (e.g., magnetron sputtering) may use a corresponding alloy target or use a multi-metal target, and form an alloyed metal layer directly on the surface of the substrate.
  • Step S7: annealing is performed on the metal layer 32, to modify filling topography inside the gate groove.
  • Specifically, referring to FIG. 2 g, the annealing process may be performed in a protective atmosphere, at a temperature lower than but close to the melting point of the metal layer (e.g., medium-temperature annealing), so that reflow occurs to the metal layer during the annealing process. The thicker metal at the opening of the gate groove (indicated by arrow A in the figure) reflows to the gaps or holes 33, thereby modifying the filling topography inside the gate groove, hence, the gate groove 30 is filled up and the gaps or holes 33 are eliminated.
  • In this embodiment, the protective atmosphere may be a N2 or He atmosphere, and the annealing temperature may range from 300 to 600 degrees Celsius.
  • Step S8: the portion of the metal layer 32 that is outside the gate groove 30 is removed, to form a metal gate 34.
  • Specifically, referring to FIG. 2 h, a planarization process may be performed on the surface of the substrate having the metal layer 32 and stop at a surface of the pre-metal dielectric layer 29, so as to remove the portion of the gate metal layer that is outside the gate groove 30, and form a metal gate 34.
  • It can be seen, by adding an annealing process after deposition of a metal layer, the method described above can improve hole-filling performance, reduce gaps and holes generated when filling the gate groove with the metal layer, facilitate reduction of the parasitic resistance of the gate, and improve the reliability of the transistor.
  • In the deposition process of the embodiment above, when the material of the metal layer is an alloy, the alloyed metal layer may be formed directly on the surface of the substrate. In fact, it may also be formed by: firstly depositing an element metal having a higher melting point of the two or more element metals in the alloy, and then depositing an element metal having a lower melting point in the alloy. This will be described below in detail in conjunction with the accompanying drawings in the following embodiment.
  • Second embodiment
  • FIG. 3 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a second embodiment; and FIGS. 4 a to 4 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the second embodiment. In the method for manufacturing a metal gate in a gate-last process, the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S1-S5 as shown in FIG. 2 a-2 e), which will be omitted here. The differences may exist in the steps after deposition of a metal layer, and that the material of the metal layer is an alloy comprising at least two element metals, for example, a TiAlx alloy in this embodiment.
  • The method comprises the following steps.
  • Step S61: a first element-metal layer 32 a is deposited on the surface of the substrate comprising the gate groove 30.
  • Specifically, referring to FIG. 4 a, a first element-metal layer 32 a may be deposited by PVD or CVD. The material of the first element-metal layer 32 a may be pure metal Ti, and its thickness may be 10 nm to 90 nm. The first element-metal layer 32 a covers the inside of the gate groove 30 and the surface of the pre-metal dielectric layer 29 that is outside the gate groove 30; however, the first element-metal layer 32 a does not fill the gate groove 30, it only covers the side walls and the bottom of the gate groove 30.
  • Step S62: a second element-metal layer 32 b is deposited on the first element-metal layer 32 a.
  • Specifically, referring to FIG. 4 b, a second element-metal layer 32 b may be deposited by PVD or CVD. The material of the second element-metal layer 32 b may be pure metal Al and its thickness may be 10 nm to 90 nm. The second element-metal layer 32 b covers the first element-metal layer 32 a and fills the gate groove 30. At the 22 nm technology node and beyond, the critical dimension of gates is relatively small, resulting a relatively small width of the gate groove 30, and the ability of PVD or CVD deposition of a gate metal layer to fill a groove is relatively poor, therefore, gaps or holes 33 are often formed in the second element-metal layer 32 b when the gate groove 30 is filled with the second element-metal layer 32 b.
  • In steps S61-S62, the melting point of the material of the first element-metal layer is higher than that of the second element-metal layer, i.e., the element metal having a higher melting point in the alloy is deposited firstly, for example, in a TiAlx alloy metal Ti has a higher melting point than metal Al, thus the layer of Ti is deposited before the deposition of the layer of Al.
  • Step S63: annealing is performed on the first element-metal layer 32 a and the second element-metal layer 32 b, to form an alloyed metal layer and modify filling topography inside the gate groove.
  • Specifically, referring to FIG. 4 c, the annealing process may be performed in a protective atmosphere, at a temperature lower than but close to the melting point of the second element-metal layer 32 b (medium-temperature annealing), so that, on one hand, the first element-metal layer 32 a alloys with the second element-metal layer 32 b, to form a metal layer 32; and on the other hand, reflow occurs to the alloy during the annealing process, i.e., the thicker metal at the opening of the gate groove reflows to the gaps or holes 33 a, thereby modifying the filling topography inside the gate groove, hence, the gate groove 30 is filled up and the gaps or holes 33 are eliminated.
  • In this embodiment, the protective atmosphere may be a N2 or He atmosphere, and the annealing temperature may range from 300 to 600 degrees Celsius. The melting point of the first element-metal layer that is deposited firstly is higher than that of the second element-metal layer, and the second element metal also serves to improve shape-retaining performance of the filled metal.
  • Step S64: the portion of the metal layer 32 that is outside the gate groove 30 is removed, to form a metal gate 34.
  • Specifically, referring to FIG. 4 d, a planarization process may be performed on the surface of the substrate having the metal layer 32, and stop at a surface of the pre-metal dielectric layer 29, so as to remove the portion of the metal layer that is outside the gate groove 30, and form a metal gate 34.
  • In this embodiment, the element metal having a higher melting point in a multi-element alloy (e.g., metal Ti in a TiAlx alloy) is deposited firstly; and then the element metal having a lower melting point in the alloy (e.g., metal Al in a TiAlx alloy) is deposited; then, a reflow process is performed by controlling the parameters such as temperature and time in a thermal processing process (e.g., annealing and reflow in a N2 or He atmosphere at a temperature ranging from 300 to 600 degrees Celsius), and finally the objects of having the metal layers alloyed and filling with the metal material at a small technology node are achieved. It will be appreciated by those skilled in the art that based on the teaching of the embodiment, for three-element, four-element alloy or the like, the objects may also be achieved by the approach above with deposited element-metal layers, and in the process of deposition of the layers, the elemental metal layers are stacked from bottom to top in the order of decreasing melting points.
  • In the first and second embodiments above, the metal layer depositing-annealing process is performed for one cycle; and in the annealing process in the second embodiment, the deposition of the two single-element-metal layers is achieved with one alloying process. In fact, according to the method provided by the present invention, the metal gate may also be formed by performing the metal layer depositing-annealing process for multiple cycles, which will be described in detail in a third embodiment and a fourth embodiment.
  • Third embodiment
  • FIG. 5 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to a third embodiment; and FIGS. 6 a to 6 d are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the third embodiment.
  • In the method for manufacturing a metal gate in a gate-last process, the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S1-S5 as shown in FIG. 2 a-2 e), which will be omitted here. The differences may exist in the steps after deposition of a metal layer.
  • The method for manufacturing a metal gate in a gate-last process comprises the following steps.
  • Step S71: a metal sublayer is deposited.
  • Referring to FIG. 6 a, a metal sublayer 32 c for manufacturing a metal gate may be deposited on a surface of the substrate by PVD or CVD. The metal sublayer 32 c covers the inside of the gate groove 30 and covers the surface of the substrate that is outside the gate groove 30. Its thickness is smaller than the width of the gate groove, hence multiple metal sublayers may need to be deposited to fill the gate groove. The material of the metal sublayer 32 c may be a single-element metal or an alloy comprising at least two element metals.
  • Step S72: annealing is performed on the metal sublayer, to modify filling topography of the gate groove, thereby completing a cycle of the depositing-annealing process.
  • Referring to FIG. 6 b, the annealing process is performed in a protective atmosphere, at a temperature lower than but close to the melting point of the metal sublayer (medium-temperature annealing), so that reflow occurs to the metal sublayer during the annealing process. The thicker metal at the opening of the gate groove reflows to the inside of the gate groove 30, thereby modifying the filling topography inside the gate groove, and covering the inside of the gate groove more uniformly. In this embodiment, the protective atmosphere may be a N2 or He atmosphere, and the annealing temperature may range from 300 to 600 degrees Celsius. Steps S71-S72 forms one depositing-annealing process cycle.
  • Step S73: the depositing-annealing process cycle is repeated for at least two times, until the gate groove is filled up, and a metal layer is formed by multiple metal sublayers.
  • Referring to FIGS. 6 c and 6 d, another metal sublayer 32 d is deposited, covering the metal sublayer 32 c, and then an annealing process is performed on the metal sublayer 32 d, to modify the filling topography inside the gate groove. The material of the metal sublayer 32 d may be the same as that of the metal sublayer 32 c, their thicknesses may also be the same or similar, and the parameters of the annealing processes may be substantially the same.
  • Step S74: the portion of the metal layer that is outside the gate groove is removed, to form a metal gate.
  • In this embodiment, by way of example, the depositing-annealing process is performed for two cycles; however in practice, the depositing-annealing process may be performed for more than two cycles according to design requirements.
  • As compared with the first embodiment, the process performed once in the first embodiment of depositing a metal layer and then performing an annealing process is performed for multiple cycles, and each of the cycles comprises depositing a metal sublayer and annealing it. The cycle is repeated for multiple times until the gate groove is filled up. By using the method according to this embodiment, an effect may be achieved that the metal layer is grown along with the modification of the filling topography of the gate groove, and by controlling the number of the deposited metal sublayers, the objects of full-filling and gaps and the holes eliminating can be achieved.
  • When the material of the metal sublayers is an alloy, in each of the depositing-annealing process cycles, the alloyed metal layer may be formed directly on the surface of the substrate. In fact, in each of the depositing-annealing process cycles, an element metal having a higher melting point of the two or more element metals in the alloy may be formed first, and then an element metal having a lower melting point in the alloy may be formed. This will be described below in detail in conjunction with the accompanying drawings in the following embodiment.
  • Fourth embodiment
  • In the method for manufacturing a metal gate in a gate-last process, the steps before deposition of a metal layer may be the same as or similar to those in the first embodiment (i.e., steps S1-S5 as shown in FIG. 2 a-2 e). In contrast to the third embodiment, the material of the metal sublayer is an alloy comprising at least two element metals, and in one depositing-annealing process cycle, the first element-metal layer and the second element-metal layer are deposited sequentially, and then annealing is performed to form the alloyed metal layer and modify the filling topography.
  • FIG. 7 is a flow chart of a method for manufacturing a metal gate in a gate-last process according to the fourth embodiment; and FIGS. 8 a to 8 g are schematic diagrams illustrating a method for manufacturing a metal gate in a gate-last process according to the first embodiment. In this method, the step of depositing a metal sublayer on a surface of the substrate comprises the following steps.
  • Step S81: a first element-metal layer 32 e is deposited on the surface of the substrate comprising the inside of the gate groove, referring to FIG. 8 a. The material of the first element-metal layer 32 e may be Ti.
  • Step S82: a second element-metal layer 32 f is formed on the first element-metal layer 32 e, referring to FIG. 8 b. The material of the second element-metal layer may be Al. The melting point of the first element-metal layer 32 e is higher than that of the second element-metal layer 32 f, and the thickness of the first and the second element- metal layer 32 f and 32 e is smaller than that of the width of the gate groove, hence the depositing process may need to be performed for multiple times to fill the gate groove.
  • Step S83: annealing is performed on the first and the second element- metal layer 32 f and 32 e, to form an alloyed metal sublayer and modify filling topography inside the gate groove, thereby completing a cycle of the depositing-annealing process, referring to FIG. 8 c.
  • Step S84: the depositing-annealing process is performed for at least two cycles, until the gate groove is filled up and a metal layer is formed by multiple metal sublayers.
  • Referring to FIGS. 8 d, 8 e and 8 f, a first element-metal layer 32 e′ and a second element-metal layer 32 f′ are deposited, and then annealing is performed, to modify the filling topography inside the gate groove; meanwhile, another metal layer is formed through alloying. The materials of the first element- metal layer 32 e and 32 e′, and the second element- metal layer 32 f and 32 f′ may be the same, their thicknesses may be the same or similar, and the parameters of the annealing processes may be substantially the same. After multiple depositing-annealing process cycles, a good metal-filling result may be obtained.
  • Step S85: the portion of the metal layer that is outside the gate groove is removed, to form a metal gate 34 e, referring to FIG. 8 g.
  • In this embodiment, the metal sublayer is a two-element alloy; and in other embodiment it may be an alloy of three or more elements, and the number of cycles performed of the depositing-annealing process may be determined as required in practice. In this embodiment, by way of example, the depositing-annealing process is performed for two cycles; and in other embodiments, the number of cycles may be three or more than three.
  • Preferred embodiments are described above for illustrative purposes. However, the scope of protection of the present invention is not limited to the detailed descriptions of the embodiments, and modifications may be made to the embodiments of the present invention by those skilled in the art without deviation from the scope of the present invention.

Claims (14)

1. A method for manufacturing a metal gate in a gate-last process, comprising:
providing a substrate, the substrate comprising a gate groove;
performing a metal layer depositing-annealing process on a surface of the substrate for at least once, to fill the gate groove with a metal layer; and
removing a portion of the metal layer that is outside the gate groove.
2. The method for manufacturing a metal gate in a gate-last process according to claim 1, wherein the step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once to fill the gate groove with a metal layer comprises:
depositing a metal layer on a surface of the substrate, to fill the gate groove; and
performing annealing on the metal layer, to modify filling topography inside the gate groove.
3. The method for manufacturing a metal gate in a gate-last process according to claim 2, wherein the material of the metal layer is Al or TiAlx.
4. The method for manufacturing a metal gate in a gate-last process according to claim 2, wherein the metal layer comprises:
at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
5. The method for manufacturing a metal gate in a gate-last process according to claim 1, wherein the step of performing a metal layer depositing-annealing process on a surface of the substrate for at least once comprises the steps of:
depositing a metal sublayer on a surface of the substrate;
performing annealing on the metal sublayer, to modify filling topography of the metal sublayer, thereby completing a cycle of the depositing-annealing process; and
performing at least two cycles of the depositing-annealing process.
6. The method for manufacturing a metal gate in a gate-last process according to claim 5, wherein the material of the metal sublayer is Al or TiAlx.
7. The method for manufacturing a metal gate in a gate-last process according to claim 5, wherein the metal sublayer comprises:
at least two element-metal layers, the element-metal layers being stacked from bottom to top in the order of decreasing melting points.
8. The method for manufacturing a metal gate in a gate-last process according to claim 4, wherein the materials of the element-metal layers are Ti and Al from bottom to top.
9. The method for manufacturing a metal gate in a gate-last process according to claim 2, wherein the annealing is performed in N2 or He.
10. The method for manufacturing a metal gate in a gate-last process according to claim 2, wherein the annealing is performed at a temperature ranging from 300 to 600 degrees Celsius.
11. The method for manufacturing a metal gate in a gate-last process according to claim 1, wherein Physical vapor deposition (PVD) or Chemical vapor deposition (CVD) is used to deposit the metal layer in the metal layer depositing-annealing process.
12. The method for manufacturing a metal gate in a gate-last process according to claim 7, wherein the materials of the element-metal layers are Ti and Al from bottom to top.
13. The method for manufacturing a metal gate in a gate-last process according to claim 5, wherein the annealing is performed in N2 or He.
14. The method for manufacturing a metal gate in a gate-last process according to claim 5, wherein the annealing is performed at a temperature ranging from 300 to 600 degrees Celsius.
US13/513,160 2010-09-29 2011-09-28 Fabrication method of metal gates for gate-last process Abandoned US20120238088A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201010500383.0A CN102437032B (en) 2010-09-29 2010-09-29 Manufacture method of metal gates in gate-post process
CN201010500383.0 2010-09-29
PCT/CN2011/080300 WO2012041232A1 (en) 2010-09-29 2011-09-28 Fabrication method of metal gates for gate-last process
CNPCT/CN2011/080300 2011-09-28

Publications (1)

Publication Number Publication Date
US20120238088A1 true US20120238088A1 (en) 2012-09-20

Family

ID=45891965

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/513,160 Abandoned US20120238088A1 (en) 2010-09-29 2011-09-28 Fabrication method of metal gates for gate-last process

Country Status (3)

Country Link
US (1) US20120238088A1 (en)
CN (1) CN102437032B (en)
WO (1) WO2012041232A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140268415A1 (en) * 2013-03-12 2014-09-18 Seagate Technology Llc Gap between magnetic materials
US20160049491A1 (en) * 2014-08-13 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9312190B2 (en) * 2012-06-29 2016-04-12 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103515321B (en) * 2012-06-28 2016-07-27 中芯国际集成电路制造(上海)有限公司 The formation method for side wall of semiconductor device
CN105336598A (en) * 2014-06-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 Preparation method of metal gate c semiconductor device and preparation method thereof
CN105990118A (en) * 2015-02-17 2016-10-05 中芯国际集成电路制造(上海)有限公司 Semiconductor device, fabrication method thereof and electronic apparatus
CN107564807B (en) * 2017-08-31 2019-04-30 长江存储科技有限责任公司 A kind of metal gate structure and forming method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US7799630B2 (en) * 2008-01-23 2010-09-21 United Microelectronics Corp. Method for manufacturing a CMOS device having dual metal gate
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
CN101685800B (en) * 2008-09-26 2012-02-01 台湾积体电路制造股份有限公司 Method of fabricating a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312190B2 (en) * 2012-06-29 2016-04-12 SK Hynix Inc. Semiconductor device and method of manufacturing the same
US20140268415A1 (en) * 2013-03-12 2014-09-18 Seagate Technology Llc Gap between magnetic materials
US9214167B2 (en) * 2013-03-12 2015-12-15 Seagate Technology Llc Main pole layer with at least tow sacrificial layers and a gap layer
US9922671B2 (en) 2013-03-12 2018-03-20 Seagate Technology Llc Main pole layer with at least two sacrificial layers and a gap layer
US20160049491A1 (en) * 2014-08-13 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices

Also Published As

Publication number Publication date
CN102437032A (en) 2012-05-02
CN102437032B (en) 2015-04-01
WO2012041232A1 (en) 2012-04-05

Similar Documents

Publication Publication Date Title
US9595442B2 (en) Method of forming semiconductor structure with anti-punch through structure
TWI437708B (en) Gate electrode for field effect transistor and field effect transistor
US9947766B2 (en) Semiconductor device and fabricating method thereof
CN102969347B (en) The technology of metal gate device with more barrier layers is provided
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
US9472638B2 (en) FinFETs with multiple threshold voltages
CN102244098B (en) Semiconducotor device and manufacturing method therefor
US20120238088A1 (en) Fabrication method of metal gates for gate-last process
US8609484B2 (en) Method for forming high-K metal gate device
US20130240990A1 (en) Semiconductor structure and method for manufacturing the same
US10692779B2 (en) Method and structure for CMOS metal gate stack
CN106601605B (en) Gate stack structure, NMOS device, semiconductor device and manufacturing method thereof
US8664054B2 (en) Method for forming semiconductor structure
US11908749B2 (en) Method of metal gate formation and structures formed by the same
US20120146142A1 (en) Mos transistor and method for manufacturing the same
US8530303B2 (en) Method of fabricating semiconductor device
US20120313158A1 (en) Semiconductor structure and method for manufacturing the same
TW201729238A (en) Semiconductor device structure and method for forming the same
US11295955B2 (en) Transistor
TW201501299A (en) Method for fabricating FinFET transistor
CN108666267B (en) Semiconductor structure and forming method thereof
TWI509702B (en) Metal gate transistor and method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIANG, JINJUAN;WANG, WENWU;REEL/FRAME:028299/0659

Effective date: 20120522

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION