US20110017139A1 - System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD) - Google Patents

System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD) Download PDF

Info

Publication number
US20110017139A1
US20110017139A1 US12/895,635 US89563510A US2011017139A1 US 20110017139 A1 US20110017139 A1 US 20110017139A1 US 89563510 A US89563510 A US 89563510A US 2011017139 A1 US2011017139 A1 US 2011017139A1
Authority
US
United States
Prior art keywords
deposition
substrate
ion
ald
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/895,635
Inventor
Tony P. Chiang
Karl F. Leeser
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/812,285 external-priority patent/US6428859B1/en
Priority claimed from US10/215,711 external-priority patent/US20020197402A1/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US12/895,635 priority Critical patent/US20110017139A1/en
Publication of US20110017139A1 publication Critical patent/US20110017139A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Definitions

  • the present invention relates generally to the field of advanced thin film deposition methods commonly used in the semiconductor, data storage, flat panel display, as well as allied or other industries. More particularly, the present invention relates to an enhanced sequential or non-sequential atomic layer deposition (ALD) apparatus and technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive thin films.
  • ALD sequential or non-sequential atomic layer deposition
  • Electroplating has emerged as the copper fill technique of choice due to its low deposition temperature, high deposition rate, and potential low manufacturing cost.
  • the barrier and seed layers Copper can diffuse readily into silicon and most dielectrics. This diffusion may lead to electrical leakage between metal wires and poor device performance.
  • An encapsulating barrier layer is needed to isolate the copper from the surrounding material (e.g., dielectric or Si), thus preventing copper diffusion into and/or reaction with the underlying material (e.g. dielectric or Si).
  • the barrier layer also serves as the adhesion or glue layer between the patterned dielectric trench or via and the copper used to fill it.
  • the dielectric material can be a low dielectric constant, i.e. low-k material (used to reduce inter- and intra-line capacitance and cross-talk) which typically suffers from poorer adhesion characteristics and lower thermal stability than traditional oxide insulators.
  • an inferior adhesion layer will, for example, lead to delamination at either the barrier-to-dielectric or barrier-to-copper interfaces during any subsequent anneal and/or chemical mechanical planarization (CMP) processing steps leading to degradation in device performance and reliability.
  • CMP chemical mechanical planarization
  • the barrier layer should be thin, conformal, defect free, and of low resistivity so as to not compromise the conductance of the copper metal interconnect structure.
  • electroplating fill requires a copper seed layer, which serves to both carry the plating current and act as the nucleation layer.
  • the preferred seed layer should be smooth, continuous, of high purity, and have good step coverage with low overhang. A discontinuity in the seed layer will lead to sidewall voiding, while gross overhang will lead to pinch-off and the formation of top voids.
  • PVD Physical vapor deposition
  • sputtering has been adopted as the preferred method of choice for depositing conductor films used in IC manufacturing. This choice has been primarily driven by the low cost, simple sputtering approach whereby relatively pure elemental or compound materials can be deposited at relatively low substrate temperatures.
  • refractory based metals and metal compounds such as tantalum (Ta), tantalum nitride (TaN x ), other tantalum containing compounds, tungsten (W), tungsten nitride (WN x ), and other tungsten containing compounds which are used as barrier/adhesion layers can be sputter deposited with the substrate at or near room temperature.
  • CVD processes offer improved step coverage since CVD processes can be tailored to provide conformal films. Conformality ensures the deposited films match the shape of the underlying substrate, and the film thickness inside the feature is uniform and equivalent to the thickness outside the feature.
  • CVD requires comparatively high deposition temperatures, suffers from high impurity concentrations, which impact film integrity, and have higher cost-of-ownership due to long nucleation times and poor precursor gas utilization efficiency.
  • CVD Ta and TaN films require substrate temperatures ranging from 500° C. to over 800° C. and suffer from impurity concentrations (typically of carbon and oxygen) ranging from several to tens of atomic % concentration.
  • Ta and TaN x films were deposited from 350° C. to 450° C. and contained 2.5 to 3 atomic % concentration of bromine. Although the deposition temperature has been reduced by increased fragmentation (and hence increased reactivity) of the precursor gases in the gas-phase via a plasma, the same fragmentation leads to the deposition of unwanted impurities. Gas-phase fragmentation of the precursor into both desired and undesired species inherently limits the efficacy of this approach.
  • ALD atomic layer chemical vapor deposition
  • ALD atomic layer deposition
  • the process is self-limiting since the deposition reaction halts once the initially adsorbed (physi- or chemi-sorbed) monolayer of the first reactant has fully reacted with the second reactant. Finally, the excess second reactant is evacuated.
  • the above sequence of events comprises one deposition cycle.
  • the desired film thickness is obtained by repeating the deposition cycle the required number of times.
  • ALD is complicated by the painstaking selection of a process temperature setpoint wherein both: 1) at least one of the reactants sufficiently adsorbs to a monolayer and 2) the surface deposition reaction can occur with adequate growth rate and film purity. If the substrate temperature needed for the deposition reaction is too high, desorption or decomposition of the first adsorbed reactant occurs, thereby eliminating the layer-by-layer process. If the temperature is too low, the deposition reaction may be incomplete (i.e., very slow), not occur at all, or lead to poor film quality (e.g., high resistivity and/or high impurity content). Since the ALD process is entirely thermal, selection of available precursors (i.e., reactants) that fit the temperature window becomes difficult and sometimes unattainable.
  • ALD of TaN films is confined to a narrow temperature window of 400° C. to 500° C., generally occurs with a maximum deposition rate of 0.2 ⁇ /cycle, and can contain up to several atomic percent of impurities including chlorine and oxygen.
  • Chlorine is a corrosive, can attack copper, and lead to reliability concerns.
  • the above process is unsuitable for copper metallization and low-k integration due to the high deposition temperature, slow deposition rate, and chlorine impurity incorporation.
  • gaseous hydrogen (H 2 ) or elemental zinc (Zn) is often cited as the second reactant.
  • These reactants are chosen since they act as a reducing agent to bring the metal atom contained in the first reactant to the desired oxidation state in order to deposit the end film.
  • Gaseous, diatomic hydrogen (H 2 ) is an inefficient reducing agent due to its chemical stability, and elemental zinc has low volatility (e.g., it is very difficult to deliver sufficient amounts of Zn vapor to the substrate) and is generally incompatible with IC manufacturing.
  • Unfortunately due to the temperature conflicts that plague the ALD method and lack of kinetically favorable second reactant, serious compromises in process performance result.
  • radical enhanced atomic layer deposition U.S. Pat. No. 5,916,365
  • plasma-enhanced atomic layer deposition has been proposed whereby a downstream radio-frequency (RF) glow discharge is used to dissociate the second reactant to form more reactive radical species which drives the reaction at lower substrate temperatures.
  • RF radio-frequency
  • Ta ALD films have been deposited at 0.16 to 0.5 ⁇ /cycle at 25° C., and up to approximately 1.67 ⁇ /cycle at 250° C. to 450° C.
  • REALD results in a lower operating substrate temperature than all the aforementioned techniques, the process still suffers from several significant drawbacks. Higher temperatures must still be used to generate appreciable deposition rates.
  • REALD remains a thermal or pyrolytic process similar to ALD and even CVD since the substrate temperature provides the required activation energy for the process and is therefore the primary control means for driving the deposition reaction.
  • Ta films deposited using REALD still contain chlorine as well as oxygen impurities, and are of low density.
  • a low density or porous film leads to a poor barrier against copper diffusion since copper atoms and ions have more pathways to traverse the barrier material.
  • a porous or under-dense film has lower chemical stability and can react undesirably with overlying or underlying films, or with exposure to gases commonly used in IC manufacturing processes.
  • REALD is another limitation of REALD is that the radical generation and delivery is inefficient and undesirable.
  • RF plasma generation of radicals used as the second reactant such as atomic H is not as efficient as microwave plasma due to the enhanced efficiency of microwave energy transfer to electrons used to sustain and dissociate reactants introduced in the plasma.
  • having a downstream configuration whereby the radical generating plasma is contained in a separate vessel located remotely from the main chamber where the substrate is situated and using a small aperture to introduce the radicals from the remote plasma vessel to the main chamber body significantly decreases the efficiency of transport of the second radical reactant. Both gas-phase and wall recombination will reduce the flux of desired radicals that can reach the substrate.
  • ALD or any derivative such as REALD
  • ALD is fundamentally slow since it relies on a sequential process whereby each deposition cycle is comprised of at least two separate reactant flow and evacuation steps, which can occur on the order of minutes with conventional valve and chamber technology.
  • Significant improvements resulting in faster ALD are needed to make it more suitable for commercial IC manufacturing.
  • FIG. 1 is a schematic of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • MII-ALD modulated ion-induced atomic layer deposition
  • FIG. 2A depicts a timing sequence for an improved ALD method incorporating periodic exposure of the substrate to ions.
  • FIG. 2B is another timing sequence for an improved ALD method incorporating periodic exposure of the substrate to ions.
  • FIG. 3A shows the MII-ALD method utilizing ion flux modulation to vary the substrate exposure to ions.
  • FIG. 3B shows the timing of the MII-ALD method utilizing ion energy modulation to vary the substrate exposure to ions by varying the substrate bias.
  • FIGS. 4A-F show methods of modulating the MII-ALD process.
  • FIG. 5 shows an electrostatic chuck (ESC) system suitable for modulating the ion energy in the MII-ALD process: a) in topological form; and, b) as an equivalent electrical circuit.
  • ESC electrostatic chuck
  • FIG. 6 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • MII-ALD modulated ion-induced atomic layer deposition
  • FIG. 7 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • MII-ALD modulated ion-induced atomic layer deposition
  • FIG. 8 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • MII-ALD modulated ion-induced atomic layer deposition
  • FIG. 9 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • MII-ALD modulated ion-induced atomic layer deposition
  • the present invention relates to methods and apparatuses useable for the deposition of conformal solid thin films of one or more elements at low temperature. More particularly, the present invention relates to an enhanced sequential or, more preferably, non-sequential atomic layer deposition apparatus and technique suitable for deposition of barrier layers, adhesion layers, seed layers, and low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive thin films.
  • low-k low dielectric constant
  • high-k high dielectric constant
  • the present invention resolves the previously presented problems encountered in the prior art (e.g., REALD) by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method; and, 4) providing a means of improved radical generation and delivery.
  • REALD a non-thermal or non-pyrolytic means of triggering the deposition reaction
  • Improvements to ALD processing remain “thermal” or “pyrolytic” processes since the substrate temperature provides the required activation energy and is the primary control knob for driving the deposition reaction.
  • we propose driving the deposition reaction primarily via substrate exposure to impinging ions wherein the ions are used to deliver the necessary activation energy to the near surface atoms and adsorbed reactant(s) via collision cascades.
  • FIG. 1 illustrates a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • the invention described herein also incorporates a means of modulating the exposure of the substrate to ions. By modulating 1) the ion flux; 2) the energy of the ions striking the substrate; or a combination of (1) and (2), the deposition reaction can be precisely toggled “on” or “off”. If the ion flux or energy is at a “low” state, then no deposition results or deposition occurs so slowly that essentially no deposition results. If the impinging ion flux or energy is at a “high” state, then deposition occurs.
  • the substrate which may be a “bare” substrate, e.g., a silicon wafer before any films have been deposited, or it may be a substrate which may already have had one or more films deposited on its surface
  • the first and second reactants do not thermally react with any appreciable rate or do not react at all. Instead, the deposition reaction only takes place when either the ion flux or ion energy is toggled to a suitable “high state”.
  • the desired film thickness is built up by repeating the ion pulses (either of flux or energy) the required number of cycles.
  • MII-ALD modulated ion-induced atomic layer deposition
  • the present invention also improves upon the prior art by employing a microwave generated plasma 172 substantially contained in the main chamber body 190 that is isolated via a distribution showerhead 171 comprised of a series or array of apertures 175 which resolves the issues of radical generation and delivery, while preventing gas-phase precursor cracking (i.e., fragmentation or breaking down the precursor gas into its constituent elements) and impurity and/or particle generation directly above the wafer 181 .
  • the plasma is contained within the plasma source chamber 170 itself and is not in direct communication with the substrate 181 .
  • the same plasma is used to generate both ions 177 (used to drive the surface reactions) and radicals 176 (used as the second reactant), but is isolated from the first reactant 100 which typically contains both the principal element(s) desired in the end film, but also unwanted impurity containing byproducts. Therefore, primarily only the radicals 176 and ions 177 are able to travel through the showerhead apertures 175 .
  • the plasma 172 is essentially contained within the plasma source chamber and does not intermingle with the precursor gases 100 , 120 .
  • the present invention utilizes ion imparted kinetic energy transfer rather than thermal energy (e.g., REALD, ALD, PECVD, CVD, etc.) to drive the deposition reaction. Since temperature can be used as a secondary control variable, with this enhancement films can be deposited using MII-ALD at arbitrarily low substrate temperatures (generally less than 350° C.). In particular, films can be deposited at or near room temperature (i.e., 25° C.) or below.
  • thermal energy e.g., REALD, ALD, PECVD, CVD, etc.
  • the system of FIG. 1 contains a substantially enclosed plasma source chamber 170 located in substantial communication with or, more preferably, substantially within a main chamber body 190 .
  • the plasma 172 is used to dissociate feed gases 130 , 110 to generate both ions 177 and radicals 176 .
  • Typical feed gases 130 used for ion generation include, but are not restricted to Ar, Kr, Ne, and Xe.
  • Typical feed gases 110 (e.g., precursor B) used for radical generation include, but are not restricted to H 2 , O 2 , N 2 , NH 3 , and H 2 0 vapor.
  • the ions 177 are used to deliver the energy needed to drive surface reactions between the first adsorbed reactant and the generated radicals 176 .
  • Inductively coupled RF (e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) power 160 can be used to generate the plasma via solenoidal coils located within or outside of the plasma chamber (not shown in FIG. 1 ). More preferably, microwave (e.g., generally 2.45 GHz or higher frequencies) power 160 is coupled to the plasma source chamber 170 via a suitable means such as a waveguide or coaxial cable. Microwave energy can be more efficiently transferred to ionizing electrons, leading to higher ionization fractions.
  • radicals 176 i.e., a chemical fragment of a larger molecule
  • radicals 176 such as atomic hydrogen, or any of a number of other reactive groups such as nitrogen atoms (N), oxygen atoms (O), OH molecules, or NH molecules, or a combination thereof.
  • These radicals serve as the second reactant.
  • Microwave or radio-frequency (RF) power 160 is coupled to the plasma 172 via a dielectric material 173 , which may be a dielectric window such as quartz embedded in the chamber wall, or it may be empty space in the case of a microwave or RF antenna located within the plasma chamber.
  • a distribution showerhead 171 containing a series or array of apertures 175 through which ions 177 and radicals 176 are delivered to the substrate 181 , isolates the main process chamber 180 from the plasma source chamber 170 .
  • a pressure drop (for example, a 5 or 10 times decrease in pressure, with the main processing chamber 180 being at the lower pressure) is thereby created between the plasma source chamber 170 and the main processing chamber 180 to project the ions 177 and radicals 176 to the substrate 181 via the distribution showerhead 171 .
  • the plasma source chamber 170 is generally of comparable diameter to the main chamber body 190 to enable large area exposure of the sample.
  • the size, aspect ratio, and distribution of the showerhead apertures 175 can be optimized to provide uniform exposure of the substrate 181 and the desired ion 177 to radical 176 ratio.
  • the distance between this showerhead 171 and the substrate 181 may vary depending on the application. For the processing of wafers in the IC industry, this distance is preferably at most two wafer diameters and more preferably less than or equal to one half a wafer diameter.
  • Having a substantially enclosed plasma generation chamber 170 situated within the main chamber 190 allows efficient and uniform delivery of ions 177 and radicals 176 to the substrate 181 .
  • by isolating the plasma 172 from the main chamber 180 prevents gas-phase cracking of the first reactant 100 (e.g., precursor A), which is introduced directly to the main processing chamber 180 via a gas distribution manifold 199 .
  • the first reactant 100 e.g., precursor A
  • Precursor A 100 may be any one or more of a series of gaseous compounds used for depositing semiconductors, insulators, metals or the like that are well-known in the art (e.g, PDEAT (pentakis(diethylamido)tantalum), PEMAT (pentakis(ethylmethylamido)tantalum), TaBr 5 , TaCl 5 , TBTDET (t-butylimino tris(diethylamino) tantalum), TiCl 4 , TDMAT (tetrakis(dimethylamido)titanium), TDEAT (tetrakis(diethylamino)titanium), CuCl, Cupraselect® ((Trimethylvinylsilyl)hexafluoroacetylacetonato Copper I), Cu(hfac) 2 (copper (II) hexafluoroacetylacetonate), Cu(acac) 2 (copper
  • the ion/radical distribution showerhead 171 shields the dielectric wall 173 adjacent to the supplied RF or microwave power 160 against being coated by precursor A 100 during processing which can degrade power transfer to the plasma 172 in processing systems found in the prior art. This is of particular importance in the case of deposition of conductors whereby if the dielectric 173 is fully exposed to the metal containing first reactant 100 (e.g., precursor A) and if the plasma 172 was directly generated within the main chamber 190 without the use of an isolating distribution showerhead 171 , then metal deposition onto the dielectric 173 will eventually shield out RF or microwave power 160 from the plasma 172 such that the plasma 172 will extinguish.
  • the metal containing first reactant 100 e.g., precursor A
  • FIG. 2A depicts a sequence for an improved ALD method incorporating periodic exposure of the substrate to ions.
  • ion exposure 230 begins with the introduction of the second precursor 220 (especially when plasma generated radicals 176 are used as the second precursor or reactant).
  • This figure illustrates one embodiment of MII-ALD utilizing the apparatus described in FIG. 1 . This results in an enhanced sequential ALD process as follows:
  • First exposure 200 The substrate 181 is exposed to a first gaseous reactant 100 (e.g., precursor A), allowing a monolayer of the reactant to form on the surface.
  • the substrate 181 may be at any temperature below the decomposition temperature of the first gaseous reactant although it is preferable for the temperature to generally be less than approximately 350° C.
  • First reactant removal 210 The excess reactant 100 is removed. Removal can occur by evacuating 214 the chamber 180 with a vacuum pump 184 .
  • the vacuum pump 184 is also capable of reducing a pressure within the process chamber 180 to below ambient atmospheric pressure (i.e., subatmospheric pressure).
  • removal can be achieved by purging the chamber 180 with an inert purge gas. The inert gas purge may be used alone or in conjunction with the evacuation 214 .
  • Second exposure 220 Unlike conventional ALD, the substrate 181 is simultaneously exposed to ions 177 and a second gaseous reactant (e.g., radicals 176 ) during this step with the substrate 181 (e.g., wafer) biased to a negative potential V bias 185 .
  • RF power supplied to the ESC electrodes 603 is used to generate ions 177 (e.g., argon-ions (Ar + )) and, radicals 176 (e.g., H atoms) and to couple the bias voltage to the substrate to modulate the ion energy.
  • ions 177 e.g., argon-ions (Ar + )
  • radicals 176 e.g., H atoms
  • the ions will strike the substrate 181 with an energy approximately equal to (e
  • V bias (
  • a V bias of ⁇ 20V to ⁇ 80V is typically sufficient to drive the deposition reaction.
  • the activation energy now primarily supplied by ions 177 instead of thermal energy, the first and second reactants react via an ion-induced surface reaction to produce a solid thin monolayer of the desired film at a reduced substrate temperature below conventional ALD.
  • the deposition reaction between the first and second reactants is self-limiting in that the reaction between them terminates after the initial monolayer of the first reactant 100 is consumed.
  • Second reactant removal 210 The excess second reactant is removed by again evacuating 216 the chamber 180 with the vacuum pump 184 and/or purging with an inert purge gas.
  • Additional precursor gases may be introduced and evacuated as required for a given process to create tailored films of varying compositions or materials.
  • an optional exposure may occur in the case of a compound barrier of varying composition.
  • a TaN x /Ta film stack is of interest in copper technology since TaN x prevents fluorine attack from the underlying fluorinated low-k dielectrics, whereas the Ta promotes better adhesion and crystallographic orientation for the overlying copper seed layer.
  • the TaN x film may be deposited using a tantalum containing precursor (e.g., TaCl 5 , PEMAT, PDEAT, TBTDET) as the first reactant 100 (precursor A) and a mixture of atomic hydrogen and atomic nitrogen (i.e. flowing a mixture of H 2 and N 2 into the plasma source 172 ) as the second reactant to produce a TaN x film. Simultaneous ion exposure is used to drive the deposition reaction. Next a Ta film may be deposited in a similar fashion by using atomic hydrogen (as opposed to a mixture of atomic hydrogen and nitrogen) as the second reactant.
  • a tantalum containing precursor e.g., TaCl 5 , PEMAT, PDEAT, TBTDET
  • a mixture of atomic hydrogen and atomic nitrogen i.e. flowing a mixture of H 2 and N 2 into the plasma source 172
  • Simultaneous ion exposure is used to drive the deposition reaction.
  • An example of a tailored film stack of differing materials can be the subsequent deposition of a copper layer over the TaN x /Ta bi-layer via the use of a copper containing organometallic e.g., Cu(TMVS)(hfac) or (Trimethylvinylsilyl)hexafluoroacetylacetonato Copper I, also known by the trade name CupraSelect®, available from Schumacher, a unit of Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, Calif.
  • a copper containing organometallic e.g., Cu(TMVS)(hfac) or (Trimethylvinylsilyl)hexafluoroacetylacetonato Copper I, also known by the trade name CupraSelect®, available from Schumacher, a unit of Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, Calif.
  • the copper layer can serve as the seed layer for subsequent electroless or electroplating deposition.
  • FIG. 2B depicts a sequence for an improved ALD method incorporating periodic exposure of the substrate 181 to ions 177 .
  • ion exposure 280 begins with the removal 250 of the second precursor 256 (especially when the second precursor or reactant is not subjected to a plasma). Typically, this is the case where the second precursor or reactant is not a plasma-generated radical.
  • the deposition temperature can be lowered significantly, the first and second reactants are still sequentially introduced into the main process chamber 180 , and hence will still be a slow process. It is of particular interest to eliminate or replace the time-consuming flow-evacuation-flow-evacuation sequential nature of the process.
  • a substrate 181 heated (e.g., to a low temperature of less than or equal to 350° C.) or unheated is simultaneously exposed to a first reactant and a second reactant, and subjected to modulated ion 177 exposure.
  • modulated ion 177 exposure By modulating 1) the ion flux (i.e. the number of ions hitting the substrate per unit area per unit time); 2) the energy of the ions striking the substrate; or a combination of (1) and (2), the deposition reaction can be precisely toggled “on” or “off”.
  • the substrate 181 is preferably maintained at a low substrate temperature, the first and second reactants do not thermally react with any appreciable rate or do not react at all when the ion flux or energy is toggled to a “low” state. Instead, the deposition reaction only takes place when either the ion flux or ion energy is toggled to a suitable “high state”.
  • Ion flux or energy modulation can vary generally from 0.1 Hz to 20 MHz, preferably from 0.01 KHz to 10 KHz.
  • the main process chamber 180 pressure can be maintained in the range of generally 10 2 to 10 ⁇ 7 torr, more preferably from 10 1 to 10 ⁇ 4 torr, depending on the chemistry involved.
  • the desired film thickness is attained via exposure of the substrate to the suitable number of modulated ion flux or energy pulse cycles.
  • This MII-ALD scheme results in a “continuous” deposition process that is significantly faster than conventional sequential ALD since the two, slow evacuation steps (up to minutes) are eliminated and replaced by the faster (KHz range or above) ion modulation steps.
  • the modulation can be either of the ion flux via the plasma power or of the ion energy via an applied periodic wafer bias.
  • FIG. 3A depicts the MII-ALD method utilizing ion flux modulation 320 to vary the substrate 181 exposure to ions 177 .
  • the second reactant 310 e.g., radicals
  • Varying the power 160 delivered to the plasma 172 can vary the ion flux from little or none to maximum ion production.
  • Plasma power modulation can take the form of variations in frequency (periodicity), magnitude, and duty-cycle.
  • Increasing plasma power 160 leads to increasing plasma 172 , and hence, increased ion 177 density. Since the deposition process is ion-induced, having little or no ion bombardment will essentially stop the deposition process, whereas increased ion bombardment will cause deposition to occur.
  • a constant wafer bias 185 (DC in FIG. 4C or RF in FIG. 4A ) is applied to define the ion energy of the modulated ion flux in this embodiment and is chosen to be sufficiently high so that ion-induced surface reactions can occur.
  • the second reactant (e.g., radicals) flux 310 is synchronized with the ion flux 320 pulses.
  • the radical feed gas 110 H 2 for example
  • the radical flux 310 e.g., fraction of H 2 which is converted to atomic H
  • subjecting the substrate 181 to a non-constant wafer voltage bias 185 can vary the incoming ion energy at a fixed plasma power 160 (i.e., ion flux).
  • a non-constant wafer voltage bias 185 can vary the incoming ion energy at a fixed plasma power 160 (i.e., ion flux).
  • This preferred embodiment of MII-ALD is illustrated conceptually in FIG. 3B , and more explicitly in FIGS. 4B and 4D .
  • FIG. 3B shows the MII-ALD method utilizing ion energy modulation 350 to vary the substrate 181 exposure to ions 177 by varying the substrate bias 185 .
  • the applied bias 185 can take the form of variations in frequency (periodicity), magnitude, and duty-cycle.
  • the incoming ions 177 do not have enough energy to induce surface deposition reactions.
  • the wafer 181 potential is “high” (e.g., at a significant negative potential relative to ground)
  • the incoming ions 177 will have the necessary energy to induce surface deposition reactions via collision cascades. In such a fashion, the deposition can be turned “on” or “off” by modulating the wafer bias voltage 185 , and hence the impinging ion 177 energy.
  • Typical wafer voltages can range from generally ⁇ 20 V to ⁇ 1000 V, but preferably in the ⁇ 25 V to ⁇ 500 V range, and more preferably in the ⁇ 50 V to ⁇ 350 V range during deposition.
  • the bias voltage 185 is coupled to the wafer via the pedestal 182 .
  • the substrate pedestal 182 is an electrostatic chuck (ESC) to provide efficient coupling of bias voltage to the substrate.
  • the ESC is situated in the main processing chamber 180 and can be cooled via a fluid coolant (preferably a liquid coolant) and/or heated (e.g., resistively) to manipulate the substrate temperature.
  • the preferred electrostatic chuck is a “coulombic” ESC 500 (bulk resistivity generally greater than 10 13 ohm-cm) rather than one whose bulk material effects are dominated by the Johnson-Rahbek (JR) effect (bulk resistivity between 10 8 and 10 12 ohm-cm).
  • the substrate potential is a complex function of the voltage of the electrostatic “chucking” electrodes if these voltages are established relative to a reference potential, but is simplified in the case of “coulombic” (non-JR) ESC.
  • the substrate potential can be arbitrary.
  • the ESC power supply 510 is also center-tapped 518 , then the wafer potential can be established by connecting the center tap 518 to the output of a power amplifier 520 .
  • a waveform generator 535 coupled to the power amplifier 520 can be controlled by a control computer 195 ( FIGS. 1 and 6 ) to, for example, periodically drop the substrate potential to a negative value for a certain period of time or apply a given frequency to the ESC 500 .
  • FIG. 5 shows an ESC system suitable for modulating the ion energy in the MII-ALD process: a) in topological form; and, b) as an equivalent electrical circuit.
  • the deposition rate is affected by the choice of the critical bias pulse train variables: the magnitude, frequency (periodicity), and duty cycle.
  • the bias frequency is high (e.g., 100 Hz-10 KHz) with a short duty cycle (e.g., less than 30%), reducing the net, time-averaged current (which can cause substrate potential drift, de-chucking problems, or charge-induced device damage) while providing a charge relaxation period wherein the ion charges accumulated during ion exposure can redistribute and neutralize.
  • the substrate potential can be modulated by imparting an induced DC bias to the substrate by applying RF power to the pedestal.
  • the RF power is coupled into the ESC electrodes.
  • FIGS. 4A-F illustrate the preferred methods of modulating the MII-ALD process.
  • an RF bias power B 2 is applied to the substrate pedestal 182 imparting an induced DC bias V 2 to the substrate while the plasma (either microwave or RF) power 400 is varied periodically between a high P 1 and a low P 2 power state.
  • the plasma either microwave or RF
  • plasma (either microwave or RF) power 410 is constant P 1 while an RF bias power, applied to the substrate pedestal 182 , is varied between a low B 1 and a high B 2 bias state (V 1 and V 2 are the DC offset or bias voltages resulting from the applied RF bias power).
  • a negative DC bias 425 is applied to the substrate pedestal 182 while the plasma (either microwave or RF) power 420 is varied periodically between a high P 1 and a low power P 2 state.
  • plasma (either microwave or RF) power is constant 430 while a DC bias 435 applied to the substrate pedestal 182 is varied between a zero V 1 and a negative voltage state V 2 .
  • FIG. 4B plasma (either microwave or RF) power 410 is constant P 1 while an RF bias power, applied to the substrate pedestal 182 , is varied between a low B 1 and a high B 2 bias state (V 1 and V 2 are the DC offset or bias voltages resulting from the applied RF bias power).
  • a mechanical shutter periodically occludes the ion source. All the while, the plasma power 440 (either microwave or RF) and substrate voltage 445 are held constant.
  • a source area that is smaller than the substrate 181 is preferably used.
  • plasma (either microwave or RF) power 450 is constant
  • a negative DC substrate bias 455 is constant
  • the source and substrate 181 are moved relative to each other 457 , exposing only a portion of the substrate 181 at a time.
  • the methods proposed in FIG. 4B and FIG. 4D whereby the substrate bias is modulated at a constant plasma power 410 , 430 and hence ion flux, are most preferred.
  • MII-ALD can be used to deposit dielectric, semiconducting, or metal films, among others, used in the semiconductor, data storage, flat panel display, and allied as well as other industries.
  • the method and apparatus is suitable for the deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, and high dielectric constant (high-k) films.
  • This process utilizes independent control over the three constituents of plasma—ions, atoms, and precursors. Decoupling these constituents offer improved control over the deposition process.
  • MII-ALD An added benefit of using MII-ALD is that with proper choice of the second reactant, selective ion-enhanced etching and removal of unwanted impurities can be performed.
  • the preferred second reactant is monatomic hydrogen (H) 176 .
  • Simultaneous energetic ion and reactive atomic H bombardment will cause selective removal of unwanted impurities (e.g., containing carbon, oxygen, fluorine, or chlorine) commonly associated with organometallic precursors (e.g., TBTDET, PEMAT, PDEAT, TDMAT, TDEAT), and proceed with removal rates superior to either chemical reaction (e.g., atomic H only) or physical sputtering (e.g., Ar ion only) alone.
  • Impurities lead to high film resistivities, low film density, poor adhesion, and other deleterious film effects.
  • other reactive groups such as nitrogen atoms (N), oxygen atoms (O), OH molecules, or NH molecules, or a combination thereof may be employed.
  • FIG. 6 illustrates another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • MII-ALD modulated ion-induced atomic layer deposition
  • a cross-flow arrangement introduces gas 801 from at least one side of a main processing chamber 803 via one or more apertures whose axes are largely parallel.
  • one or more radial apertures spaced about the periphery of a main processing chamber 703 configured to introduce a gas 701 can also be used.
  • FIG. 9 utilizes both a flow of gas perpendicular 905 and radially parallel 901 to a face of substrate 181 .
  • a source of RF bias power 160 is coupled to one or more ESC electrodes 603 in the substrate pedestal 182 .
  • the ESC electrodes 603 may be of any arbitrary shape.
  • the RF bias power provides power for both ion generation during modulated ion induced atomic layer deposition and energy control of the generated ions.
  • the applied RF bias power is used to generate a plasma, for example, between the substrate 181 and the showerhead 171 to dissociate feed gases to generate ions and/or radicals and to induce a negative potential V bias 185 (i.e., a DC offset voltage typically ⁇ 10 V to ⁇ 80 V at 150 W RF power and 0.1-1 Torr pressure) on the substrate 181 .
  • V bias 185 i.e., a DC offset voltage typically ⁇ 10 V to ⁇ 80 V at 150 W RF power and 0.1-1 Torr pressure
  • the negative potential V bias 185 modulates the energy of the positively charged ions in the plasma and attracts the positively charged ions toward the surface of substrate.
  • the positively charged ions impinge on the substrate 181 , driving the deposition reaction and improving the density of the deposited film.
  • the negative potential V bias 185 is controlled by the applied RF bias power. For a given process region geometry, the induced negative potential V bias 185 increases with increasing RF bias power and decreases with decreasing RF bias power.
  • Controlling the RF bias power also controls the density and hence the number of ions generated in the plasma.
  • Increasing the RF bias power generally increases the ion density, leading to an increase in the flux of ions impinging on the substrate.
  • Higher RF bias powers are also required for larger substrate diameters.
  • a preferred power density is ⁇ 0.5 W/cm 2 , which equates to approximately ⁇ 150 W for a 200 mm substrate 181 .
  • Power densities ⁇ 3 W/cm 2 may lead to undesired sputtering of the deposited film.
  • the frequency of the RF bias power can be 400 kHz, 13.56 MHz, or higher (e.g. 60 MHz, etc.).
  • the low frequency e.g. 400 kHz
  • the higher frequencies e.g., 13.56 MHz or greater
  • the more uniform ion energy distribution occurs because the RF bias polarity switches before ions can impinge on the substrate, such that the ions see a time-averaged potential.
  • a source of applied DC bias can also be coupled to the ESC substrate pedestal 182 .
  • the source can be a DC power supply 510 coupled by a center tap 518 to a voltage source 525 with the ability to vary the voltage or exhibit an infinite impedance.
  • a variable impedance device 605 may be coupled in series between the voltage source 525 and the center tap 518 of the DC power supply 510 .
  • the voltage source 525 is itself coupled to a waveform generator 535 .
  • the waveform generator 535 may be a variable-type waveform generator.
  • An exemplary variable-type waveform generator may be controlled by a control computer 195 and have a variable waveform at different times within a given process and may additionally have a non-periodic output signal.
  • the source of applied DC bias can be coupled to the ESC substrate pedestal 182 by RF blocking capacitors 601 that both provide a DC open for the DC power supply 510 and prevent RF energy from corrupting the DC power supply 510 .
  • Either the deposition or etching can be accomplished globally (as illustrated in the preceding embodiments) or may be chosen to be local to a controlled area (i.e., site-specific using a small, ion beam point or broad-beam source scanned or otherwise stepped across the substrate, exposing only a fraction of the substrate area at any given time).

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Toxicology (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The present invention relates to an enhanced sequential atomic layer deposition (ALD) technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. This is accomplished by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; and, 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. Utility application Ser. No. 10/137,851 filed May 3, 2002 which is a continuation of U.S. Utility application Ser. No. 09/812,285, filed Mar. 19, 2001. This application claims the benefit of U.S. Utility application Ser. Nos. 10/137,855 and 10/137,851, both filed May 3, 2000 and also claims the benefit of U.S. Utility application Ser. Nos. 09/812,486, 09/812,352, and 09/812,285, all filed Mar. 19, 2001. This application further claims the benefit of U.S. Provisional Application Nos. 60/251,795 and 60/254,280, both filed Dec. 6, 2000. All of the aforementioned applications are incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to the field of advanced thin film deposition methods commonly used in the semiconductor, data storage, flat panel display, as well as allied or other industries. More particularly, the present invention relates to an enhanced sequential or non-sequential atomic layer deposition (ALD) apparatus and technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive thin films.
  • The disadvantages of conventional ALD are additionally discussed in a copending application with the same assignee entitled “Method and Apparatus for Improved Temperature Control in Atomic Layer Deposition”, which is hereby incorporated by reference in its entirety and may be found as copending application Ser. No. 09/854,092.
  • 2. Brief Description of the Background Art
  • As integrated circuit (IC) dimensions shrink and the aspect ratios of the resulting features increase, the ability to deposit conformal, ultra-thin films on the sides and bottoms of high aspect ratio trenches and vias becomes increasingly important. These conformal, ultra-thin films are typically used as “liner” material to enhance adhesion, prevent inter-diffusion and/or chemical reaction between the underlying dielectric and the overlying metal, and promote the deposition of a subsequent film.
  • In addition, decreasing device dimensions and increasing device densities has necessitated the transition from traditional CVD tungsten plug and aluminum interconnect technology to copper interconnect technology. This transition is driven by both the increasing impact of the RC interconnect delay on device speed and by the electromigration (i.e., the mass transport of metal due to momentum transfer between conducting electrons and diffusing metal atoms, thereby affecting reliability) limitations of aluminum based conductors for sub 0.25 μm device generations. Copper is preferred due to its lower resistivity and higher (greater than 10 times) electromigration resistance as compared to aluminum. A single or dual damascene copper metallization scheme is used since it eliminates the need for copper etching and reduces the number of integration steps required. However, the burden now shifts to the metal deposition step(s) as the copper must fill predefined high aspect ratio trenches and/or vias in the dielectric. Electroplating has emerged as the copper fill technique of choice due to its low deposition temperature, high deposition rate, and potential low manufacturing cost.
  • Two major challenges exist for copper wiring technology: the barrier and seed layers. Copper can diffuse readily into silicon and most dielectrics. This diffusion may lead to electrical leakage between metal wires and poor device performance. An encapsulating barrier layer is needed to isolate the copper from the surrounding material (e.g., dielectric or Si), thus preventing copper diffusion into and/or reaction with the underlying material (e.g. dielectric or Si). In addition, the barrier layer also serves as the adhesion or glue layer between the patterned dielectric trench or via and the copper used to fill it. The dielectric material can be a low dielectric constant, i.e. low-k material (used to reduce inter- and intra-line capacitance and cross-talk) which typically suffers from poorer adhesion characteristics and lower thermal stability than traditional oxide insulators. Consequently, this places more stringent requirements on the barrier material and deposition method. An inferior adhesion layer will, for example, lead to delamination at either the barrier-to-dielectric or barrier-to-copper interfaces during any subsequent anneal and/or chemical mechanical planarization (CMP) processing steps leading to degradation in device performance and reliability. Ideally, the barrier layer should be thin, conformal, defect free, and of low resistivity so as to not compromise the conductance of the copper metal interconnect structure.
  • In addition, electroplating fill requires a copper seed layer, which serves to both carry the plating current and act as the nucleation layer. The preferred seed layer should be smooth, continuous, of high purity, and have good step coverage with low overhang. A discontinuity in the seed layer will lead to sidewall voiding, while gross overhang will lead to pinch-off and the formation of top voids.
  • Both the barrier and seed layers which are critical to successful implementation of copper interconnects require a means of depositing high purity, conformal, ultra-thin films at low substrate temperatures.
  • Physical vapor deposition (PVD) or sputtering has been adopted as the preferred method of choice for depositing conductor films used in IC manufacturing. This choice has been primarily driven by the low cost, simple sputtering approach whereby relatively pure elemental or compound materials can be deposited at relatively low substrate temperatures. For example, refractory based metals and metal compounds such as tantalum (Ta), tantalum nitride (TaNx), other tantalum containing compounds, tungsten (W), tungsten nitride (WNx), and other tungsten containing compounds which are used as barrier/adhesion layers can be sputter deposited with the substrate at or near room temperature. However, as device geometries have decreased, the step coverage limitations of PVD have increasingly become an issue since it is inherently a line-of-sight process. This limits the total number of atoms or molecules which can be delivered into the patterned trench or via. As a result, PVD is unable to deposit thin continuous films of adequate thickness to coat the sides and bottoms of high aspect ratio trenches and vias. Moreover, medium/high-density plasma and ionized PVD sources developed to address the more aggressive device structures are still not adequate and are now of such complexity that cost and reliability have become serious concerns.
  • Chemical vapor deposition (CVD) processes offer improved step coverage since CVD processes can be tailored to provide conformal films. Conformality ensures the deposited films match the shape of the underlying substrate, and the film thickness inside the feature is uniform and equivalent to the thickness outside the feature. Unfortunately, CVD requires comparatively high deposition temperatures, suffers from high impurity concentrations, which impact film integrity, and have higher cost-of-ownership due to long nucleation times and poor precursor gas utilization efficiency. Following the tantalum containing barrier example, CVD Ta and TaN films require substrate temperatures ranging from 500° C. to over 800° C. and suffer from impurity concentrations (typically of carbon and oxygen) ranging from several to tens of atomic % concentration. This generally leads to high film resistivities (up to several orders of magnitude higher than PVD), and other degradation in film performance. These deposition temperatures and impurity concentrations make CVD Ta and TaN unusable for IC manufacturing, in particular for copper metallization and low-k integration.
  • Chen et al. (“Low temperature plasma-assisted chemical vapor deposition of tantalum nitride from tantalum pentabromide for copper metallization”, J. Vac. Sci. Technol. B 17(1), pp. 182-185 (1999); and “Low temperature plasma-promoted chemical vapor deposition of tantalum from tantalum pentabromide for copper metallization”, J. Vac. Sci. Technol. B 16(5), pp. 2887-2890 (1998)) have demonstrated a plasma-assisted (PACVD) or plasma-enhanced (PECVD) CVD approach using tantalum pentabromide (TaBr5) as the precursor gas to reduce the deposition temperature. Ta and TaNx films were deposited from 350° C. to 450° C. and contained 2.5 to 3 atomic % concentration of bromine. Although the deposition temperature has been reduced by increased fragmentation (and hence increased reactivity) of the precursor gases in the gas-phase via a plasma, the same fragmentation leads to the deposition of unwanted impurities. Gas-phase fragmentation of the precursor into both desired and undesired species inherently limits the efficacy of this approach.
  • Recently, atomic layer chemical vapor deposition (AL-CVD) or atomic layer deposition (ALD) has been proposed as an alternative method to CVD for depositing conformal, ultra-thin films at comparatively lower temperatures. ALD is similar to CVD except that the substrate is sequentially exposed to one reactant at a time. Conceptually, it is a simple process: a first reactant is introduced onto a heated substrate whereby it forms a monolayer on the surface of the substrate. Excess reactant is pumped out. Next a second reactant is introduced and reacts with the first reactant to form a monolayer of the desired film via a self-limiting surface reaction. The process is self-limiting since the deposition reaction halts once the initially adsorbed (physi- or chemi-sorbed) monolayer of the first reactant has fully reacted with the second reactant. Finally, the excess second reactant is evacuated. The above sequence of events comprises one deposition cycle. The desired film thickness is obtained by repeating the deposition cycle the required number of times.
  • In practice, ALD is complicated by the painstaking selection of a process temperature setpoint wherein both: 1) at least one of the reactants sufficiently adsorbs to a monolayer and 2) the surface deposition reaction can occur with adequate growth rate and film purity. If the substrate temperature needed for the deposition reaction is too high, desorption or decomposition of the first adsorbed reactant occurs, thereby eliminating the layer-by-layer process. If the temperature is too low, the deposition reaction may be incomplete (i.e., very slow), not occur at all, or lead to poor film quality (e.g., high resistivity and/or high impurity content). Since the ALD process is entirely thermal, selection of available precursors (i.e., reactants) that fit the temperature window becomes difficult and sometimes unattainable. Due to the above-mentioned temperature related problems, ALD has been typically limited to the deposition of semiconductors and insulators as opposed to metals. ALD of metals has been confined to the use of metal halide precursors. However, halides (e.g., Cl, F, Br) are corrosive and can create reliability issues in metal interconnects.
  • Continuing with the TaN example, ALD of TaN films is confined to a narrow temperature window of 400° C. to 500° C., generally occurs with a maximum deposition rate of 0.2 Å/cycle, and can contain up to several atomic percent of impurities including chlorine and oxygen. Chlorine is a corrosive, can attack copper, and lead to reliability concerns. The above process is unsuitable for copper metallization and low-k integration due to the high deposition temperature, slow deposition rate, and chlorine impurity incorporation.
  • In conventional ALD of metal films, gaseous hydrogen (H2) or elemental zinc (Zn) is often cited as the second reactant. These reactants are chosen since they act as a reducing agent to bring the metal atom contained in the first reactant to the desired oxidation state in order to deposit the end film. Gaseous, diatomic hydrogen (H2) is an inefficient reducing agent due to its chemical stability, and elemental zinc has low volatility (e.g., it is very difficult to deliver sufficient amounts of Zn vapor to the substrate) and is generally incompatible with IC manufacturing. Unfortunately, due to the temperature conflicts that plague the ALD method and lack of kinetically favorable second reactant, serious compromises in process performance result.
  • In order to address the limitations of traditional thermal or pyrolytic ALD, radical enhanced atomic layer deposition (REALD, U.S. Pat. No. 5,916,365) or plasma-enhanced atomic layer deposition has been proposed whereby a downstream radio-frequency (RF) glow discharge is used to dissociate the second reactant to form more reactive radical species which drives the reaction at lower substrate temperatures. Using such a technique, Ta ALD films have been deposited at 0.16 to 0.5 Å/cycle at 25° C., and up to approximately 1.67 Å/cycle at 250° C. to 450° C. Although REALD results in a lower operating substrate temperature than all the aforementioned techniques, the process still suffers from several significant drawbacks. Higher temperatures must still be used to generate appreciable deposition rates. Such temperatures are still too high for some films of significant interest in IC manufacturing such as polymer-based low-k dielectrics that are stable up to temperatures of only 200° C. or less. REALD remains a thermal or pyrolytic process similar to ALD and even CVD since the substrate temperature provides the required activation energy for the process and is therefore the primary control means for driving the deposition reaction.
  • In addition, Ta films deposited using REALD still contain chlorine as well as oxygen impurities, and are of low density. A low density or porous film leads to a poor barrier against copper diffusion since copper atoms and ions have more pathways to traverse the barrier material. Moreover, a porous or under-dense film has lower chemical stability and can react undesirably with overlying or underlying films, or with exposure to gases commonly used in IC manufacturing processes.
  • Another limitation of REALD is that the radical generation and delivery is inefficient and undesirable. RF plasma generation of radicals used as the second reactant such as atomic H is not as efficient as microwave plasma due to the enhanced efficiency of microwave energy transfer to electrons used to sustain and dissociate reactants introduced in the plasma. Furthermore, having a downstream configuration whereby the radical generating plasma is contained in a separate vessel located remotely from the main chamber where the substrate is situated and using a small aperture to introduce the radicals from the remote plasma vessel to the main chamber body significantly decreases the efficiency of transport of the second radical reactant. Both gas-phase and wall recombination will reduce the flux of desired radicals that can reach the substrate. In the case of atomic H, these recombination pathways will lead to the formation of diatomic H2, a far less effective reducing agent. If the plasma used to generate the radicals was placed directly over the substrate, then the deposition of unwanted impurities and particles can occur similarly to the case of plasma-assisted CVD.
  • Finally, ALD (or any derivative such as REALD) is fundamentally slow since it relies on a sequential process whereby each deposition cycle is comprised of at least two separate reactant flow and evacuation steps, which can occur on the order of minutes with conventional valve and chamber technology. Significant improvements resulting in faster ALD are needed to make it more suitable for commercial IC manufacturing.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • FIG. 2A depicts a timing sequence for an improved ALD method incorporating periodic exposure of the substrate to ions.
  • FIG. 2B is another timing sequence for an improved ALD method incorporating periodic exposure of the substrate to ions.
  • FIG. 3A shows the MII-ALD method utilizing ion flux modulation to vary the substrate exposure to ions.
  • FIG. 3B shows the timing of the MII-ALD method utilizing ion energy modulation to vary the substrate exposure to ions by varying the substrate bias.
  • FIGS. 4A-F show methods of modulating the MII-ALD process.
  • FIG. 5 shows an electrostatic chuck (ESC) system suitable for modulating the ion energy in the MII-ALD process: a) in topological form; and, b) as an equivalent electrical circuit.
  • FIG. 6 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • FIG. 7 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • FIG. 8 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • FIG. 9 is a schematic of another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD) showing an alternative gas introduction arrangement.
  • SUMMARY AND DETAILED DESCRIPTION OF THE INVENTION
  • The present invention relates to methods and apparatuses useable for the deposition of conformal solid thin films of one or more elements at low temperature. More particularly, the present invention relates to an enhanced sequential or, more preferably, non-sequential atomic layer deposition apparatus and technique suitable for deposition of barrier layers, adhesion layers, seed layers, and low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive thin films.
  • More specifically, the present invention resolves the previously presented problems encountered in the prior art (e.g., REALD) by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method; and, 4) providing a means of improved radical generation and delivery.
  • Improvements to ALD processing, e.g., the REALD mentioned previously, remain “thermal” or “pyrolytic” processes since the substrate temperature provides the required activation energy and is the primary control knob for driving the deposition reaction. Alternatively, we propose a novel approach by providing the required activation energy from a “non-thermal” source. In particular, we propose driving the deposition reaction primarily via substrate exposure to impinging ions wherein the ions are used to deliver the necessary activation energy to the near surface atoms and adsorbed reactant(s) via collision cascades.
  • Conventional deposition processes used in the semiconductor industry (including ALD) typically deposit materials at temperatures in the range of 300-600° C. The deposition method described herein can be effected at much lower temperatures, in practice as low as 25° C. or below. Note that this process is ion-triggered (i.e., ion-induced) as opposed to ion-assisted in that deposition will not generally occur without ion bombardment since ions are used as the primary means of providing the activation energy required for deposition. A primary benefit of ion-induced processing is the deposition of higher density films of superior purity and adhesion properties. This result occurs due to ion bombardment induced densification.
  • FIG. 1 illustrates a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD). The invention described herein also incorporates a means of modulating the exposure of the substrate to ions. By modulating 1) the ion flux; 2) the energy of the ions striking the substrate; or a combination of (1) and (2), the deposition reaction can be precisely toggled “on” or “off”. If the ion flux or energy is at a “low” state, then no deposition results or deposition occurs so slowly that essentially no deposition results. If the impinging ion flux or energy is at a “high” state, then deposition occurs. Since the substrate (which may be a “bare” substrate, e.g., a silicon wafer before any films have been deposited, or it may be a substrate which may already have had one or more films deposited on its surface) 181 is preferably maintained at a low substrate temperature, the first and second reactants do not thermally react with any appreciable rate or do not react at all. Instead, the deposition reaction only takes place when either the ion flux or ion energy is toggled to a suitable “high state”. The desired film thickness is built up by repeating the ion pulses (either of flux or energy) the required number of cycles. Furthermore, since modulation of the ion flux or ion energy can occur on a much faster time scale (KHz range) than the conventional valve and pump technology used in ALD (up to minutes per cycle), this deposition method is more suitable for commercial IC manufacturing. This method shall be referred to herein as modulated ion-induced atomic layer deposition (MII-ALD).
  • In addition, the present invention also improves upon the prior art by employing a microwave generated plasma 172 substantially contained in the main chamber body 190 that is isolated via a distribution showerhead 171 comprised of a series or array of apertures 175 which resolves the issues of radical generation and delivery, while preventing gas-phase precursor cracking (i.e., fragmentation or breaking down the precursor gas into its constituent elements) and impurity and/or particle generation directly above the wafer 181. The plasma is contained within the plasma source chamber 170 itself and is not in direct communication with the substrate 181. In MII-ALD, the same plasma is used to generate both ions 177 (used to drive the surface reactions) and radicals 176 (used as the second reactant), but is isolated from the first reactant 100 which typically contains both the principal element(s) desired in the end film, but also unwanted impurity containing byproducts. Therefore, primarily only the radicals 176 and ions 177 are able to travel through the showerhead apertures 175. The plasma 172 is essentially contained within the plasma source chamber and does not intermingle with the precursor gases 100, 120.
  • The present invention utilizes ion imparted kinetic energy transfer rather than thermal energy (e.g., REALD, ALD, PECVD, CVD, etc.) to drive the deposition reaction. Since temperature can be used as a secondary control variable, with this enhancement films can be deposited using MII-ALD at arbitrarily low substrate temperatures (generally less than 350° C.). In particular, films can be deposited at or near room temperature (i.e., 25° C.) or below.
  • The system of FIG. 1 contains a substantially enclosed plasma source chamber 170 located in substantial communication with or, more preferably, substantially within a main chamber body 190. The plasma 172 is used to dissociate feed gases 130, 110 to generate both ions 177 and radicals 176. Typical feed gases 130 used for ion generation include, but are not restricted to Ar, Kr, Ne, and Xe. Typical feed gases 110 (e.g., precursor B) used for radical generation include, but are not restricted to H2, O2, N2, NH3, and H 20 vapor. The ions 177 are used to deliver the energy needed to drive surface reactions between the first adsorbed reactant and the generated radicals 176. Inductively coupled RF (e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) power 160 can be used to generate the plasma via solenoidal coils located within or outside of the plasma chamber (not shown in FIG. 1). More preferably, microwave (e.g., generally 2.45 GHz or higher frequencies) power 160 is coupled to the plasma source chamber 170 via a suitable means such as a waveguide or coaxial cable. Microwave energy can be more efficiently transferred to ionizing electrons, leading to higher ionization fractions. This is of particular importance in the generation of radicals 176 (i.e., a chemical fragment of a larger molecule) such as atomic hydrogen, or any of a number of other reactive groups such as nitrogen atoms (N), oxygen atoms (O), OH molecules, or NH molecules, or a combination thereof. These radicals serve as the second reactant. Microwave or radio-frequency (RF) power 160 is coupled to the plasma 172 via a dielectric material 173, which may be a dielectric window such as quartz embedded in the chamber wall, or it may be empty space in the case of a microwave or RF antenna located within the plasma chamber.
  • In addition, a distribution showerhead 171, containing a series or array of apertures 175 through which ions 177 and radicals 176 are delivered to the substrate 181, isolates the main process chamber 180 from the plasma source chamber 170. A pressure drop (for example, a 5 or 10 times decrease in pressure, with the main processing chamber 180 being at the lower pressure) is thereby created between the plasma source chamber 170 and the main processing chamber 180 to project the ions 177 and radicals 176 to the substrate 181 via the distribution showerhead 171. The plasma source chamber 170 is generally of comparable diameter to the main chamber body 190 to enable large area exposure of the sample. The size, aspect ratio, and distribution of the showerhead apertures 175 can be optimized to provide uniform exposure of the substrate 181 and the desired ion 177 to radical 176 ratio. The distance between this showerhead 171 and the substrate 181 may vary depending on the application. For the processing of wafers in the IC industry, this distance is preferably at most two wafer diameters and more preferably less than or equal to one half a wafer diameter.
  • Having a substantially enclosed plasma generation chamber 170 situated within the main chamber 190 allows efficient and uniform delivery of ions 177 and radicals 176 to the substrate 181. In addition, by isolating the plasma 172 from the main chamber 180 prevents gas-phase cracking of the first reactant 100 (e.g., precursor A), which is introduced directly to the main processing chamber 180 via a gas distribution manifold 199. Precursor A 100 may be any one or more of a series of gaseous compounds used for depositing semiconductors, insulators, metals or the like that are well-known in the art (e.g, PDEAT (pentakis(diethylamido)tantalum), PEMAT (pentakis(ethylmethylamido)tantalum), TaBr5, TaCl5, TBTDET (t-butylimino tris(diethylamino) tantalum), TiCl4, TDMAT (tetrakis(dimethylamido)titanium), TDEAT (tetrakis(diethylamino)titanium), CuCl, Cupraselect® ((Trimethylvinylsilyl)hexafluoroacetylacetonato Copper I), Cu(hfac)2 (copper (II) hexafluoroacetylacetonate), Cu(acac)2 (copper (II) acetylacetonate), Cu(thd)2 (copper (II) 2,2,6,6-tetramethyl-3,5-heptandionate), other copper (I) and copper (II) β-diketonates, W(CO)6, WF6, etc.) and examples will be further discussed herein. Finally, the ion/radical distribution showerhead 171 shields the dielectric wall 173 adjacent to the supplied RF or microwave power 160 against being coated by precursor A 100 during processing which can degrade power transfer to the plasma 172 in processing systems found in the prior art. This is of particular importance in the case of deposition of conductors whereby if the dielectric 173 is fully exposed to the metal containing first reactant 100 (e.g., precursor A) and if the plasma 172 was directly generated within the main chamber 190 without the use of an isolating distribution showerhead 171, then metal deposition onto the dielectric 173 will eventually shield out RF or microwave power 160 from the plasma 172 such that the plasma 172 will extinguish.
  • FIG. 2A depicts a sequence for an improved ALD method incorporating periodic exposure of the substrate to ions. In this variant of the method, ion exposure 230 begins with the introduction of the second precursor 220 (especially when plasma generated radicals 176 are used as the second precursor or reactant). This figure illustrates one embodiment of MII-ALD utilizing the apparatus described in FIG. 1. This results in an enhanced sequential ALD process as follows:
  • 1) First exposure 200: The substrate 181 is exposed to a first gaseous reactant 100 (e.g., precursor A), allowing a monolayer of the reactant to form on the surface. The substrate 181 may be at any temperature below the decomposition temperature of the first gaseous reactant although it is preferable for the temperature to generally be less than approximately 350° C.
  • 2) First reactant removal 210: The excess reactant 100 is removed. Removal can occur by evacuating 214 the chamber 180 with a vacuum pump 184. The vacuum pump 184 is also capable of reducing a pressure within the process chamber 180 to below ambient atmospheric pressure (i.e., subatmospheric pressure). Alternatively, removal can be achieved by purging the chamber 180 with an inert purge gas. The inert gas purge may be used alone or in conjunction with the evacuation 214.
  • 3) Second exposure 220: Unlike conventional ALD, the substrate 181 is simultaneously exposed to ions 177 and a second gaseous reactant (e.g., radicals 176) during this step with the substrate 181 (e.g., wafer) biased to a negative potential V bias 185. RF power supplied to the ESC electrodes 603 is used to generate ions 177 (e.g., argon-ions (Ar+)) and, radicals 176 (e.g., H atoms) and to couple the bias voltage to the substrate to modulate the ion energy. The ions will strike the substrate 181 with an energy approximately equal to (e|Vbias|+e|Vp|) where Vp is the plasma 172 potential (typically 10V to 20V). Vbias(|Vbias|≦150V is desirable to prevent sputtering) is typically chosen to be greater than Vp in magnitude, and is used to control the ion 177 energy. A Vbias of −20V to −80V is typically sufficient to drive the deposition reaction. With the activation energy now primarily supplied by ions 177 instead of thermal energy, the first and second reactants react via an ion-induced surface reaction to produce a solid thin monolayer of the desired film at a reduced substrate temperature below conventional ALD. The deposition reaction between the first and second reactants is self-limiting in that the reaction between them terminates after the initial monolayer of the first reactant 100 is consumed.
  • 4) Second reactant removal 210: The excess second reactant is removed by again evacuating 216 the chamber 180 with the vacuum pump 184 and/or purging with an inert purge gas.
  • 5) Repeat: The desired film thickness is built up by repeating the entire process cycle (steps 1-4) many times.
  • Additional precursor gases (e.g., 120, 140) may be introduced and evacuated as required for a given process to create tailored films of varying compositions or materials. As an example, an optional exposure may occur in the case of a compound barrier of varying composition. For example, a TaNx/Ta film stack is of interest in copper technology since TaNx prevents fluorine attack from the underlying fluorinated low-k dielectrics, whereas the Ta promotes better adhesion and crystallographic orientation for the overlying copper seed layer. The TaNx film may be deposited using a tantalum containing precursor (e.g., TaCl5, PEMAT, PDEAT, TBTDET) as the first reactant 100 (precursor A) and a mixture of atomic hydrogen and atomic nitrogen (i.e. flowing a mixture of H2 and N2 into the plasma source 172) as the second reactant to produce a TaNx film. Simultaneous ion exposure is used to drive the deposition reaction. Next a Ta film may be deposited in a similar fashion by using atomic hydrogen (as opposed to a mixture of atomic hydrogen and nitrogen) as the second reactant. An example of a tailored film stack of differing materials can be the subsequent deposition of a copper layer over the TaNx/Ta bi-layer via the use of a copper containing organometallic e.g., Cu(TMVS)(hfac) or (Trimethylvinylsilyl)hexafluoroacetylacetonato Copper I, also known by the trade name CupraSelect®, available from Schumacher, a unit of Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, Calif. 92009), Cu(hfac)2, Cu(acac)2, Cu(thd)2, or other copper (I) and copper (II) β-diketonates, or inorganic precursors (e.g. CuCl) shown as precursor C 120 in FIG. 1. The copper layer can serve as the seed layer for subsequent electroless or electroplating deposition.
  • A variant of the method shown in FIG. 2A is illustrated in FIG. 2B where ion exposure is initiated after the second reactant exposure. FIG. 2B depicts a sequence for an improved ALD method incorporating periodic exposure of the substrate 181 to ions 177. In this variant of the method, ion exposure 280 begins with the removal 250 of the second precursor 256 (especially when the second precursor or reactant is not subjected to a plasma). Typically, this is the case where the second precursor or reactant is not a plasma-generated radical.
  • In the previous embodiments of MII-ALD, although the deposition temperature can be lowered significantly, the first and second reactants are still sequentially introduced into the main process chamber 180, and hence will still be a slow process. It is of particular interest to eliminate or replace the time-consuming flow-evacuation-flow-evacuation sequential nature of the process.
  • In the preferred embodiment of the MII-ALD process, a substrate 181 heated (e.g., to a low temperature of less than or equal to 350° C.) or unheated is simultaneously exposed to a first reactant and a second reactant, and subjected to modulated ion 177 exposure. By modulating 1) the ion flux (i.e. the number of ions hitting the substrate per unit area per unit time); 2) the energy of the ions striking the substrate; or a combination of (1) and (2), the deposition reaction can be precisely toggled “on” or “off”. Since the substrate 181 is preferably maintained at a low substrate temperature, the first and second reactants do not thermally react with any appreciable rate or do not react at all when the ion flux or energy is toggled to a “low” state. Instead, the deposition reaction only takes place when either the ion flux or ion energy is toggled to a suitable “high state”. Ion flux or energy modulation can vary generally from 0.1 Hz to 20 MHz, preferably from 0.01 KHz to 10 KHz. During deposition, the main process chamber 180 pressure can be maintained in the range of generally 102 to 10−7 torr, more preferably from 101 to 10−4 torr, depending on the chemistry involved. The desired film thickness is attained via exposure of the substrate to the suitable number of modulated ion flux or energy pulse cycles. This MII-ALD scheme results in a “continuous” deposition process that is significantly faster than conventional sequential ALD since the two, slow evacuation steps (up to minutes) are eliminated and replaced by the faster (KHz range or above) ion modulation steps. The modulation can be either of the ion flux via the plasma power or of the ion energy via an applied periodic wafer bias.
  • The MII-ALD method utilizing ion flux modulation to control the deposition cycle is illustrated conceptually in FIG. 3A, with the flux modulation scheme described more explicitly in FIGS. 4A and 4C. FIG. 3A depicts the MII-ALD method utilizing ion flux modulation 320 to vary the substrate 181 exposure to ions 177. Note that the second reactant 310, e.g., radicals, is synchronized with the ion flux via 320 plasma power modulation, causing a periodic exposure of the substrate to ions and radicals. Varying the power 160 delivered to the plasma 172 can vary the ion flux from little or none to maximum ion production. Plasma power modulation can take the form of variations in frequency (periodicity), magnitude, and duty-cycle. Increasing plasma power 160 leads to increasing plasma 172, and hence, increased ion 177 density. Since the deposition process is ion-induced, having little or no ion bombardment will essentially stop the deposition process, whereas increased ion bombardment will cause deposition to occur. A constant wafer bias 185 (DC in FIG. 4C or RF in FIG. 4A) is applied to define the ion energy of the modulated ion flux in this embodiment and is chosen to be sufficiently high so that ion-induced surface reactions can occur. Note that in this embodiment since the plasma (either RF or preferably microwave) power 160 is used to generate both ions 177 and radicals 176, the second reactant (e.g., radicals) flux 310 is synchronized with the ion flux 320 pulses. The radical feed gas 110 (H2 for example) flow, however, does not change. Instead, the radical flux 310 (e.g., fraction of H2 which is converted to atomic H) is modulated.
  • Alternatively, subjecting the substrate 181 to a non-constant wafer voltage bias 185 can vary the incoming ion energy at a fixed plasma power 160 (i.e., ion flux). This preferred embodiment of MII-ALD is illustrated conceptually in FIG. 3B, and more explicitly in FIGS. 4B and 4D. FIG. 3B shows the MII-ALD method utilizing ion energy modulation 350 to vary the substrate 181 exposure to ions 177 by varying the substrate bias 185. The applied bias 185 can take the form of variations in frequency (periodicity), magnitude, and duty-cycle. A DC as shown in FIG. 4D or RF (e.g., 400 kHz, 2 MHz, 13.56 MHz, etc.) as shown in FIG. 4B power supply can be used. When the wafer potential is “low” (e.g., near or at zero with respect to ground), the incoming ions 177 do not have enough energy to induce surface deposition reactions. When the wafer 181 potential is “high” (e.g., at a significant negative potential relative to ground), the incoming ions 177 will have the necessary energy to induce surface deposition reactions via collision cascades. In such a fashion, the deposition can be turned “on” or “off” by modulating the wafer bias voltage 185, and hence the impinging ion 177 energy. Typical wafer voltages can range from generally −20 V to −1000 V, but preferably in the −25 V to −500 V range, and more preferably in the −50 V to −350 V range during deposition. The bias voltage 185 is coupled to the wafer via the pedestal 182. Preferably, the substrate pedestal 182 is an electrostatic chuck (ESC) to provide efficient coupling of bias voltage to the substrate. The ESC is situated in the main processing chamber 180 and can be cooled via a fluid coolant (preferably a liquid coolant) and/or heated (e.g., resistively) to manipulate the substrate temperature.
  • As illustrated in FIG. 5 for the case of an applied DC bias, the preferred electrostatic chuck is a “coulombic” ESC 500 (bulk resistivity generally greater than 1013 ohm-cm) rather than one whose bulk material effects are dominated by the Johnson-Rahbek (JR) effect (bulk resistivity between 108 and 1012 ohm-cm). Typically, the substrate potential is a complex function of the voltage of the electrostatic “chucking” electrodes if these voltages are established relative to a reference potential, but is simplified in the case of “coulombic” (non-JR) ESC. However, if the power supply 510 that powers the ESC 500 is truly floating, i.e., the entire system has a high impedance to the chamber 180 potential (usually ground) including the means of supplying power, then the substrate potential can be arbitrary. In particular, if the ESC power supply 510 is also center-tapped 518, then the wafer potential can be established by connecting the center tap 518 to the output of a power amplifier 520. A waveform generator 535 coupled to the power amplifier 520 can be controlled by a control computer 195 (FIGS. 1 and 6) to, for example, periodically drop the substrate potential to a negative value for a certain period of time or apply a given frequency to the ESC 500. It is desired to have independent control of the magnitude, frequency (periodicity), and duty cycle of this substrate bias pulse train. Such an ESC system is depicted in FIG. 5, which shows an ESC system suitable for modulating the ion energy in the MII-ALD process: a) in topological form; and, b) as an equivalent electrical circuit.
  • The deposition rate is affected by the choice of the critical bias pulse train variables: the magnitude, frequency (periodicity), and duty cycle. Preferably, when the bias frequency is high (e.g., 100 Hz-10 KHz) with a short duty cycle (e.g., less than 30%), reducing the net, time-averaged current (which can cause substrate potential drift, de-chucking problems, or charge-induced device damage) while providing a charge relaxation period wherein the ion charges accumulated during ion exposure can redistribute and neutralize.
  • Once the deposition rate is calibrated for a particular recipe (Angstroms/cycle), the ability to accurately determine the film thickness by counting cycles is a further benefit of this modulation scheme. The higher the frequency, the finer the resolution of this critical deposition process performance metric.
  • Alternatively, the substrate potential can be modulated by imparting an induced DC bias to the substrate by applying RF power to the pedestal. Preferably, the RF power is coupled into the ESC electrodes. FIGS. 4A-F illustrate the preferred methods of modulating the MII-ALD process. In FIG. 4A, an RF bias power B2 is applied to the substrate pedestal 182 imparting an induced DC bias V2 to the substrate while the plasma (either microwave or RF) power 400 is varied periodically between a high P1 and a low P2 power state. In FIG. 4B, plasma (either microwave or RF) power 410 is constant P1 while an RF bias power, applied to the substrate pedestal 182, is varied between a low B1 and a high B2 bias state (V1 and V2 are the DC offset or bias voltages resulting from the applied RF bias power). In FIG. 4C, a negative DC bias 425 is applied to the substrate pedestal 182 while the plasma (either microwave or RF) power 420 is varied periodically between a high P1 and a low power P2 state. In FIG. 4D, plasma (either microwave or RF) power is constant 430 while a DC bias 435 applied to the substrate pedestal 182 is varied between a zero V1 and a negative voltage state V2. In FIG. 4E, a mechanical shutter periodically occludes the ion source. All the while, the plasma power 440 (either microwave or RF) and substrate voltage 445 are held constant. In FIG. 4F, a source area that is smaller than the substrate 181 is preferably used. In this case, plasma (either microwave or RF) power 450 is constant, a negative DC substrate bias 455 is constant, and the source and substrate 181 are moved relative to each other 457, exposing only a portion of the substrate 181 at a time. The methods proposed in FIG. 4B and FIG. 4D, whereby the substrate bias is modulated at a constant plasma power 410, 430 and hence ion flux, are most preferred.
  • MII-ALD can be used to deposit dielectric, semiconducting, or metal films, among others, used in the semiconductor, data storage, flat panel display, and allied as well as other industries. In particular, the method and apparatus is suitable for the deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, and high dielectric constant (high-k) films.
  • This process utilizes independent control over the three constituents of plasma—ions, atoms, and precursors. Decoupling these constituents offer improved control over the deposition process.
  • An added benefit of using MII-ALD is that with proper choice of the second reactant, selective ion-enhanced etching and removal of unwanted impurities can be performed. As an example, for many chemistries, the preferred second reactant is monatomic hydrogen (H) 176. Simultaneous energetic ion and reactive atomic H bombardment will cause selective removal of unwanted impurities (e.g., containing carbon, oxygen, fluorine, or chlorine) commonly associated with organometallic precursors (e.g., TBTDET, PEMAT, PDEAT, TDMAT, TDEAT), and proceed with removal rates superior to either chemical reaction (e.g., atomic H only) or physical sputtering (e.g., Ar ion only) alone. Impurities lead to high film resistivities, low film density, poor adhesion, and other deleterious film effects. Alternatively, in addition to atomic hydrogen, other reactive groups such as nitrogen atoms (N), oxygen atoms (O), OH molecules, or NH molecules, or a combination thereof may be employed.
  • FIG. 6 illustrates another embodiment of a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD). In the embodiment shown in FIG. 6 all of the ion/radical generating feed gases and the precursor gases are introduced into the chamber via a distribution showerhead 171 or via another means of uniformly distributing gases essentially parallel or perpendicular to a face of a substrate 181, which are well-known to one skilled in the art. It will be appreciated that although the showerhead 171 is shown to be above the substrate 181 to direct a gas flow downwards towards the substrate 181, alternative lateral gas introduction schemes are possible with this embodiment and with the previously described embodiments. In one such exemplary alternative shown in FIG. 8, a cross-flow arrangement introduces gas 801 from at least one side of a main processing chamber 803 via one or more apertures whose axes are largely parallel. In another exemplary alternative shown in FIG. 7, one or more radial apertures spaced about the periphery of a main processing chamber 703 configured to introduce a gas 701 can also be used. One skilled in the art may also readily conceive of alternative arrangements, such as the exemplary arrangement shown in FIG. 9. FIG. 9 utilizes both a flow of gas perpendicular 905 and radially parallel 901 to a face of substrate 181.
  • In the embodiment shown in FIG. 6, a source of RF bias power 160 is coupled to one or more ESC electrodes 603 in the substrate pedestal 182. The ESC electrodes 603 may be of any arbitrary shape. The RF bias power provides power for both ion generation during modulated ion induced atomic layer deposition and energy control of the generated ions. The applied RF bias power is used to generate a plasma, for example, between the substrate 181 and the showerhead 171 to dissociate feed gases to generate ions and/or radicals and to induce a negative potential Vbias 185 (i.e., a DC offset voltage typically −10 V to −80 V at 150 W RF power and 0.1-1 Torr pressure) on the substrate 181. The negative potential V bias 185 modulates the energy of the positively charged ions in the plasma and attracts the positively charged ions toward the surface of substrate. The positively charged ions impinge on the substrate 181, driving the deposition reaction and improving the density of the deposited film. The ion energy is more specifically given by E=e|Vp|+e|Vbias|, where Vp is the plasma potential (typically 10V to 20V) and Vbias is the negative potential V bias 185 induced on the substrate 181. The negative potential V bias 185 is controlled by the applied RF bias power. For a given process region geometry, the induced negative potential V bias 185 increases with increasing RF bias power and decreases with decreasing RF bias power.
  • Controlling the RF bias power also controls the density and hence the number of ions generated in the plasma. Increasing the RF bias power generally increases the ion density, leading to an increase in the flux of ions impinging on the substrate. Higher RF bias powers are also required for larger substrate diameters. A preferred power density is ≦0.5 W/cm2, which equates to approximately ≦150 W for a 200 mm substrate 181. Power densities ≧3 W/cm2 (greater than about 1000 W for a 200 mm diameter substrate 181) may lead to undesired sputtering of the deposited film.
  • The frequency of the RF bias power can be 400 kHz, 13.56 MHz, or higher (e.g. 60 MHz, etc.). The low frequency (e.g. 400 kHz), however, can lead to a broad ion energy distribution with high energy tails which may cause excessive sputtering. The higher frequencies (e.g., 13.56 MHz or greater) lead to tighter ion energy distributions with lower mean ion energies, which is favorable for modulated ion-induced ALD deposition processes. The more uniform ion energy distribution occurs because the RF bias polarity switches before ions can impinge on the substrate, such that the ions see a time-averaged potential.
  • As shown in FIG. 6, a source of applied DC bias can also be coupled to the ESC substrate pedestal 182. The source can be a DC power supply 510 coupled by a center tap 518 to a voltage source 525 with the ability to vary the voltage or exhibit an infinite impedance. Optionally, a variable impedance device 605 may be coupled in series between the voltage source 525 and the center tap 518 of the DC power supply 510. The voltage source 525 is itself coupled to a waveform generator 535. The waveform generator 535 may be a variable-type waveform generator. An exemplary variable-type waveform generator may be controlled by a control computer 195 and have a variable waveform at different times within a given process and may additionally have a non-periodic output signal. The source of applied DC bias can be coupled to the ESC substrate pedestal 182 by RF blocking capacitors 601 that both provide a DC open for the DC power supply 510 and prevent RF energy from corrupting the DC power supply 510.
  • From the description of the preferred embodiments of the process and apparatus set forth above, it is apparent to one of ordinary skill in the art that variations and additions to the embodiments can be made without departing from the principles of the present invention. As an example, chlorine, bromine, fluorine, oxygen, nitrogen, hydrogen, other reactants and/or radicals containing the aforementioned elements or a combination thereof, in conjunction with energetic ion bombardment, can be used to effect etching or material removal as opposed to deposition. This is of particular importance in the cleaning of native oxides of copper, aluminum, silicon, and other common conductor and semiconductor materials used in IC manufacturing. Either the deposition or etching can be accomplished globally (as illustrated in the preceding embodiments) or may be chosen to be local to a controlled area (i.e., site-specific using a small, ion beam point or broad-beam source scanned or otherwise stepped across the substrate, exposing only a fraction of the substrate area at any given time).

Claims (2)

1-8. (canceled)
9. A modulated ion-induced atomic layer deposition system, comprising:
a deposition chamber;
a vacuum pump coupled to said deposition chamber;
at least one gas opening for introducing gases into said deposition chamber;
a substrate holder located within said deposition chamber, said substrate holder having a DC power supply to supply a DC voltage to at least one electrode contained in said substrate holder;
a source of RF bias power electrically coupled to both an output of said DC power supply and to said at least one electrode;
a voltage source electrically coupled to said DC power supply by coupling an output of said voltage source to a center tap of said DC power supply;
a variable waveform generator coupled to an input of said voltage source; and
a plurality of blocking capacitors electrically coupled to said output of said DC power supply and said source of RF bias power, said plurality of blocking capacitors configured in a way so as to allow said DC voltage to be coupled to said at least one electrode and prevent an RF energy produced by said source of RF bias power from corrupting said DC power supply.
US12/895,635 2000-12-06 2010-09-30 System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD) Abandoned US20110017139A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/895,635 US20110017139A1 (en) 2000-12-06 2010-09-30 System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US25428000P 2000-12-06 2000-12-06
US25179500P 2000-12-06 2000-12-06
US09/812,285 US6428859B1 (en) 2000-12-06 2001-03-19 Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US10/137,851 US6569501B2 (en) 2000-12-06 2002-05-03 Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US10/215,711 US20020197402A1 (en) 2000-12-06 2002-08-08 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US11/601,491 US7871676B2 (en) 2000-12-06 2006-11-16 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US12/895,635 US20110017139A1 (en) 2000-12-06 2010-09-30 System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/601,491 Division US7871676B2 (en) 2000-12-06 2006-11-16 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

Publications (1)

Publication Number Publication Date
US20110017139A1 true US20110017139A1 (en) 2011-01-27

Family

ID=46326612

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/601,491 Expired - Lifetime US7871676B2 (en) 2000-12-06 2006-11-16 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US12/895,635 Abandoned US20110017139A1 (en) 2000-12-06 2010-09-30 System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/601,491 Expired - Lifetime US7871676B2 (en) 2000-12-06 2006-11-16 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

Country Status (1)

Country Link
US (2) US7871676B2 (en)

Cited By (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060236934A1 (en) * 2004-05-12 2006-10-26 Choi Soo Y Plasma uniformity control by gas diffuser hole design
US20090310648A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Method and device for determining the temperature of a substrate
US20100310791A1 (en) * 2008-01-28 2010-12-09 Mitsubishi Heavy Industries, Ltd. Plasma processing method and plasma processing system
US20110000618A1 (en) * 2008-02-22 2011-01-06 Eugene Technology Co., Ltd. Apparatus and method for processing substrate
US20130174983A1 (en) * 2001-07-10 2013-07-11 Tokyo Electron Limited Plasma processor and plasma processing method
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
WO2017059017A1 (en) * 2015-10-02 2017-04-06 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
CN109411322A (en) * 2017-08-18 2019-03-01 东京毅力科创株式会社 Method of plasma processing and plasma processing apparatus
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
JP4607930B2 (en) * 2007-09-14 2011-01-05 株式会社東芝 Plasma processing apparatus and plasma processing method
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101760536B1 (en) * 2009-11-19 2017-07-31 램 리써치 코포레이션 Methods and apparatus for controlling a plasma processing system
US8592230B2 (en) * 2010-04-22 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Method for patterning a substrate using ion assisted selective depostion
DE102010039365B4 (en) * 2010-08-16 2016-03-24 Forschungsverbund Berlin E.V. Plasma processes at atmospheric pressure
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6022276B2 (en) * 2012-09-20 2016-11-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
KR20150094726A (en) * 2012-12-13 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 Methods for achieving metal fill in small features
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9324559B2 (en) * 2013-03-15 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film deposition apparatus with multi chamber design and film deposition methods
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
KR20150061179A (en) * 2013-11-26 2015-06-04 에스케이하이닉스 주식회사 Deposition using plasma enhanced vapor phase method
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
SG11201906817TA (en) 2017-01-27 2019-08-27 Ultratech Inc Chuck systems and methods having enhanced electrical isolation for substrate-biased ald
KR102300756B1 (en) * 2017-11-21 2021-09-10 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Ceramic pedestal with atomic protective layer
JP7126381B2 (en) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 Film forming apparatus and film forming method
US10840197B2 (en) * 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
WO2020154310A1 (en) * 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
CN112553592B (en) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 Method for processing electrostatic chuck by using ALD (atomic layer deposition) process
TWI790028B (en) * 2021-12-09 2023-01-11 財團法人工業技術研究院 Deposition apparatus and deposition method
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US20010008172A1 (en) * 1999-07-27 2001-07-19 Naohiro Shoda Semiconductor workpiece processing apparatus and method
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US20010008172A1 (en) * 1999-07-27 2001-07-19 Naohiro Shoda Semiconductor workpiece processing apparatus and method
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

Cited By (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9437402B2 (en) 2001-07-10 2016-09-06 Tokyo Electron Limited Plasma processor and plasma processing method
US20150083332A1 (en) * 2001-07-10 2015-03-26 Tokyo Electron Limited Plasma processor and plasma processing method
US20130174983A1 (en) * 2001-07-10 2013-07-11 Tokyo Electron Limited Plasma processor and plasma processing method
US9728381B2 (en) * 2001-07-10 2017-08-08 Tokyo Electron Limited Plasma processor and plasma processing method
US8904957B2 (en) * 2001-07-10 2014-12-09 Tokyo Electron Limited Plasma processor and plasma processing method
US20060236934A1 (en) * 2004-05-12 2006-10-26 Choi Soo Y Plasma uniformity control by gas diffuser hole design
US20100310791A1 (en) * 2008-01-28 2010-12-09 Mitsubishi Heavy Industries, Ltd. Plasma processing method and plasma processing system
US20110000618A1 (en) * 2008-02-22 2011-01-06 Eugene Technology Co., Ltd. Apparatus and method for processing substrate
US8002463B2 (en) * 2008-06-13 2011-08-23 Asm International N.V. Method and device for determining the temperature of a substrate
US20090310648A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Method and device for determining the temperature of a substrate
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
WO2017059017A1 (en) * 2015-10-02 2017-04-06 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109411322A (en) * 2017-08-18 2019-03-01 东京毅力科创株式会社 Method of plasma processing and plasma processing apparatus
US11170979B2 (en) * 2017-08-18 2021-11-09 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
CN113451101A (en) * 2017-08-18 2021-09-28 东京毅力科创株式会社 Plasma processing method and plasma processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Also Published As

Publication number Publication date
US20070065594A1 (en) 2007-03-22
US7871676B2 (en) 2011-01-18

Similar Documents

Publication Publication Date Title
US7871676B2 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6569501B2 (en) Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7348042B2 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US8053372B1 (en) Method of reducing plasma stabilization time in a cyclic deposition process
WO2002045871A1 (en) System and method for modulated ion-induced atomic layer deposition (mii-ald)
US9255329B2 (en) Modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) Method and apparatus for improved temperature control in atomic layer deposition
US7871678B1 (en) Method of increasing the reactivity of a precursor in a cyclic deposition process
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US8747964B2 (en) Ion-induced atomic layer deposition of tantalum
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US7189432B2 (en) Varying conductance out of a process region to control gas flux in an ALD reactor
US7806983B2 (en) Substrate temperature control in an ALD reactor
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US20030057526A1 (en) Integration of barrier layer and seed layer
US6841044B1 (en) Chemically-enhanced physical vapor deposition
US20030059538A1 (en) Integration of barrier layer and seed layer
US20120083134A1 (en) Method of mitigating substrate damage during deposition processes
US20020144657A1 (en) ALD reactor employing electrostatic chuck
US20020076507A1 (en) Process sequence for atomic layer deposition
KR20040045007A (en) Integration of barrier layer and seed layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION