US20100314690A1 - Sidewall-Free CESL for Enlarging ILD Gap-Fill Window - Google Patents

Sidewall-Free CESL for Enlarging ILD Gap-Fill Window Download PDF

Info

Publication number
US20100314690A1
US20100314690A1 US12/750,485 US75048510A US2010314690A1 US 20100314690 A1 US20100314690 A1 US 20100314690A1 US 75048510 A US75048510 A US 75048510A US 2010314690 A1 US2010314690 A1 US 2010314690A1
Authority
US
United States
Prior art keywords
cesl
gate
integrated circuit
circuit structure
bottom portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/750,485
Inventor
Han-Pin Chung
Bor Chiuan Hsieh
Shiang-Bau Wang
Hun-Jan Tao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/750,485 priority Critical patent/US20100314690A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSIEH, BOR CHIUAN, WANG, SHIANG-BAU, CHUNG, HAN-PIN, TAO, HUN-JAN
Priority to CN201010205904XA priority patent/CN101924106B/en
Publication of US20100314690A1 publication Critical patent/US20100314690A1/en
Priority to US13/912,449 priority patent/US9218974B2/en
Priority to US14/185,547 priority patent/US8999834B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Definitions

  • This invention relates generally to integrated circuits, and more particularly to the gap-filling of inter-layer dielectrics (ILDs) in the manufacturing of integrated circuits.
  • ILDs inter-layer dielectrics
  • Replacement gates are widely used in the manufacturing of integrated circuits. In the formation of replacement gates, polysilicon gates are formed first, and replaced by metal gates in subsequent process steps. With the using of replacement gates, the gates of PMOS and NMOS devices can have band-edge work functions, so that their performance can be optimized.
  • FIG. 1 illustrates gate polys 102 and 104 adjacent to each other. Gap 106 is thus formed between gate polys 102 and 104 .
  • contact etch stop layer (CESL) 108 may be formed. The formation of CESL 108 adversely results in an increase in the aspect ratio of gap 106 .
  • inter-layer dielectric (ILD) 110 is formed to fill gap 106 .
  • ILD 0 inter-layer dielectric
  • gate polys 102 and 104 may be replaced with metal gates.
  • HDP high-density plasma
  • void 112 may be formed in gap 106 .
  • the aspect ratio of gap 106 is particularly high. What is needed, therefore, is a method and structure for overcoming the above-described shortcomings in the prior art.
  • a method of forming an integrated circuit structure includes providing the integrated circuit structure having a first gate strip and a gate spacer on a sidewall of the first gate strip.
  • a contact etch stop layer (CESL) is formed.
  • the CESL includes a top portion directly over the first gate strip and a bottom portion lower than the top portion. The top portion and the bottom portion are spaced apart from each other by a space. A portion of a sidewall of the gate spacer facing the space has no CESL formed thereon.
  • an integrated circuit structure in accordance with another aspect of the embodiment, includes a first gate strip; a gate spacer on a sidewall of the first gate strip; and a contact etch stop layer (CESL) having a bottom portion lower than a top surface of the gate spacer, wherein a portion of a sidewall of the gate spacer has no CESL formed thereon.
  • CESL contact etch stop layer
  • FIGS. 1 and 2 illustrate cross-sectional views of intermediate stages in a conventional manufacturing process of an integrated circuit structure
  • FIGS. 3A through 9 are cross-sectional views and top views of intermediate stages in the manufacturing of an integrated circuit structure in accordance with an embodiment.
  • FIG. 3A illustrates a cross-sectional view of an integrated circuit structure.
  • Substrate 10 is provided.
  • Substrate 10 may be formed of commonly known semiconductor materials such as silicon, silicon germanium, gallium arsenide, and the like.
  • First gate stack 21 and second gate stack 41 are formed on substrate 10 .
  • First gate stack 21 includes gate dielectric 20 , gate strip 22 , and optional hard mask layer 24 .
  • Gate spacers 26 are formed on sidewalls of gate stack 21 .
  • Second gate stack 41 includes gate dielectric 40 , gate strip 42 , and optional hard mask layer 44 .
  • Gate spacers 46 are formed on sidewalls of gate stack 41 .
  • Gate spacers 26 and 46 are adjacent to each other with gap 34 therebetween.
  • gate strips 22 and 42 are formed of polysilicon. In other embodiments, gate strips 22 and 42 are formed of other conductive materials such as metals, metal silicides, metal nitrides, and the like.
  • a common source or a common drain 30 (referred to as a source/drain hereinafter) may be located in substrate 10 and between gate stacks 21 and 41 . Source/drain regions 36 and 48 may be formed adjacent to gate stacks 21 and 41 , respectively. Further, silicide regions 32 may be formed on source/drain regions 30 , 36 , and 48 . Gate stack 21 and source/drain regions 30 and 36 form a first MOS device, and gate stack 41 and source/drain regions 30 and 48 form a second MOS device.
  • FIG. 3B illustrates an alternative embodiment, wherein gate (poly) strips 22 and 42 are formed directly over shallow trench isolation (STI) region 50 . Also, the structure shown in FIG. 3B may be the extension of the structure shown in FIG. 3A . A top view of the structure shown in FIGS. 3A and 3B is illustrated in FIG. 3C .
  • STI shallow trench isolation
  • FIG. 4 illustrates the formation of contact etch stop layer (CESL) 52 , which may be formed of commonly used CESL materials including, but not limited to, SiN x , SiO x , SiON, SiC, SiCN, BN, SiBN, SiCBN, and combinations thereof.
  • CESL 52 is formed using plasma enhanced chemical vapor deposition (PECVD), although other methods such as sub atmospheric chemical vapor deposition (SACVD), low pressure chemical vapor deposition (LPCVD), atomic layer deposition (ALD), high-density plasma (HDP), plasma enhanced atomic layer deposition (PEALD), molecular layer deposition (MLD), plasma impulse chemical vapor deposition (PICVD), and the like can also be used.
  • PECVD plasma enhanced chemical vapor deposition
  • SACVD sub atmospheric chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • ALD atomic layer deposition
  • HDP high-density plasma
  • PEALD plasma enhanced atomic layer deposition
  • MLD
  • the PECVD for forming CESL 52 may include generating plasma using a low-frequency energy source that provides a low-frequency energy, wherein the frequency of the low-frequency energy may be lower than about 900 KHz.
  • An exemplary low frequency is about 350 KHz.
  • a high-frequency energy source is also used to provide a high-frequency energy.
  • the frequency of the high-frequency energy may be greater than about 900 KHz.
  • An exemplary high frequency is 13.56 MHz.
  • the power provided through the low-frequency energy source is referred to as a low-frequency power
  • the power provided through the high-frequency energy source is referred to as a high-frequency power.
  • the high-frequency power and the low-frequency power may be provided simultaneously in the formation of CESL 52 . It is observed that the low-frequency power has the effect of bombarding CESL 52 , resulting in a greater density of the horizontal portions (top portions 52 - 1 and bottom portions 52 - 3 ) of CESL 52 , while sidewall portions 52 - 2 are affected less by the bombardment, and hence have a lower density than that of top portions 52 - 1 and bottom portions 52 - 3 .
  • the low-frequency power may be increased relative to the high-frequency power to increase the densifying effect of top portions 52 - 1 and bottom portions 52 - 3 .
  • a ratio of the high-frequency power to the low-frequency power may be lower than about 1, lower than about 0.8, or even lower than about 0.1.
  • an isotropic etch is performed to remove sidewall portions 52 - 2 of CESL 52 , while top portions 52 - 1 and bottom portions 52 - 3 are not removed.
  • the isotropic etch may be a wet etch using phosphoric acid. Since sidewall portions 52 - 2 have a lower density, they have a greater etching rate than that of top portions 52 - 1 and bottom portions 52 - 3 . In the isotropic etch, top portions 52 - 1 and bottom portions 52 - 3 will also be reduced. However, the isotropic etch may be controlled so that at least some of top portion 52 - 1 and bottom portion 52 - 3 remain. FIG.
  • FIG. 5A illustrates one embodiment wherein the remaining bottom portions 52 - 3 are spaced apart from spacers 26 and/or 46 .
  • FIG. 5B illustrates another embodiment, wherein remaining bottom portions 52 - 3 are in contact with spacers 26 and/or 46 .
  • the resulting top portions 52 - 1 may have a thickness greater than the thickness of bottom portions 52 - 3 .
  • FIG. 6 illustrates the filling of inter-layer dielectric (ILD) 60 , which is also referred to as ILD 0 since an additional ILD will be formed thereon.
  • ILD 60 may be formed of commonly used CESL materials including, but not limited to, SiN X , SiO x , SiON, SiC, SiBN, SiCBN, and combinations thereof.
  • ILD 60 is formed using HDP, although other methods such as SACVD, LPCVD, ALD, PEALD, PECVD, MLD, PICVD, spin-on, and the like may also be used.
  • a chemical mechanical polish may be performed to remove hard mask layers 24 and 44 and top portions 52 - 1 .
  • the CMP may be performed using hard mask layers 24 and 44 as CMP stop layers.
  • gate dielectrics 20 and 40 and gate strips 22 and 42 are replaced by gate dielectrics 60 and 70 and metal gates 62 and 72 .
  • the formation processes are known in the art, and hence are not repeated herein.
  • the gate stacks shown in FIG. 3B will also be replaced by gate dielectrics 60 and 70 and metal gates 62 and 72 .
  • an additional ILD 74 also known as ILD 1 , is formed over ILD 60 .
  • the process is then continued by forming contact openings in ILDs 74 and 60 and filling the contact openings to form contact plugs 76 .
  • bottom portions 52 - 3 of CESL 52 are used to stop the etching.
  • mask layers 24 and 44 are not formed, or are formed but removed before the formation of silicide regions.
  • Gate silicides 68 and 78 may be formed on top of gate strips 22 and 42 , respectively.
  • gate dielectrics 20 and 40 and gate strips 22 and 42 may not be replaced by gate dielectrics 60 and 70 and metal gates 62 and 72 .
  • top portions 52 - 1 of CESL 52 are used to stop etching in the formation of contact plugs 76 that are connected to gate silicides 68 and 78 .
  • the embodiments of the present invention have several advantageous features.
  • the aspect ratios of the gaps between adjoining gate spacers are reduced. Therefore, the gap filling is less likely to incur voids. This is particularly beneficial for MOS devices formed using the gate-last approach due to the relatively great height of the gate stacks.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An integrated circuit structure includes a first gate strip; a gate spacer on a sidewall of the first gate strip; and a contact etch stop layer (CESL) having a bottom portion lower than a top surface of the gate spacer, wherein a portion of a sidewall of the gate spacer has no CESL formed thereon.

Description

  • This application claims the benefit of U.S. Provisional Application No. 61/186,954 filed on Jun. 15, 2009, entitled “Sidewall-Free CESL for Enlarging ILD Gap-Fill Window,” which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • This invention relates generally to integrated circuits, and more particularly to the gap-filling of inter-layer dielectrics (ILDs) in the manufacturing of integrated circuits.
  • BACKGROUND
  • Replacement gates are widely used in the manufacturing of integrated circuits. In the formation of replacement gates, polysilicon gates are formed first, and replaced by metal gates in subsequent process steps. With the using of replacement gates, the gates of PMOS and NMOS devices can have band-edge work functions, so that their performance can be optimized.
  • The replacement gates typically have great heights, and hence the aspect ratios of the gaps between gate stacks are also high. For example, FIG. 1 illustrates gate polys 102 and 104 adjacent to each other. Gap 106 is thus formed between gate polys 102 and 104. After the formation of gate polys 102 and 104, contact etch stop layer (CESL) 108 may be formed. The formation of CESL 108 adversely results in an increase in the aspect ratio of gap 106.
  • Referring to FIG. 2, inter-layer dielectric (ILD) 110, often referred to as ILD0, is formed to fill gap 106. In subsequent process steps, gate polys 102 and 104 may be replaced with metal gates. Currently, high-density plasma (HDP) processes are widely used for the ILD0 gap filling process. However, the gap filling capability of HDP is not satisfactory, and hence void 112 may be formed in gap 106. If formed using advanced technologies such as 22 nm or 20 nm technologies, the aspect ratio of gap 106 is particularly high. What is needed, therefore, is a method and structure for overcoming the above-described shortcomings in the prior art.
  • SUMMARY OF THE INVENTION
  • In accordance with one aspect of the embodiment, a method of forming an integrated circuit structure includes providing the integrated circuit structure having a first gate strip and a gate spacer on a sidewall of the first gate strip. A contact etch stop layer (CESL) is formed. The CESL includes a top portion directly over the first gate strip and a bottom portion lower than the top portion. The top portion and the bottom portion are spaced apart from each other by a space. A portion of a sidewall of the gate spacer facing the space has no CESL formed thereon.
  • In accordance with another aspect of the embodiment, an integrated circuit structure is provided. The integrated circuit structure includes a first gate strip; a gate spacer on a sidewall of the first gate strip; and a contact etch stop layer (CESL) having a bottom portion lower than a top surface of the gate spacer, wherein a portion of a sidewall of the gate spacer has no CESL formed thereon.
  • Other embodiments are also disclosed.
  • The advantageous features of the embodiments include a reduced aspect ratio of the gap between gate strips. As a result, it is easier to fill the gaps between the gate strips without causing voids.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1 and 2 illustrate cross-sectional views of intermediate stages in a conventional manufacturing process of an integrated circuit structure; and
  • FIGS. 3A through 9 are cross-sectional views and top views of intermediate stages in the manufacturing of an integrated circuit structure in accordance with an embodiment.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the embodiments of the present invention are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • A novel integrated circuit structure and a method of forming the same are provided. The intermediate stages of manufacturing an embodiment are illustrated. The variations of the embodiment are then discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
  • FIG. 3A illustrates a cross-sectional view of an integrated circuit structure. Substrate 10 is provided. Substrate 10 may be formed of commonly known semiconductor materials such as silicon, silicon germanium, gallium arsenide, and the like. First gate stack 21 and second gate stack 41 are formed on substrate 10. First gate stack 21 includes gate dielectric 20, gate strip 22, and optional hard mask layer 24. Gate spacers 26 are formed on sidewalls of gate stack 21. Second gate stack 41 includes gate dielectric 40, gate strip 42, and optional hard mask layer 44. Gate spacers 46 are formed on sidewalls of gate stack 41. Gate spacers 26 and 46 are adjacent to each other with gap 34 therebetween.
  • In an embodiment, gate strips 22 and 42 are formed of polysilicon. In other embodiments, gate strips 22 and 42 are formed of other conductive materials such as metals, metal silicides, metal nitrides, and the like. A common source or a common drain 30 (referred to as a source/drain hereinafter) may be located in substrate 10 and between gate stacks 21 and 41. Source/ drain regions 36 and 48 may be formed adjacent to gate stacks 21 and 41, respectively. Further, silicide regions 32 may be formed on source/ drain regions 30, 36, and 48. Gate stack 21 and source/ drain regions 30 and 36 form a first MOS device, and gate stack 41 and source/ drain regions 30 and 48 form a second MOS device.
  • FIG. 3B illustrates an alternative embodiment, wherein gate (poly) strips 22 and 42 are formed directly over shallow trench isolation (STI) region 50. Also, the structure shown in FIG. 3B may be the extension of the structure shown in FIG. 3A. A top view of the structure shown in FIGS. 3A and 3B is illustrated in FIG. 3C.
  • FIG. 4 illustrates the formation of contact etch stop layer (CESL) 52, which may be formed of commonly used CESL materials including, but not limited to, SiNx, SiOx, SiON, SiC, SiCN, BN, SiBN, SiCBN, and combinations thereof. In an embodiment, CESL 52 is formed using plasma enhanced chemical vapor deposition (PECVD), although other methods such as sub atmospheric chemical vapor deposition (SACVD), low pressure chemical vapor deposition (LPCVD), atomic layer deposition (ALD), high-density plasma (HDP), plasma enhanced atomic layer deposition (PEALD), molecular layer deposition (MLD), plasma impulse chemical vapor deposition (PICVD), and the like can also be used.
  • In an embodiment, CESL 52 includes top portions 52-1, sidewall portions 52-2, and bottom portions 52-3. Top portion 52-1 is located on the top of hard mask layers 24 and 44. Sidewall portions 52-2 are located on the sidewalls of gate spacers 26 and 46. The bottom portions 52-3 are at the bottom of gap 34 and on silicide regions 32. Sidewall portions 52-2 have different characteristics from top portions 52-1 and bottom portions 52-3. In an embodiment, sidewall portions 52-2 have a density lower than, for example, about 80% percent, of the densities of top portions 52-1 and bottom portions 52-3.
  • An exemplary formation process of CESL 52 is performed using PECVD. The PECVD for forming CESL 52 may include generating plasma using a low-frequency energy source that provides a low-frequency energy, wherein the frequency of the low-frequency energy may be lower than about 900 KHz. An exemplary low frequency is about 350 KHz. Further, for generating the plasma, a high-frequency energy source is also used to provide a high-frequency energy. The frequency of the high-frequency energy may be greater than about 900 KHz. An exemplary high frequency is 13.56 MHz. Throughout the description, the power provided through the low-frequency energy source is referred to as a low-frequency power, while the power provided through the high-frequency energy source is referred to as a high-frequency power. The high-frequency power and the low-frequency power may be provided simultaneously in the formation of CESL 52. It is observed that the low-frequency power has the effect of bombarding CESL 52, resulting in a greater density of the horizontal portions (top portions 52-1 and bottom portions 52-3) of CESL 52, while sidewall portions 52-2 are affected less by the bombardment, and hence have a lower density than that of top portions 52-1 and bottom portions 52-3. The low-frequency power may be increased relative to the high-frequency power to increase the densifying effect of top portions 52-1 and bottom portions 52-3. In the embodiment wherein both the high-frequency energy and the low-frequency energy are provided, a ratio of the high-frequency power to the low-frequency power may be lower than about 1, lower than about 0.8, or even lower than about 0.1.
  • Next, an isotropic etch is performed to remove sidewall portions 52-2 of CESL 52, while top portions 52-1 and bottom portions 52-3 are not removed. In an embodiment in which CESL 52 is formed of silicon nitride, the isotropic etch may be a wet etch using phosphoric acid. Since sidewall portions 52-2 have a lower density, they have a greater etching rate than that of top portions 52-1 and bottom portions 52-3. In the isotropic etch, top portions 52-1 and bottom portions 52-3 will also be reduced. However, the isotropic etch may be controlled so that at least some of top portion 52-1 and bottom portion 52-3 remain. FIG. 5A illustrates one embodiment wherein the remaining bottom portions 52-3 are spaced apart from spacers 26 and/or 46. FIG. 5B illustrates another embodiment, wherein remaining bottom portions 52-3 are in contact with spacers 26 and/or 46. The resulting top portions 52-1 may have a thickness greater than the thickness of bottom portions 52-3.
  • As a result of the removal of sidewall portions 52-2 of CESL 52, the aspect ratio (the ratio of height H to width W; refer to FIG. 5A) of gap 34 is reduced, and hence the possibility of forming voids in the subsequent gap-filling process is reduced. FIG. 6 illustrates the filling of inter-layer dielectric (ILD) 60, which is also referred to as ILD0 since an additional ILD will be formed thereon. ILD 60 may be formed of commonly used CESL materials including, but not limited to, SiNX, SiOx, SiON, SiC, SiBN, SiCBN, and combinations thereof. In an embodiment, ILD 60 is formed using HDP, although other methods such as SACVD, LPCVD, ALD, PEALD, PECVD, MLD, PICVD, spin-on, and the like may also be used.
  • Referring to FIG. 7, a chemical mechanical polish (CMP) may be performed to remove hard mask layers 24 and 44 and top portions 52-1. In alternative embodiments, the CMP may be performed using hard mask layers 24 and 44 as CMP stop layers. Next, gate dielectrics 20 and 40 and gate strips 22 and 42 are replaced by gate dielectrics 60 and 70 and metal gates 62 and 72. The formation processes are known in the art, and hence are not repeated herein. As a result, the gate stacks shown in FIG. 3B will also be replaced by gate dielectrics 60 and 70 and metal gates 62 and 72.
  • In subsequent process steps, as shown in FIG. 8, an additional ILD 74, also known as ILD1, is formed over ILD 60. The process is then continued by forming contact openings in ILDs 74 and 60 and filling the contact openings to form contact plugs 76. In the formation of the contact openings, bottom portions 52-3 of CESL 52 are used to stop the etching.
  • In alternative embodiments, as shown in FIG. 9, mask layers 24 and 44 (refer to FIG. 3A) are not formed, or are formed but removed before the formation of silicide regions. Gate silicides 68 and 78 may be formed on top of gate strips 22 and 42, respectively. In these embodiments, gate dielectrics 20 and 40 and gate strips 22 and 42 may not be replaced by gate dielectrics 60 and 70 and metal gates 62 and 72. Accordingly, top portions 52-1 of CESL 52 are used to stop etching in the formation of contact plugs 76 that are connected to gate silicides 68 and 78.
  • The embodiments of the present invention have several advantageous features. By removing sidewall portions of CESL 52, the aspect ratios of the gaps between adjoining gate spacers are reduced. Therefore, the gap filling is less likely to incur voids. This is particularly beneficial for MOS devices formed using the gate-last approach due to the relatively great height of the gate stacks.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the invention.

Claims (16)

1. An integrated circuit structure comprising:
a first gate strip;
a gate spacer on a sidewall of the first gate strip; and
a contact etch stop layer (CESL) comprising a bottom portion lower than a top surface of the gate spacer, wherein a portion of a sidewall of the gate spacer has no CESL formed thereon.
2. The integrated circuit structure of claim 1 further comprising a second gate strip adjacent the first gate strip with a gap between the first gate strip and the second gate strip, wherein the bottom portion of the CESL is in the gap.
3. The integrated circuit structure of claim 1, wherein the bottom portion of the CESL adjoins a bottom portion of the gate spacer.
4. The integrated circuit structure of claim 1, wherein the bottom portion of the CESL is spaced apart from the gate spacer.
5. The integrated circuit structure of claim 1 further comprising a source/drain region adjacent the first gate strip, wherein the bottom portion of the CESL is directly over the source/drain region.
6. The integrated circuit structure of claim 5 further comprising a source/drain silicide over and contacting the source/drain region, wherein the bottom portion of the CESL is directly over and contacting the source/drain silicide.
7. The integrated circuit structure of claim 6 further comprising:
an inter-layer dielectric (ILD) over and contacting the CESL; and
a contact plug in the ILD, wherein the contact plug extends into the bottom portion of the CESL and contacts the source/drain silicide.
8. The integrated circuit structure of claim 1, wherein the CESL further comprises a top portion directly over the first gate strip and disconnected from the bottom portion of the CESL.
9. The integrated circuit structure of claim 8, wherein the top portion of the CESL and the bottom portion of the CESL are formed of a same material, and wherein the bottom portion of the CESL is thinner than the top portion of the CESL.
10. An integrated circuit structure comprising:
a first conductive strip;
a first spacer on a sidewall of the first conductive strip;
a second conductive strip;
a second spacer on a sidewall of the second conductive strip;
a gap between the first spacer and the second spacer; and
a contact etch stop layer (CESL) comprising:
a top portion directly over the first conductive strip; and
a bottom portion in the gap and disconnected from the top portion, wherein a sidewall of the first spacer does not have any portion of the CESL formed thereon.
11. The integrated circuit structure of claim 10, wherein the first conductive strip forms a gate of a first MOS device, and the second conductive strip forms a gate of a second MOS device.
12. The integrated circuit structure of claim 11 further comprising:
a source/drain region adjacent and under the gap; and
a source/drain silicide over and contacting the source/drain region, wherein the bottom portion of the CESL contacts the source/drain silicide.
13. The integrated circuit structure of claim 10, wherein the bottom portion of the CESL is spaced apart from the first spacer and the second spacer.
14. The integrated circuit structure of claim 10 further comprising an inter-layer dielectric (ILD) in the gap and separating the first spacer from the bottom portion of the CESL.
15. The integrated circuit structure of claim 10, wherein the bottom portion of the CESL is in contact with the first spacer.
16. The integrated circuit structure of claim 10 further comprising a shallow trench isolation (STI) region directly under the first conductive strip and the second conductive strip.
US12/750,485 2009-06-15 2010-03-30 Sidewall-Free CESL for Enlarging ILD Gap-Fill Window Abandoned US20100314690A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/750,485 US20100314690A1 (en) 2009-06-15 2010-03-30 Sidewall-Free CESL for Enlarging ILD Gap-Fill Window
CN201010205904XA CN101924106B (en) 2009-06-15 2010-06-13 Integrated circuit structure
US13/912,449 US9218974B2 (en) 2009-06-15 2013-06-07 Sidewall free CESL for enlarging ILD gap-fill window
US14/185,547 US8999834B2 (en) 2009-06-15 2014-02-20 Sidewall-free CESL for enlarging ILD gap-fill window

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US18695409P 2009-06-15 2009-06-15
US12/750,485 US20100314690A1 (en) 2009-06-15 2010-03-30 Sidewall-Free CESL for Enlarging ILD Gap-Fill Window

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/912,449 Division US9218974B2 (en) 2009-06-15 2013-06-07 Sidewall free CESL for enlarging ILD gap-fill window

Publications (1)

Publication Number Publication Date
US20100314690A1 true US20100314690A1 (en) 2010-12-16

Family

ID=43305687

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/750,485 Abandoned US20100314690A1 (en) 2009-06-15 2010-03-30 Sidewall-Free CESL for Enlarging ILD Gap-Fill Window
US13/912,449 Expired - Fee Related US9218974B2 (en) 2009-06-15 2013-06-07 Sidewall free CESL for enlarging ILD gap-fill window
US14/185,547 Expired - Fee Related US8999834B2 (en) 2009-06-15 2014-02-20 Sidewall-free CESL for enlarging ILD gap-fill window

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/912,449 Expired - Fee Related US9218974B2 (en) 2009-06-15 2013-06-07 Sidewall free CESL for enlarging ILD gap-fill window
US14/185,547 Expired - Fee Related US8999834B2 (en) 2009-06-15 2014-02-20 Sidewall-free CESL for enlarging ILD gap-fill window

Country Status (2)

Country Link
US (3) US20100314690A1 (en)
CN (1) CN101924106B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140361381A1 (en) * 2013-06-10 2014-12-11 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US8999834B2 (en) 2009-06-15 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall-free CESL for enlarging ILD gap-fill window
US9142462B2 (en) 2010-10-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer and method of forming the same
US9711411B2 (en) * 2015-11-10 2017-07-18 United Microelectronics Corp. Semiconductor device and method for fabricating the same
DE102012201025B4 (en) 2011-01-26 2018-05-09 Globalfoundries Inc. Method for producing semiconductor devices with local contacts
US20190067101A1 (en) * 2017-08-25 2019-02-28 International Business Machines Corporation Buried contact to provide reduced vfet feature-to-feature tolerance requirements
US10811409B2 (en) 2018-10-16 2020-10-20 Globalfoundries Inc. Method of manufacturing FinFET with reduced parasitic capacitance and FinFET structure formed thereby
WO2023059375A3 (en) * 2021-10-07 2023-06-08 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same
US11710740B2 (en) 2021-10-07 2023-07-25 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same
US11876096B2 (en) 2021-10-07 2024-01-16 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103137668A (en) * 2011-11-23 2013-06-05 中国科学院微电子研究所 Metal oxide semiconductor field effect transistor (MOSFET) with lifted silicide source drain contact and manufacture method thereof
CN104979205B (en) * 2014-04-04 2018-02-16 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
CN106865485B (en) 2015-12-10 2021-09-21 联华电子股份有限公司 Micro-electromechanical structure and manufacturing method thereof
CN109300838A (en) * 2017-07-25 2019-02-01 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
FR3113770A1 (en) * 2020-08-31 2022-03-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for manufacturing micro-electronic components

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020058402A1 (en) * 2000-11-16 2002-05-16 Advanced Micro Device, Inc. Method of forming an etch stop layer during manufacturing of a semiconductor device
US20050263823A1 (en) * 2004-05-27 2005-12-01 Young-Nam Hwang Phase-change memory device having a barrier layer and manufacturing method
US20060189053A1 (en) * 2005-02-22 2006-08-24 Chih-Hao Wang PMOS transistor with discontinuous CESL and method of fabrication
US20070278599A1 (en) * 2006-05-08 2007-12-06 Samsung Electronics Co., Ltd. Semiconductor device and methods thereof
US20080064176A1 (en) * 2006-09-12 2008-03-13 Pei-Yu Chou Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6690040B2 (en) * 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
KR101354661B1 (en) * 2007-10-18 2014-01-24 삼성전자주식회사 Method of fabricating semiconductor device
US20100314690A1 (en) 2009-06-15 2010-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall-Free CESL for Enlarging ILD Gap-Fill Window
US8658997B2 (en) 2012-02-14 2014-02-25 Intermolecular, Inc. Bipolar multistate nonvolatile memory

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020058402A1 (en) * 2000-11-16 2002-05-16 Advanced Micro Device, Inc. Method of forming an etch stop layer during manufacturing of a semiconductor device
US20050263823A1 (en) * 2004-05-27 2005-12-01 Young-Nam Hwang Phase-change memory device having a barrier layer and manufacturing method
US20060189053A1 (en) * 2005-02-22 2006-08-24 Chih-Hao Wang PMOS transistor with discontinuous CESL and method of fabrication
US20070278599A1 (en) * 2006-05-08 2007-12-06 Samsung Electronics Co., Ltd. Semiconductor device and methods thereof
US20080064176A1 (en) * 2006-09-12 2008-03-13 Pei-Yu Chou Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999834B2 (en) 2009-06-15 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall-free CESL for enlarging ILD gap-fill window
US9218974B2 (en) 2009-06-15 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall free CESL for enlarging ILD gap-fill window
US9142462B2 (en) 2010-10-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer and method of forming the same
US9508814B2 (en) 2010-10-21 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer
DE102012201025B4 (en) 2011-01-26 2018-05-09 Globalfoundries Inc. Method for producing semiconductor devices with local contacts
US20140361381A1 (en) * 2013-06-10 2014-12-11 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US8921947B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9711411B2 (en) * 2015-11-10 2017-07-18 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20190067101A1 (en) * 2017-08-25 2019-02-28 International Business Machines Corporation Buried contact to provide reduced vfet feature-to-feature tolerance requirements
US20190067100A1 (en) * 2017-08-25 2019-02-28 International Business Machines Corporation Buried contact to provide reduced vfet feature-to-feature tolerance requirements
US10796957B2 (en) * 2017-08-25 2020-10-06 International Business Machines Corporation Buried contact to provide reduced VFET feature-to-feature tolerance requirements
US10804148B2 (en) * 2017-08-25 2020-10-13 International Business Machines Corporation Buried contact to provide reduced VFET feature-to-feature tolerance requirements
US10811409B2 (en) 2018-10-16 2020-10-20 Globalfoundries Inc. Method of manufacturing FinFET with reduced parasitic capacitance and FinFET structure formed thereby
WO2023059375A3 (en) * 2021-10-07 2023-06-08 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same
US11710740B2 (en) 2021-10-07 2023-07-25 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same
US11876096B2 (en) 2021-10-07 2024-01-16 Sandisk Technologies Llc Field effect transistors with reduced gate fringe area and method of making the same

Also Published As

Publication number Publication date
CN101924106B (en) 2012-01-11
US20130270651A1 (en) 2013-10-17
CN101924106A (en) 2010-12-22
US8999834B2 (en) 2015-04-07
US20140170846A1 (en) 2014-06-19
US9218974B2 (en) 2015-12-22

Similar Documents

Publication Publication Date Title
US9218974B2 (en) Sidewall free CESL for enlarging ILD gap-fill window
US9508814B2 (en) Integrated circuit having a contact etch stop layer
US8048752B2 (en) Spacer shape engineering for void-free gap-filling process
US8772147B2 (en) Spacer structures of a semiconductor device
US8502316B2 (en) Self-aligned two-step STI formation through dummy poly removal
US7842577B2 (en) Two-step STI formation process
US8871625B2 (en) Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
KR102287552B1 (en) Selective high-k formation in gate-last process
US7763532B2 (en) Technique for forming a dielectric etch stop layer above a structure including closely spaced lines
US20050253173A1 (en) Dual work-function metal gates
CN101661904A (en) Semiconductor device and method for fabricating same
CN103137624A (en) High gate density devices and methods
US20070013010A1 (en) High performance MOS device with graded silicide
US20110012226A1 (en) Semiconductor device and method for manufacturing the same
US7763507B2 (en) Stressed interlayer dielectric with reduced probability for void generation in a semiconductor device by using an intermediate etch control layer of increased thickness
US9685374B1 (en) Contact process flow
US7271431B2 (en) Integrated circuit structure and method of fabrication
KR20120033640A (en) Method for manufacturing semiconductor device using tungsten gapfill
KR20100078251A (en) Method for manufacturing the semiconductor device
KR20100078000A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUNG, HAN-PIN;HSIEH, BOR CHIUAN;WANG, SHIANG-BAU;AND OTHERS;SIGNING DATES FROM 20090710 TO 20090713;REEL/FRAME:024163/0475

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION