US20100147820A1 - Heated cantilever - Google Patents

Heated cantilever Download PDF

Info

Publication number
US20100147820A1
US20100147820A1 US12/465,626 US46562609A US2010147820A1 US 20100147820 A1 US20100147820 A1 US 20100147820A1 US 46562609 A US46562609 A US 46562609A US 2010147820 A1 US2010147820 A1 US 2010147820A1
Authority
US
United States
Prior art keywords
tip
cantilever
temperature
heating element
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/465,626
Inventor
Joseph S. Fragala
Albert K. Henning
Raymond Roger Shile
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NanoInk Inc
Original Assignee
NanoInk Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NanoInk Inc filed Critical NanoInk Inc
Priority to US12/465,626 priority Critical patent/US20100147820A1/en
Publication of US20100147820A1 publication Critical patent/US20100147820A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q20/00Monitoring the movement or position of the probe
    • G01Q20/04Self-detecting probes, i.e. wherein the probe itself generates a signal representative of its position, e.g. piezoelectric gauge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q40/00Calibration, e.g. of probes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q70/00General aspects of SPM probes, their manufacture or their related instrumentation, insofar as they are not specially adapted to a single SPM technique covered by group G01Q60/00
    • G01Q70/08Probe characteristics
    • G01Q70/10Shape or taper
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q70/00General aspects of SPM probes, their manufacture or their related instrumentation, insofar as they are not specially adapted to a single SPM technique covered by group G01Q60/00
    • G01Q70/16Probe manufacture
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q80/00Applications, other than SPM, of scanning-probe techniques

Definitions

  • Another embodiment provides a method comprising forming at least one resistive region in a handle wafer, forming at least one cantilever disposed on the handle wafer, annealing the handle wafer for a time sufficient to allow at least one resistive region to contact the at least one cantilever, and separating the at least one cantilever and at least one resistive region from at least a portion of the handle layer, so that the at least one cantilever and at least one resistive region remain in contact.
  • Some embodiments further comprise forming at least one tip disposed on said at least one cantilever.
  • Some embodiments further comprise forming at least one metal contact on said at least one cantilever, where the at least one metal contact is in contact with the at least one resistive region.
  • such metal contacts may be chromium, platinum, or gold.
  • said forming at least one resistive region comprises ion implantation or ion diffusion.
  • the handle wafer may comprise single crystal silicon or polycrystalline silicon.
  • at least one resistive region comprises single crystal silicon, polycrystalline silicon, silicon carbide, or boron.
  • at least one resistive region comprises at least about 0.5 ⁇ 10 20 atoms/cc of boron.
  • the at least one cantilever comprises silicon nitride or silicon carbide.
  • annealing is performed in argon at about 1000° C.
  • At least one advantage of at least one embodiment includes the ability to achieve high tip temperatures without requiring the remainder of the cantilever to be so heated.
  • Some cantilevers are prepared by a method of: (i) providing an oxidized silicon wafer comprising a silicon dioxide layer on silicon, (ii) patterning the silicon dioxide layer to generate etch openings adapted for formation of one or more tips, (iii) etching the silicon wafer anisotropically, (iv) and depositing and patterning silicon nitride to form the cantilever.
  • the silicon can be doped with a n-type dopant, such as boron.
  • concentration of the boron can be for example greater than 0.5 ⁇ 10 20 atoms cm ⁇ 3 , such as at least 3 ⁇ 10 20 atoms cm ⁇ 3 .
  • the dose of the dopant can vary with the thickness. For instance, in one embodiment, wherein the thickness is about 2 microns, the dose can be about 5 ⁇ 10 16 atoms cm ⁇ 2 .
  • the dopant can be introduced into the silicon by ion implantation or diffusion. In one embodiment, wherein ion implantation is employed, the ion implantation can be performed at 150 keV.
  • the dopant implanted into the handle wafer can migrate. Annealing time will therefore generally affect the depth wise concentration of the dopant in the wafer.
  • the concentration profile can broaden during annealing to reach the interface between the wafer and the cantilever, finally being in contact with the cantilever.
  • the dopant concentration is confined to a certain depth in the as-implanted sample, and it is not until after two or even three hours of annealing that the dopant profile broadens to a desirable depth.
  • a dopant implanted at 3 ⁇ 10 20 atoms cm ⁇ 3 extends to depths of about 0.22 ⁇ m to about 0.6 ⁇ m, averaging about 0.4 ⁇ m.

Abstract

A device is provided comprising at least one cantilever comprising at least one tip and at least one heating element. Methods for making and using such a device are also provided.

Description

    RELATED APPLICATION
  • This application claims the benefit of U.S. Provisional Applications No. 61/052,864, filed May 13, 2008, and U.S. Provisional Application No. 61/167,853, filed Apr. 8, 2009, each of which are incorporated by reference in their entirety.
  • BACKGROUND
  • These exists a need to provide improved designs for heated cantilevers, as well as methods for making and using such heated cantilevers.
  • SUMMARY
  • Provided herein are devices, apparatuses, compositions, methods of making same, and methods of using same.
  • One embodiment provides a device comprising at least one cantilever comprising at least one tip and at least one heating element, said cantilever comprising silicon nitride or silicon carbide, said heating elements comprising gold, titanium, tungsten, doped silicon, or doped silicon carbide. In some embodiments, at least one heating element may be an electrical heating element, an electromagnetic inductive heating element, or an electromagnetic resonance heating element. In some embodiments, at least one tip may comprise at least one metal, at least one semiconductor, at least one insulator, at least one oxide, silicon nitride, or silicon nitride. In some embodiments, at least one cantilever may be an insulator. In some embodiments, the temperature of a tip can be substantially higher than the temperature at another location on the cantilever.
  • Another embodiment provides a method comprising forming at least one resistive region in a handle wafer, forming at least one cantilever disposed on the handle wafer, annealing the handle wafer for a time sufficient to allow at least one resistive region to contact the at least one cantilever, and separating the at least one cantilever and at least one resistive region from at least a portion of the handle layer, so that the at least one cantilever and at least one resistive region remain in contact. Some embodiments further comprise forming at least one tip disposed on said at least one cantilever. Some embodiments further comprise forming at least one metal contact on said at least one cantilever, where the at least one metal contact is in contact with the at least one resistive region. In some embodiments, such metal contacts may be chromium, platinum, or gold. In some embodiments, said forming at least one resistive region comprises ion implantation or ion diffusion. In some embodiments, the handle wafer may comprise single crystal silicon or polycrystalline silicon. In some embodiments at least one resistive region comprises single crystal silicon, polycrystalline silicon, silicon carbide, or boron. In some cases at least one resistive region comprises at least about 0.5×1020 atoms/cc of boron. In some embodiments, the at least one cantilever comprises silicon nitride or silicon carbide. In some cases, annealing is performed in argon at about 1000° C.
  • Yet another embodiment provides a method comprising providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, said cantilever comprising silicon nitride or silicon carbide, said heating elements comprising gold, titanium, tungsten, doped silicon, or doped silicon carbide, providing at least one composition on at least one tip, heating at least one tip to a temperature using at least one heating tip, where the temperature is greater than at least one melting temperature of the at least one composition, and depositing the at least one composition on the surface.
  • Still another embodiment provides a method comprising providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, said cantilever comprising silicon nitride or silicon carbide, said heating elements comprising gold, titanium, tungsten, doped silicon, or doped silicon carbide, providing a surface with at least one composition disposed thereon, heating at least one tip to at least one temperature using at least one heating element, so that at least one temperature is greater than at least one melting temperature of the at least one composition, and contacting at least one tip to at the at least one composition for a time sufficient to melt at least a portion of the at least one composition.
  • Yet still another embodiment provides a method comprising providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, said cantilever comprising silicon nitride or silicon carbide, said heating elements comprising gold, titanium, tungsten, doped silicon, or doped silicon carbide, providing a surface with at least one composition disposed thereon, heating at least one tip to at least one temperature using at least one heating element, so that at least one temperature is greater than at least one decomposition temperature of the at least one composition, and contacting at least one tip to at the at least one composition for a time sufficient to decompose at least a portion of the at least one composition.
  • At least one advantage of at least one embodiment includes the ability to achieve high tip temperatures without requiring the remainder of the cantilever to be so heated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows the depth wise concentration profile of boron in the handle wafer in one embodiment.
  • FIGS. 2A-2I provides schematics of a process flowchart of a fabrication procedure in one embodiment.
  • DETAILED DESCRIPTION
  • All references cited herein are incorporated by reference in their entirety.
  • Introduction
  • For practice of the various embodiments described herein, lithography, microlithography, and nanolithography instruments, pen arrays, active pens, passive pens, inks, patterning compounds, kits, ink delivery, software, and accessories for direct-write printing and patterning can be obtained from NanoInk, Inc., Chicago, Ill. Instrumentation includes the NSCRIPTOR. Software includes INKCAD software (NanoInk, Skokie, Ill.), providing user interface for lithography design and control. E-Chamber can be used for environmental control. Dip Pen Nanolithography® and DPN® are trademarks of NanoInk, Inc.
  • The following patents and co-pending applications related to direct-write printing with use of cantilevers, tips, and patterning compounds are hereby incorporated by reference in their entirety and can be used in the practice of the various embodiments described herein, including inks, patterning compounds, software, ink delivery devices, and the like:
  • U.S. Pat. No. 6,635,311 to Mirkin et al., which describes fundamental aspects of DPN printing including inks, tips, substrates, and other instrumentation parameters and patterning methods;
  • U.S. Pat. No. 6,827,979 to Mirkin et al., which further describes fundamental aspects of DPN printing including software control, etching procedures, nanoplotters, and complex and combinatorial array formation.
  • U.S. patent publication number 2002/0122873 A1 published Sep. 5, 2002 (“Nanolithography Methods and Products Produced Therefor and Produced Thereby”), which describes aperture embodiments and driving force embodiments of DPN printing.
  • U.S. Pat. No. 7,279,046 to Eby et al. (“Methods and Apparatus for Aligning Patterns on a Substrate”), which describes alignment methods for DPN printing.
  • U.S. Pat. No. 7,060,977 to Dupeyrat et al. (“Nanolithographic Calibration Methods”), which describes calibration methods for DPN printing.
  • U.S. Patent Publication 2003/0068446, published Apr. 10, 2003 to Mirkin et al. (“Protein and Peptide Nanoarrays”), which describes nanoarrays of proteins and peptides.
  • U.S. Pat. No. 7,361,310 to Mirkin et al. (“Direct-Write Nanolithographic Deposition of Nucleic Acids from Nanoscopic Tips”), which describes nucleic acid.
  • U.S. Pat. No. 7,273,636 to Mirkin et al. (“Patterning of Solid State Features by Direct-Write Nanolithographic Printing”), which describes reactive patterning and sol gel inks (now published Aug. 28, 2003 as 2003/0162004).
  • U.S. Pat. Nos. 6,642,129 and 6,867,443 to Liu et al. (“Parallel, Individually Addressable Probes for Nanolithography”), describing active pen arrays.
  • U.S. Patent Publication 2003/0007242, published Jan. 9, 2003 to Schwartz (“Enhanced Scanning Probe Microscope and Nanolithographic Methods Using Same”).
  • U.S. Patent Publication 2003/0005755, published Jan. 9, 2003 to Schwartz (“Enhanced Scanning Probe Microscope”).
  • U.S. Pat. No. 7,093,056 to Demers et al., describing catalyst nanostructures and carbon nanotube applications.
  • U.S. Pat. No. 7,199,305 to Cruchon-Dupeyrat et al., and U.S. Pat. No. 7,102,656 to Mirkin et al., describing printing of proteins and conducting polymers respectively.
  • U.S. Pat. No. 7,005,378 to Crocker et al., describing conductive materials as patterning compounds.
  • U.S. patent application Ser. No. 10/689,547 filed Oct. 21, 2003, now published as 2004/0175631 on Sep. 9, 2004, describing mask applications including photomask repair.
  • U.S. Pat. No. 7,034,854 Cruchon-Dupeyrat et al., describing microfluidics and ink delivery.
  • U.S. patent application Ser. No. 10/788,414 filed Mar. 1, 2004, now published as 2005/0009206 on Jan. 13, 2005 describing printing of peptides and proteins.
  • U.S. Pat. No. 7,326,380 to Mirkin et al., describing ROMP methods and combinatorial arrays.
  • U.S. Pat. No. 7,491,422 to Zhang et al., describing stamp tip or polymer coated tip applications.
  • U.S. patent application Ser. No. 11/065,694 filed Feb. 25, 2005, now published as 2005/0235869 on Oct. 27, 2005, describing tipless cantilevers and flat panel display applications.
  • US Patent publication 2006/001,4001 published Jan. 19, 2006 describing etching of nanostructures made by DPN methods.
  • WO 2004/105046 to Liu & Mirkin published Dec. 2, 2004 describes scanning probes for contact printing.
  • U.S. patent application “Active Pen Nanolithography,” Ser. No. 11/268,740 to Shile et al. filed Nov. 8, 2005 describes for example thermcompression bonding and silicon handle wafers.
  • DPN methods are also described in Ginger et al., “The Evolution of Dip-Pen Nanolithography,” Angew. Chem. Int. Ed. 2004, 43, 30-45, including description of high-throughput parallel methods. See also Salaita et al., “Applications of Dip-Pen Nanolithography,” Nature Nanotechnology, 2007, Advanced On-line publication (11 pages).
  • Direct write methods, including DPN printing and pattern transfer methods, are described in for example Direct-Write Technologies, Sensors, Electronics, and Integrated Power Sources, Pique and Chrisey (Eds), 2002.
  • The direct-write nanolithography instruments and methods described herein are of particular interest for use in preparing bioarrays, nanoarrays, and microarrays based on peptides, proteins, nucleic acids, DNA, RNA, viruses, biomolecules, and the like. See, for example, U.S. Pat. No. 6,787,313 for mass fabrication of chips and libraries; U.S. Pat. No. 5,443,791 for automated molecular biology laboratory with pipette tips; U.S. Pat. No. 5,981,733 for apparatus for the automated synthesis of molecular arrays in pharmaceutical applications. Combinatorial arrays can be prepared. See also, for example, U.S. Pat. Nos. 7,008,769; 6,573,369; and 6,998,228 to Henderson et al.
  • Scanning probe microscopy is reviewed in Bottomley, Anal. Chem., 1998, 70, 425R-475R. Also, scanning probe microscopes are known in the art including probe exchange mechanisms as described in, for example, U.S. Pat. No. 5,705,814 (Digital Instruments).
  • Microfabrication methods are described in for example Madou, Fundamentals of Microfabrication, 2nd Ed., 2002, and also Van Zant, Microchip Fabrication, 5th Ed., 2004.
  • See for example U.S. Pat. No. 6,827,979 to Mirkin et al. is also incorporated by reference in its entirety.
  • US Patent Publication 2003/0022470 and Publication 2006/0228873 to Liu et al. describe cantilever fabrication methods.
  • US Patent Publication 2006/0040057 to King, Sheehan et al. describes thermal DPN printing methods.
  • U.S. Provisional Applications No. 61/052,864, filed May 13, 2008, and U.S. Provisional Application No. 61/167,853, filed Apr. 8, 2009, are also both incorporated by reference in their entireties.
  • Companion U.S. applications “Piezoresistor Height-Sensing Cantilever” and “Dual-Tip Cantilever”, both filed May 13, 2009 as Ser. No. ______ and Ser. No. ______ respectively, are both incorporated by reference in their entireties.
  • Cantilevers
  • Some embodiments comprise devices comprising one or more cantilevers. Some cantilevers may be of microscopic dimension. Some cantilevers may be of nanoscopic dimension. Some cantilevers may be used in such devices as atomic microscopes, scanning microscopes, or nanoscopes. Some cantilevers may be used to deposit materials on surfaces, measure local heights of surfaces, perform local heating or cooling of surfaces, and the like.
  • In some embodiments, cantilevers may comprise silicon nitride. Alternatively, they may comprise silicon carbide. These materials are tough polycrystalline ceramics, having high wear resistances. Both silicon nitride and silicon carbide are electrical insulators. Cantilevers made from these materials do not become electrically charged as silicon cantilevers do. Control over electrostatic fields in these cantilevers is improved over those made from silicon. Because these materials are also chemically inert, cantilevers made from them may also be used with biological materials. Silicon nitride may be more readily commercially available than silicon carbide.
  • Some cantilevers may be configured into arrays. Such arrays may be one-dimensional. Some arrays may have more than one dimension. In some embodiments, cantilevers are configured into two-dimensional arrays.
  • Tips
  • Some cantilevers may comprise one or more tips. Some tips may comprise the same materials as the rest of their cantilevers. In some embodiments, tips may comprise different materials than the rest of their cantilevers. Tips may comprise one or more metals, such as gold, gold alloys, titanium, tungsten, titanium-tungsten alloys, and the like. Tips may comprise semiconductors or insulators. Tips may comprise oxides, such as metal oxides, nitrides, such as silicon nitride, or carbides, such as silicon carbide.
  • In some embodiments, tips may be able to be heated. Such tips are useful for use in such applications as thermal active pens. Heating may be accomplished by inductive heating, resonance heating, resistive heating, and the like. Where cantilevers comprise insulating materials, such as silicon nitride or silicon carbide, tips may be maintained at temperatures substantially higher than those of locations on the cantilever removed from such heated tips. By “substantially higher”, we mean temperatures that are at least 50° C. higher, preferably at least 100° C. higher.
  • In some embodiments, tip temperatures may be about 1000° C. In some embodiments, tip temperatures may be between about 400° C. and about 1000° C. In some cases, tip temperatures may be below about 400° C.
  • Cantilevers Heated by Heating Elements
  • In some embodiments, cantilevers and/or tips may be heated by heating elements disposed on or in the cantilevers. In some embodiments, tips themselves may comprise heating elements. Examples of such heating elements include layers disposed on the cantilevers, where the layers comprise electrically resistive heaters, electromagnetic inductive heaters, or electromagnetic resonance heaters. For temperatures below about 400° C., gold heating elements may be suitable. For temperatures above about 400° C., higher-melting materials may be used, such as titanium-tungsten alloys.
  • In some embodiments, heating elements may comprise resistive regions comprising heavily-doped silicon or silicon carbide. A preferred dopant is boron.
  • Fabricating Cantilevers, Tips, Heating Elements
  • Some cantilevers are prepared by a method of: (i) providing an oxidized silicon wafer comprising a silicon dioxide layer on silicon, (ii) patterning the silicon dioxide layer to generate etch openings adapted for formation of one or more tips, (iii) etching the silicon wafer anisotropically, (iv) and depositing and patterning silicon nitride to form the cantilever.
  • Fabrication of the pen can be carried out by adapting a process flow developed by Quate's group during the 1990′s (1, 2). In one embodiment, this process starts with a highly accurate e-beam written mask to pattern one or more square openings onto an oxidized silicon surface, which will become one or more tips. The openings can be of any size. For example, they can be between about 1 micron to about 60 microns, such as between about 2 microns to about 50 microns. The size of the one or more openings can be the same or different from one another.
  • Subsequently, the wafer can be immersed in a KOH etch solution to etch anisotropically pyramidal pits into the silicon wafer to form the basic tip mold(s) and the optional v-trenches. The masking oxide can then be stripped and the wafers re-oxidized at 950° C. for 360 minutes to grow about 3900 Å of silicon oxide. At this time and temperature, the oxide at the bottom of the pit is hindered with respect to growth, and thus when a cast film is deposited in this pit, the tip sharpness can approach a 10 nm tip radius or smaller. No maximum limit of the tip size need to be imposed. For instance, the tip size can be increased by increasing the pit size.
  • Silicon nitride with low stress gradient can then be deposited onto the mold wafer to form a cantilever. In one embodiment, the nitride thickness is about 600 nm. Accordingly, with this thickness and a width of 25 um and a length of 200 um, a rectangular cantilever in this embodiment can have a spring constant of about 0.04 N/m. While this is a value that is commonly used for contact mode AFM probes and can work well for DPN, other spring constants may also be obtained and used. Not to be bound by any particular theory, the spring constant changes linearly with width w and with the third power of length L such that for a given thickness t, a wide range of spring constants K can be obtained: K=Ewt3/4L3, where E will depend on the materials of construction. In one alternative embodiment, the thickness of the nitride may also be changed on a batch basis to have a larger variation in spring constant. For example, nitride thicknesses from 400 nm to 1000 nm for cantilevers (with spring constant ranging from 0.0015 to over 1 N/m) have been used by NanoInk for different applications.
  • The nitride can be oxidized, patterned, and etched to form the cantilevers.
  • After the nitride is patterned, a photoresist layer is patterned for lift-off, and any metal layers are deposited and then lifted off the nitride wafer
  • Resistive regions may be fabricated by a process comprising (i) forming at least one resistive region in a handle wafer; (ii) forming at least one cantilever disposed on the handle wafer; (iii) annealing the handle wafer such that at least a portion of each resistive region is attached to the cantilever; and (iv) selectively removing the handle wafer such that at least the cantilever and the resistive regions remain.
  • The silicon can be doped with a n-type dopant, such as boron. The concentration of the boron can be for example greater than 0.5×1020 atoms cm−3, such as at least 3×1020 atoms cm−3. The dose of the dopant can vary with the thickness. For instance, in one embodiment, wherein the thickness is about 2 microns, the dose can be about 5×1016 atoms cm−2. The dopant can be introduced into the silicon by ion implantation or diffusion. In one embodiment, wherein ion implantation is employed, the ion implantation can be performed at 150 keV.
  • In some embodiments, some resistive regions can serve to provide an etch stop for the subsequent KOH etch used to remove the undesired portions of the silicon handle wafer. In other embodiments, the use of heavily boron-doped silicon can help ensure the resistance of the structure remains substantially constant, even in the presence of a longer etching time, such as a doubling in etching time. The electrical and mechanical properties of such a structure can be highly resilient to process variations.
  • In one embodiment, after the tip is sharpened, such as by the oxidation process discussed above, a wafer or layer of silicon nitride can be deposited onto the tip and the handle wafer. In one embodiment, after the sharpening of the tip, about 0.1 microns to about 0.4 microns, such as about 0.22 microns of the silicon handle wafer was consumed. The silicon nitride can later become the cantilever. Alternatively, silicon carbide can be deposited. The handle wafer, with the newly formed cantilever and tip, can subsequently be annealed. Any suitable annealing conditions can be applied. In embodiment wherein the cantilever comprises silicon nitride, the structure is annealed in an Argon atmosphere at about 1000° C.
  • During annealing, the dopant implanted into the handle wafer can migrate. Annealing time will therefore generally affect the depth wise concentration of the dopant in the wafer. Although the dopant may not be in contact with the cantilever prior to annealing, the concentration profile can broaden during annealing to reach the interface between the wafer and the cantilever, finally being in contact with the cantilever. As shown in FIG. 1, the dopant concentration is confined to a certain depth in the as-implanted sample, and it is not until after two or even three hours of annealing that the dopant profile broadens to a desirable depth. In this embodiment, after three hours of annealing at about 1000° C. in Argon, a dopant implanted at 3×1020 atoms cm−3 extends to depths of about 0.22 μm to about 0.6 μm, averaging about 0.4 μm.
  • REFERENCES
  • (1) T. R. Albrecht, S. Akamine, T. E. Carver, and C. F. Quate, “Microfabrication of cantilever styli for the atomic force microscope,” J. Vac. Sci. Technol. A, Vac. Surf. Films (USA), 1990
  • (2) S. Akamine, and C. F. Quate, “Low temperature oxidation sharpening of microcast tips,” J. Vac. Sci. Technol B., vol. 10, No. 5, Sep/Oct 1992.
  • NON-LIMITING WORKING EXAMPLE Thermal Active Pen with Piezoresistors Fabrication Procedure
  • A Schematic flowchart of the procedure is provided in FIGS. 3A-3I.
  • 1) Starting material
  • 2) Clean
  • 3) Oxidation
  • 4) Clean
  • 5) Tip lithography
  • 6) Descum
  • 7) Oxide Etch
  • 8) Strip Resist/Clean
  • 9) Resistive region implant lithography
  • 10) Resistive region implant
  • 11) Tip Etch
  • 12) Clean
  • 13) Strip Oxide
  • 14) Clean
  • 15) Oxidize
  • 16) Sharpen Lithography
  • 17) Inspect
  • 18) Oxide Etch
  • 19) Strip Resist/Clean
  • 20) Deposit Silicon Nitride
  • 21) Resistive Region Anneal/Drive-in
  • 22) Cantilever Lithography
  • 23) Frontside Nitride etch
  • 24) Backside Lithography
  • 25) Backside Nitride Etch
  • 26) Strip Resist/Clean
  • 27) Actuator Lithography
  • 28) Descum
  • 29) Deposit Metal
  • 30) Liftoff Metal
  • 31) Clean

Claims (30)

1. A device comprising at least one cantilever comprising at least one tip and at least one heating element, wherein said cantilever further comprises silicon nitride or silicon carbide, and wherein said one or more heating elements comprises gold, titanium, tungsten, doped silicon, or doped silicon carbide.
2. The device according to claim 1, wherein the said at least one heating element comprises at least one electrical heating element.
3. The device according to claim 1, wherein the said at least one heating element comprises at least one electromagnetic inductive heating element.
4. The device according to claim 1, wherein the said at least one heating element comprises at least one electromagnetic resonance heating element.
5. The device according to claim 1, wherein said at least one tip comprises at least one metal.
6. The device according to claim 1, wherein said at least one tip comprises at least one semiconductor.
7. The device according to claim 1, wherein said at least one tip comprises at least one insulator.
8. The device according to claim 1, wherein said at least one tip comprises at least one oxide.
9. The device according to claim 1, wherein said at least one tip comprises silicon nitride.
10. The device according to claim 1, wherein said at least one tip comprises silicon carbide.
11. The device according to claim 1, wherein said at least one cantilever is an insulator.
12. The device according to claim 1, wherein said at least one cantilever comprises at least one location removed from said at least one tip, further wherein said at least one tip comprises at least one first temperature and said at least one location removed from said at least one tip comprises at least one second temperature, wherein said at least one first temperature is substantially higher than said at least one second temperature.
13. A method comprising:
(i) forming at least one resistive region in a handle wafer;
(ii) forming at least one cantilever disposed on said handle wafer;
(iii) annealing said handle wafer for a time, wherein said time is sufficient to allow said at least one resistive region to contact said at least one cantilever; and
(iv) separating at least a part of said handle wafer, so that said at least one cantilever and said at least one resistive region remain in contact.
14. The method according to claim 13, further comprising forming at least one tip disposed on said at least one cantilever.
15. The method according to claim 13, further comprising forming at least one metal contact on said at least one cantilever, wherein said at least one metal contact contacts said at least one resistive region.
16. The method according to claim 13, further comprising forming at least one metal contact on said at least one cantilever, wherein said at least one metal contact contacts said at least one resistive region, further wherein said at least one metal contact comprises one or more of chromium, platinum, or gold.
17. The method according to claim 13, wherein said forming at least one resistive region comprises ion implantation or ion diffusion.
18. The method according to claim 13, wherein said handle wafer comprises single crystal silicon.
19. The method according to claim 13, wherein said handle wafer comprises polycrystalline silicon.
20. The method according to claim 13, wherein said at least one resistive region comprises single crystal silicon.
21. The method according to claim 13, wherein said at least one resistive region comprises polycrystalline silicon.
22. The method according to claim 13, wherein said at least one resistive region comprises silicon carbide.
23. The method according to claim 13, wherein said at least one resistive region comprises boron.
24. The method according to claim 13, wherein said at least one resistive region comprises at least about 0.5×1020 atoms/cm3 of boron.
25. The method according to claim 13, wherein said at least one cantilever comprises silicon nitride.
26. The method according to claim 13, wherein said at least one cantilever comprises silicon carbide.
27. The method according to claim 13, wherein said annealing said handle wafer is performed in an Argon atmosphere at about 1000° C.
28. A method comprising:
(i) providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, wherein said cantilever further comprises silicon nitride or silicon carbide, and wherein said one or more heating elements comprises gold, titanium, tungsten, doped silicon, or doped silicon carbide,
(ii) providing at least one composition on said at least one tip, said at least one composition comprising at least one melting temperature,
(iii) heating said at least one tip to at least one temperature using said at least one heating element, said at least one temperature being greater than said at least one melting temperature, and
(iv) depositing said at least one composition on a surface.
29. A method comprising:
(i) providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, wherein said cantilever further comprises silicon nitride or silicon carbide, and wherein said one or more heating elements comprises gold, titanium, tungsten, doped silicon, or doped silicon carbide,
(ii) providing a surface with at least one composition disposed thereon, said at least one composition comprising at least one melting temperature,
(iii) heating said at least one tip to at least one temperature using said at least one heating element, said at least one temperature being greater than said at least one melting temperature, and
(iv) contacting said at least one tip to said at least one composition for a time sufficient to melt at least a portion of said at least one composition.
30. A method comprising:
(i) providing a device comprising at least one cantilever comprising at least one tip and at least one heating element, wherein said cantilever further comprises silicon nitride or silicon carbide, and wherein said one or more heating elements comprises gold, titanium, tungsten, doped silicon, or doped silicon carbide,
(ii) providing a surface with at least one composition disposed thereon, said at least one composition comprising at least one decomposition temperature,
(iii) heating said at least one tip to at least one temperature using said at least one heating element, said at least one temperature being greater than said at least one decomposition temperature, and
(iv) contacting said at least one tip to said at least one composition for a time sufficient to decompose at least a portion of said at least one composition.
US12/465,626 2008-05-13 2009-05-13 Heated cantilever Abandoned US20100147820A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/465,626 US20100147820A1 (en) 2008-05-13 2009-05-13 Heated cantilever

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5286408P 2008-05-13 2008-05-13
US16785309P 2009-04-08 2009-04-08
US12/465,626 US20100147820A1 (en) 2008-05-13 2009-05-13 Heated cantilever

Publications (1)

Publication Number Publication Date
US20100147820A1 true US20100147820A1 (en) 2010-06-17

Family

ID=40852320

Family Applications (7)

Application Number Title Priority Date Filing Date
US12/465,626 Abandoned US20100147820A1 (en) 2008-05-13 2009-05-13 Heated cantilever
US12/465,625 Expired - Fee Related US8393011B2 (en) 2008-05-13 2009-05-13 Piezoresistor height sensing cantilever
US12/465,616 Abandoned US20100071098A1 (en) 2008-05-13 2009-05-13 Scanning probe epitaxy
US12/465,617 Abandoned US20100059475A1 (en) 2008-05-13 2009-05-13 Method of nanoscale patterning using block copolymer phase separated nanostructure templates
US12/465,622 Abandoned US20100235954A1 (en) 2008-05-13 2009-05-13 Dual-tip cantilever
US12/465,621 Expired - Fee Related US8261368B2 (en) 2008-05-13 2009-05-13 Nanomanufacturing devices and methods
US12/465,615 Abandoned US20100115672A1 (en) 2008-05-13 2009-05-13 Scanning probe epitaxy

Family Applications After (6)

Application Number Title Priority Date Filing Date
US12/465,625 Expired - Fee Related US8393011B2 (en) 2008-05-13 2009-05-13 Piezoresistor height sensing cantilever
US12/465,616 Abandoned US20100071098A1 (en) 2008-05-13 2009-05-13 Scanning probe epitaxy
US12/465,617 Abandoned US20100059475A1 (en) 2008-05-13 2009-05-13 Method of nanoscale patterning using block copolymer phase separated nanostructure templates
US12/465,622 Abandoned US20100235954A1 (en) 2008-05-13 2009-05-13 Dual-tip cantilever
US12/465,621 Expired - Fee Related US8261368B2 (en) 2008-05-13 2009-05-13 Nanomanufacturing devices and methods
US12/465,615 Abandoned US20100115672A1 (en) 2008-05-13 2009-05-13 Scanning probe epitaxy

Country Status (4)

Country Link
US (7) US20100147820A1 (en)
EP (1) EP2291849A2 (en)
JP (1) JP2011523047A (en)
WO (6) WO2010011398A2 (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10384238B2 (en) * 2007-09-17 2019-08-20 Rave Llc Debris removal in high aspect structures
US10330581B2 (en) 2007-09-17 2019-06-25 Rave Llc Debris removal from high aspect structures
WO2009120342A1 (en) * 2008-03-24 2009-10-01 The Board Of Trustees Of The Leland Stanford Junior University Method for stm assisted ald
US20100147820A1 (en) * 2008-05-13 2010-06-17 Nanoink, Inc. Heated cantilever
US8296857B2 (en) * 2008-12-17 2012-10-23 Specs Zürich GmbH Scanning probe microscope with current controlled actuator
FI122940B (en) * 2009-02-09 2012-09-14 Beneq Oy reaction chamber
EP2507668A2 (en) * 2009-12-02 2012-10-10 Northwestern University Block copolymer-assisted nanolithography
US20120047610A1 (en) * 2010-04-09 2012-02-23 Boise State University Cantilever-based optical interface force microscope
CN102934027A (en) 2010-04-14 2013-02-13 纳米墨水公司 Improved cantilevers for deposition
EP2561341A1 (en) 2010-04-20 2013-02-27 Nanoink, Inc. Functionalizing biosensors using a multiplexed dip pen array
CA2794903A1 (en) 2010-04-27 2011-11-10 Nanoink, Inc. Ball-spacer method for planar object leveling
US8384020B2 (en) * 2010-09-24 2013-02-26 Ut-Battelle, Llc Spatially resolved thermal desorption/ionization coupled with mass spectrometry
CN102479677A (en) * 2010-11-29 2012-05-30 无锡华润上华半导体有限公司 Semiconductor device and manufacture method thereof
WO2013067395A2 (en) 2011-11-04 2013-05-10 Nanoink, Inc. Method and apparatus for improving ink deposition
EP2781925B1 (en) * 2011-11-15 2019-09-18 National University Corporation Kanazawa University Sealed-type afm cell
EP2620779A1 (en) * 2012-01-30 2013-07-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Probe calibration
CA2866749C (en) * 2012-03-12 2021-06-15 Nanotheta Co, Ltd. Ultra-thin polymer film and porous ultra-thin polymer film
TWI474004B (en) * 2012-07-13 2015-02-21 Nat Univ Tsing Hua Multi-head probe with manufacturing and scanning method thereof
US20150210868A1 (en) * 2012-09-10 2015-07-30 Northwestern University Method for synthesizing nanoparticles on surfaces
US8689361B1 (en) * 2012-10-29 2014-04-01 Oicmicro, LLC Method of making thin film probe tip for atomic force microscopy
WO2014144018A2 (en) * 2013-03-15 2014-09-18 Bruker Nano, Inc. Dual-probe scanning probe microscope
US9097737B2 (en) * 2013-11-25 2015-08-04 Oxford Instruments Asylum Research, Inc. Modular atomic force microscope with environmental controls
US9731957B2 (en) 2014-01-21 2017-08-15 Indian Institute Of Technology, Bombay Polymer anchored microelectromechanical system (MEMS) cantilever and method of fabricating the same
WO2016138373A1 (en) 2015-02-26 2016-09-01 Xallent, LLC Multiple integrated tips scanning probe microscope
CN116699180A (en) 2015-02-26 2023-09-05 沙朗特有限责任公司 System and method for manufacturing a probe for a nanoelectromechanical system
US10745280B2 (en) * 2015-05-26 2020-08-18 Department Of Electronics And Information Technology (Deity) Compact thermal reactor for rapid growth of high quality carbon nanotubes (CNTs) produced by chemical process with low power consumption
US10866273B2 (en) 2016-03-09 2020-12-15 Xallent, LLC Functional prober chip
RU2635345C1 (en) * 2016-06-14 2017-11-10 Федеральное государственное бюджетное образовательное учреждение высшего образования "Рязанский государственный радиотехнический университет" Atomic-force microscope probe with programmable spectral portrait of radiating element based on quantum dots of core-shell structure
JP6717107B2 (en) * 2016-08-09 2020-07-01 日立金属株式会社 Cross-linking evaluation method for polymer materials
JP6448594B2 (en) * 2016-09-13 2019-01-09 株式会社東芝 Conductive probe, electrical property evaluation system, scanning probe microscope, conductive probe manufacturing method, and electrical property measurement method
HUE059513T2 (en) * 2016-10-20 2022-11-28 Grieshaber Vega Kg Vibration sensor with integrated temperature detection
CN106841688B (en) * 2017-01-19 2019-03-29 南开大学 The non-linear nano metal of e index type bores probe
WO2018187525A1 (en) 2017-04-06 2018-10-11 Kwame Amponsah Nanoelectromechanical devices with metal-to-metal contacts
US10663484B2 (en) 2018-02-14 2020-05-26 Xallent, LLC Multiple integrated tips scanning probe microscope with pre-alignment components
US11404270B2 (en) 2018-11-30 2022-08-02 Texas Instruments Incorporated Microelectronic device substrate formed by additive process
US10910465B2 (en) 2018-12-28 2021-02-02 Texas Instruments Incorporated 3D printed semiconductor package
US10861715B2 (en) 2018-12-28 2020-12-08 Texas Instruments Incorporated 3D printed semiconductor package
CN111272848B (en) * 2020-03-06 2022-04-26 安徽大学 High-sensitivity photoelectrochemical biosensor for detecting miRNA159c and preparation and detection methods thereof
CN113514176A (en) * 2021-04-28 2021-10-19 上海海事大学 Low-temperature stretchable flexible stress sensor based on 3D printing and preparation method

Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5443791A (en) * 1990-04-06 1995-08-22 Perkin Elmer - Applied Biosystems Division Automated molecular biology laboratory
US5705814A (en) * 1995-08-30 1998-01-06 Digital Instruments, Inc. Scanning probe microscope having automatic probe exchange and alignment
US5981733A (en) * 1996-09-16 1999-11-09 Incyte Pharmaceuticals, Inc. Apparatus for the chemical synthesis of molecular arrays
US6011261A (en) * 1997-03-04 2000-01-04 Canon Kabushiki Kaisha Probe formed of mono-crystalline SI, the manufacturing method thereof, and an information processing device using the probe
US6140646A (en) * 1998-12-17 2000-10-31 Sarnoff Corporation Direct view infrared MEMS structure
US20020122873A1 (en) * 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US20030005755A1 (en) * 2001-03-02 2003-01-09 Schwartz Peter V. Enhanced scanning probe microscope
US20030007242A1 (en) * 2001-06-04 2003-01-09 Schwartz Peter V. Enhanced scanning probe microscope and nanolithographic methods using the same
US20030022470A1 (en) * 2001-07-26 2003-01-30 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
US20030068446A1 (en) * 2001-10-02 2003-04-10 Northwestern University Protein and peptide nanoarrays
US6573369B2 (en) * 1999-05-21 2003-06-03 Bioforce Nanosciences, Inc. Method and apparatus for solid state molecular analysis
US6635311B1 (en) * 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US6787313B2 (en) * 1997-06-20 2004-09-07 New York University Electrospray apparatus for mass fabrication of chips and libraries
US20040175631A1 (en) * 2002-10-21 2004-09-09 Nanoink, Inc. Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrications
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US20050009206A1 (en) * 2002-05-21 2005-01-13 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
US20050014001A1 (en) * 2003-07-17 2005-01-20 Dynal Biotech Asa Process
US20050190684A1 (en) * 1995-07-24 2005-09-01 General Nanotechnology Llc Nanometer scale data storage device and associated positioning system
US20050235869A1 (en) * 2002-08-26 2005-10-27 Sylvain Cruchon-Dupeyrat Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US20050258133A9 (en) * 1996-08-12 2005-11-24 Maynard Ronald S Hybrid optical multi-axis beam steering apparatus
US20050287824A1 (en) * 2003-01-28 2005-12-29 Obschestvo S Ogranichennoi Otvetstvennostju Epilab ECR-plasma source and methods for treatment of semiconductor structures
US20060040057A1 (en) * 2004-08-18 2006-02-23 Sheehan Paul E Thermal control of deposition in dip pen nanolithography
US7005378B2 (en) * 2002-08-26 2006-02-28 Nanoink, Inc. Processes for fabricating conductive patterns using nanolithography as a patterning tool
US7008769B2 (en) * 2000-08-15 2006-03-07 Bioforce Nanosciences, Inc. Nanoscale molecular arrayer
US7027469B2 (en) * 2001-11-30 2006-04-11 Optitune Plc Tunable filter
US7034854B2 (en) * 2002-11-12 2006-04-25 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
US7060977B1 (en) * 2002-05-14 2006-06-13 Nanoink, Inc. Nanolithographic calibration methods
US7102656B2 (en) * 2002-05-21 2006-09-05 Northwestern University Electrostatically driven lithography
US20060228873A1 (en) * 2001-07-26 2006-10-12 The Board Of Trustees Of The University Of Illinois Electrostatic nanolithography probe actuation device and method
US7199305B2 (en) * 2002-08-08 2007-04-03 Nanoink, Inc. Protosubstrates
US20070138909A1 (en) * 2005-12-15 2007-06-21 Interuniversitair Microelektronica Centrum (Imec) Method and system for measuring physical parameters with a piezoelectric bimorph cantilever in a gaseous or liquid environment
US7260051B1 (en) * 1998-12-18 2007-08-21 Nanochip, Inc. Molecular memory medium and molecular memory integrated circuit
US7273636B2 (en) * 2001-12-17 2007-09-25 Northwestern University Patterning of solid state features by direct write nanolithographic printing
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US7326380B2 (en) * 2003-07-18 2008-02-05 Northwestern University Surface and site-specific polymerization by direct-write lithography
US7361310B1 (en) * 2001-11-30 2008-04-22 Northwestern University Direct write nanolithographic deposition of nucleic acids from nanoscopic tips
US20080169429A1 (en) * 2005-01-27 2008-07-17 Commissariat A L'energie Atomique Microelectronic Multiple Electron Beam Emitting Device
US20080224922A1 (en) * 2005-07-28 2008-09-18 The Regents Of The University Of California Device and method for resonant high-speed microscopic impedance probe
US7452800B2 (en) * 2005-11-09 2008-11-18 The Regents Of The University Of California Bonding a non-metal body to a metal surface using inductive heating
US7474602B2 (en) * 2003-06-19 2009-01-06 International Business Machines Corporation Data storage device comprising write head with carbon element
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate
US7497613B2 (en) * 2005-04-18 2009-03-03 Anasys Instruments Probe with embedded heater for nanoscale analysis
US8256017B2 (en) * 2006-08-31 2012-08-28 Nanoink, Inc. Using optical deflection of cantilevers for alignment

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4080510A (en) * 1976-11-18 1978-03-21 Btu Engineering Corporation Silicon carbide heater
US5266801A (en) * 1989-06-05 1993-11-30 Digital Instruments, Inc. Jumping probe microscope
JPH0756443B2 (en) 1990-12-20 1995-06-14 株式会社島津製作所 Scanning tunnel microscope for observing biological samples
JPH05196458A (en) * 1991-01-04 1993-08-06 Univ Leland Stanford Jr Piezoresistance cantilever structure for atomic power microscope
JPH05248810A (en) * 1992-01-09 1993-09-28 Olympus Optical Co Ltd Integrated afm sensor
US5386720A (en) * 1992-01-09 1995-02-07 Olympus Optical Co., Ltd. Integrated AFM sensor
JPH06300557A (en) * 1993-04-19 1994-10-28 Olympus Optical Co Ltd Integrated afm sensor and manufacture thereof
JPH06307852A (en) * 1993-04-22 1994-11-04 Olympus Optical Co Ltd Integrated afm sensor and its manufacture
US5444244A (en) * 1993-06-03 1995-08-22 Park Scientific Instruments Corporation Piezoresistive cantilever with integral tip for scanning probe microscope
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US6337479B1 (en) * 1994-07-28 2002-01-08 Victor B. Kley Object inspection and/or modification system and method
US20080315092A1 (en) * 1994-07-28 2008-12-25 General Nanotechnology Llc Scanning probe microscopy inspection and modification system
WO1997009584A1 (en) * 1995-09-01 1997-03-13 International Business Machines Corporation Cantilever with integrated deflection sensor
EP0886758B1 (en) * 1996-03-13 2001-11-21 International Business Machines Corporation Cantilever structures
JPH09251026A (en) * 1996-03-15 1997-09-22 Toshiba Corp Scanning probe microscope
US5907095A (en) * 1996-06-17 1999-05-25 Industrial Technology Research Institute High-sensitivity strain probe
US6249747B1 (en) * 1997-07-17 2001-06-19 International Business Machines Corporation Investigation and/or manipulation device
DE69721986T2 (en) * 1997-08-27 2004-02-12 Imec Vzw Stylus configuration, manufacturing process and use of styluses
US6415653B1 (en) * 1998-03-24 2002-07-09 Olympus Optical Co., Ltd. Cantilever for use in a scanning probe microscope
US6437328B1 (en) * 1998-08-03 2002-08-20 The Regents Of The University Of California Hyperbaric hydrothermal atomic force microscope
DE19914838A1 (en) * 1999-04-01 2000-10-05 Thomson Brandt Gmbh Isochronous transmission method for MPEG2 coded video or audio data by dividing data into source packets of defined value/size
EP1061529A1 (en) * 1999-06-11 2000-12-20 Interuniversitair Microelektronica Centrum Vzw A probe tip for the investigation of a substrate and a method of fabrication therof
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
KR100399052B1 (en) * 2000-12-22 2003-09-26 한국전자통신연구원 Apparatus for recording and reproducing high-density information using multi-functional probe
KR20020054111A (en) * 2000-12-27 2002-07-06 오길록 High speed/density optical storage system equipped with a multi-functional probe column
US6685841B2 (en) * 2001-02-14 2004-02-03 Gabriel P. Lopez Nanostructured devices for separation and analysis
US6862921B2 (en) * 2001-03-09 2005-03-08 Veeco Instruments Inc. Method and apparatus for manipulating a sample
US6542129B1 (en) * 2001-10-12 2003-04-01 The Boeing Company Elevation positioning cradle for microwave antenna
WO2003062742A1 (en) * 2002-01-22 2003-07-31 International Business Machines Corporation Scanning probe for data storage and microscopy
US6912892B2 (en) * 2002-04-30 2005-07-05 Hewlett-Packard Development Company, L.P. Atomic force microscope
US7098056B2 (en) 2002-08-09 2006-08-29 Nanoink, Inc. Apparatus, materials, and methods for fabrication and catalysis
US7260980B2 (en) * 2003-03-11 2007-08-28 Adams Jesse D Liquid cell and passivated probe for atomic force microscopy and chemical sensing
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040228962A1 (en) * 2003-05-16 2004-11-18 Chang Liu Scanning probe microscopy probe and method for scanning probe contact printing
WO2005001422A2 (en) * 2003-06-06 2005-01-06 The Board Of Trustees Of The University Of Illinois Sensor chip and apparatus for tactile and/or flow
US20080038538A1 (en) * 2003-11-06 2008-02-14 Brian Ruby Method of Producing Nanostructure Tips
JP2005156202A (en) * 2003-11-21 2005-06-16 Olympus Corp Cantilever for scanning type probe microscope, and manufacturing method thereof
US7230719B2 (en) * 2003-12-02 2007-06-12 National University Of Singapore High sensitivity scanning probe system
US7167435B2 (en) * 2004-03-09 2007-01-23 Hewlett-Packard Development Company, L.P. Storage device having a probe with plural tips
JP2005283188A (en) * 2004-03-29 2005-10-13 Sii Nanotechnology Inc Scanning probe microscope
JP4322722B2 (en) 2004-03-29 2009-09-02 エスアイアイ・ナノテクノロジー株式会社 Scanning probe microscope and measuring method using the microscope
KR100580652B1 (en) * 2004-08-27 2006-05-16 삼성전자주식회사 Method of fabricating semiconductor probe with resistive tip
US7253408B2 (en) 2004-08-31 2007-08-07 West Paul E Environmental cell for a scanning probe microscope
EP1877255A4 (en) * 2005-03-10 2011-03-30 Mat & Electrochem Res Corp Thin film production method and apparatus
US8057857B2 (en) * 2005-07-06 2011-11-15 Northwestern University Phase separation in patterned structures
EP1748447B1 (en) * 2005-07-28 2008-10-22 Interuniversitair Microelektronica Centrum ( Imec) Dual tip atomic force microscopy probe and method for producing such a probe
US7439501B2 (en) * 2005-08-30 2008-10-21 Georgia Tech Reasearch Corporation Direct write nanolithography using heated tip
EP1780174B1 (en) * 2005-10-27 2010-12-01 LG Electronics Inc. Nano data writing and reading apparatus using cantilever structure and fabrication method thereof
DE102005057218A1 (en) * 2005-11-29 2007-05-31 Bundesrepublik Deutschland, vertr.d.d. Bundesministerium für Wirtschaft und Technologie, d.vertr.d.d. Präsidenten der Physikalisch-Technischen Bundesanstalt Probe device e.g. scanning probe microscope for e.g. micro-electro-mechanical structure, has extension angled from cantilever and transferring movement of probe head into deflection of cantilever
NL1031573C2 (en) * 2006-04-12 2007-10-16 Univ Eindhoven Tech Measuring probe for scanning a measuring surface.
US8220317B2 (en) * 2006-04-19 2012-07-17 Northwestern University Massively parallel lithography with two-dimensional pen arrays
US7560201B2 (en) * 2006-05-24 2009-07-14 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7748260B2 (en) * 2006-07-12 2010-07-06 Veeco Instruments Inc. Thermal mechanical drive actuator, thermal probe and method of thermally driving a probe
KR100771851B1 (en) * 2006-07-21 2007-10-31 전자부품연구원 Afm cantilever having fet and method for manufacturing the same
US7526949B1 (en) * 2006-07-21 2009-05-05 The United States Of America As Represented By The Secretary Of The Army High resolution coherent dual-tip scanning probe microscope
CA2681443A1 (en) 2007-05-09 2008-11-20 Nanoink, Inc. Compact nanofabrication apparatus
US7737414B2 (en) * 2007-10-26 2010-06-15 Academia Sinica Atomically sharp iridium tip
US9183870B2 (en) * 2007-12-07 2015-11-10 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US20100229265A1 (en) * 2008-03-26 2010-09-09 Sungho Jin Probe system comprising an electric-field-aligned probe tip and method for fabricating the same
US20100147820A1 (en) * 2008-05-13 2010-06-17 Nanoink, Inc. Heated cantilever

Patent Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5443791A (en) * 1990-04-06 1995-08-22 Perkin Elmer - Applied Biosystems Division Automated molecular biology laboratory
US20050190684A1 (en) * 1995-07-24 2005-09-01 General Nanotechnology Llc Nanometer scale data storage device and associated positioning system
US5705814A (en) * 1995-08-30 1998-01-06 Digital Instruments, Inc. Scanning probe microscope having automatic probe exchange and alignment
US20050258133A9 (en) * 1996-08-12 2005-11-24 Maynard Ronald S Hybrid optical multi-axis beam steering apparatus
US5981733A (en) * 1996-09-16 1999-11-09 Incyte Pharmaceuticals, Inc. Apparatus for the chemical synthesis of molecular arrays
US6011261A (en) * 1997-03-04 2000-01-04 Canon Kabushiki Kaisha Probe formed of mono-crystalline SI, the manufacturing method thereof, and an information processing device using the probe
US6787313B2 (en) * 1997-06-20 2004-09-07 New York University Electrospray apparatus for mass fabrication of chips and libraries
US6140646A (en) * 1998-12-17 2000-10-31 Sarnoff Corporation Direct view infrared MEMS structure
US7260051B1 (en) * 1998-12-18 2007-08-21 Nanochip, Inc. Molecular memory medium and molecular memory integrated circuit
US6635311B1 (en) * 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US6827979B2 (en) * 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
US6573369B2 (en) * 1999-05-21 2003-06-03 Bioforce Nanosciences, Inc. Method and apparatus for solid state molecular analysis
US6998228B2 (en) * 1999-05-21 2006-02-14 Bioforce Nanosciences, Inc. Method and apparatus for solid state molecular analysis
US20020122873A1 (en) * 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US7008769B2 (en) * 2000-08-15 2006-03-07 Bioforce Nanosciences, Inc. Nanoscale molecular arrayer
US20030005755A1 (en) * 2001-03-02 2003-01-09 Schwartz Peter V. Enhanced scanning probe microscope
US20030007242A1 (en) * 2001-06-04 2003-01-09 Schwartz Peter V. Enhanced scanning probe microscope and nanolithographic methods using the same
US6642129B2 (en) * 2001-07-26 2003-11-04 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
US20030022470A1 (en) * 2001-07-26 2003-01-30 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
US20060228873A1 (en) * 2001-07-26 2006-10-12 The Board Of Trustees Of The University Of Illinois Electrostatic nanolithography probe actuation device and method
US6867443B2 (en) * 2001-07-26 2005-03-15 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
US20030068446A1 (en) * 2001-10-02 2003-04-10 Northwestern University Protein and peptide nanoarrays
US7361310B1 (en) * 2001-11-30 2008-04-22 Northwestern University Direct write nanolithographic deposition of nucleic acids from nanoscopic tips
US7027469B2 (en) * 2001-11-30 2006-04-11 Optitune Plc Tunable filter
US7273636B2 (en) * 2001-12-17 2007-09-25 Northwestern University Patterning of solid state features by direct write nanolithographic printing
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US7060977B1 (en) * 2002-05-14 2006-06-13 Nanoink, Inc. Nanolithographic calibration methods
US7102656B2 (en) * 2002-05-21 2006-09-05 Northwestern University Electrostatically driven lithography
US20050009206A1 (en) * 2002-05-21 2005-01-13 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
US7199305B2 (en) * 2002-08-08 2007-04-03 Nanoink, Inc. Protosubstrates
US20050235869A1 (en) * 2002-08-26 2005-10-27 Sylvain Cruchon-Dupeyrat Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US7005378B2 (en) * 2002-08-26 2006-02-28 Nanoink, Inc. Processes for fabricating conductive patterns using nanolithography as a patterning tool
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate
US20040175631A1 (en) * 2002-10-21 2004-09-09 Nanoink, Inc. Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrications
US7034854B2 (en) * 2002-11-12 2006-04-25 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
US20050287824A1 (en) * 2003-01-28 2005-12-29 Obschestvo S Ogranichennoi Otvetstvennostju Epilab ECR-plasma source and methods for treatment of semiconductor structures
US7474602B2 (en) * 2003-06-19 2009-01-06 International Business Machines Corporation Data storage device comprising write head with carbon element
US20050014001A1 (en) * 2003-07-17 2005-01-20 Dynal Biotech Asa Process
US7326380B2 (en) * 2003-07-18 2008-02-05 Northwestern University Surface and site-specific polymerization by direct-write lithography
US20060040057A1 (en) * 2004-08-18 2006-02-23 Sheehan Paul E Thermal control of deposition in dip pen nanolithography
US20080169429A1 (en) * 2005-01-27 2008-07-17 Commissariat A L'energie Atomique Microelectronic Multiple Electron Beam Emitting Device
US7497613B2 (en) * 2005-04-18 2009-03-03 Anasys Instruments Probe with embedded heater for nanoscale analysis
US20080224922A1 (en) * 2005-07-28 2008-09-18 The Regents Of The University Of California Device and method for resonant high-speed microscopic impedance probe
US7452800B2 (en) * 2005-11-09 2008-11-18 The Regents Of The University Of California Bonding a non-metal body to a metal surface using inductive heating
US20070138909A1 (en) * 2005-12-15 2007-06-21 Interuniversitair Microelektronica Centrum (Imec) Method and system for measuring physical parameters with a piezoelectric bimorph cantilever in a gaseous or liquid environment
US8256017B2 (en) * 2006-08-31 2012-08-28 Nanoink, Inc. Using optical deflection of cantilevers for alignment

Also Published As

Publication number Publication date
US8261368B2 (en) 2012-09-04
WO2009140441A3 (en) 2010-01-28
WO2010011398A3 (en) 2010-06-03
WO2009140441A2 (en) 2009-11-19
US20100089869A1 (en) 2010-04-15
WO2010011397A4 (en) 2010-08-05
US8393011B2 (en) 2013-03-05
US20100115672A1 (en) 2010-05-06
WO2010011398A2 (en) 2010-01-28
US20100100989A1 (en) 2010-04-22
WO2009140442A2 (en) 2009-11-19
US20100059475A1 (en) 2010-03-11
WO2010011397A2 (en) 2010-01-28
WO2010011397A3 (en) 2010-06-03
JP2011523047A (en) 2011-08-04
EP2291849A2 (en) 2011-03-09
WO2009140442A3 (en) 2010-01-14
WO2009140439A1 (en) 2009-11-19
WO2010011398A4 (en) 2010-07-29
US20100071098A1 (en) 2010-03-18
US20100235954A1 (en) 2010-09-16
WO2009140440A1 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
US20100147820A1 (en) Heated cantilever
Bullen et al. Parallel dip-pen nanolithography with arrays of individually addressable cantilevers
US20040227075A1 (en) Scanning probe microscopy probe and method for scanning probe contact printing
Meister et al. Nanoscale dispensing of liquids through cantilevered probes
US20090205091A1 (en) Array and cantilever array leveling
CN1599939B (en) Microstructures
US20080193678A1 (en) Attaching Method of Nano Materials Using Langmuir-Blodgett
US20100227063A1 (en) Large area, homogeneous array fabrication including substrate temperature control
US8214916B2 (en) Large area, homogeneous array fabrication including leveling with use of bright spots
EP2389613A1 (en) Large area, homogeneous array fabrication including controlled tip loading vapor deposition
US20100221505A1 (en) Large area, homogeneous array fabrication including homogeneous substrates
WO2008021614A2 (en) Coded particle arrays for high throughput analyte analysis
Samà et al. Electron beam lithography for contacting single nanowires on non-flat suspended substrates
Lee et al. Fabrication of thermal microprobes with a sub-100 nm metal-to-metal junction
JP2005283433A (en) Probe with probe element interacting with sample, manufacturing method of the probe, and measuring method and measuring device for intermolecular action using the probe
Bale et al. Microfabrication of silicon tip structures for multiple-probe scanning tunneling microscopy
Sato et al. Wafer scale direct-write of Ge and Si nanostructures with conducting stamps and a modified mask aligner
Myhra Tip-induced local anodic oxidation: Nanolithography and nanobiotechnology
WO2013059670A2 (en) Octahedral and pyramid-on-post tips for microscopy and lithography
Yapici et al. Controllable Direct" Writing" of Gold Nanostructures for Integrated Nanobiosensor Applications
Wu et al. Patterning of cantilevers with inverted dip-pen nanolithography: efforts toward combinatorial AFM
Shin et al. Micro Four-Point Probe With Metal Tip
Carrolla et al. Nanoscale RSCPublishing

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION