US20090288603A1 - Plasma and electron beam etching device and method - Google Patents

Plasma and electron beam etching device and method Download PDF

Info

Publication number
US20090288603A1
US20090288603A1 US12/534,569 US53456909A US2009288603A1 US 20090288603 A1 US20090288603 A1 US 20090288603A1 US 53456909 A US53456909 A US 53456909A US 2009288603 A1 US2009288603 A1 US 2009288603A1
Authority
US
United States
Prior art keywords
processing system
source
electron beam
semiconductor processing
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/534,569
Inventor
Neal R. Rueger
Mark J. Williamson
Gurtej S. Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/534,569 priority Critical patent/US20090288603A1/en
Publication of US20090288603A1 publication Critical patent/US20090288603A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3178Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for applying thin layers on objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/2602Details
    • H01J2237/2605Details operating at elevated pressures, e.g. atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3132Evaporating
    • H01J2237/3137Plasma-assisted co-operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31732Depositing thin layers on selected microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Definitions

  • This application relates generally to semiconductor devices and device fabrication and, more particularly, to surface processing using plasma and electron beams.
  • Semiconductor processing is used to form structures and devices such as transistors, capacitors, etc. that in turn are used to form semiconductor memory chips, processing chips, and other integrated circuits.
  • Semiconductor device uses range from personal computers, to MP 3 music players, to mobile telephones.
  • techniques that are frequently used include material deposition processes, and material removal processes such as etching. By sequentially depositing and etching in selected regions on a semiconductor wafer, devices such as transistors, etc. are eventually formed.
  • Selectively etching a semiconductor surface is a necessary step in most semiconductor processing operations.
  • Selectivity can be obtained using a number of techniques, including use of a protective mask or using chemicals that selectively react with one material over another. Although techniques exist that provide some degree of selectivity, further improvements to processes that reduce time needed to complete a step, and/or eliminate processing steps are desired to further reduce cost. Improving selectivity also provides increased precision, allowing more detailed and/or smaller structure formation.
  • FIG. 1 shows a method flow diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 2 shows a side view surface diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 3 shows a block diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 4 shows another diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 5 shows a block diagram of a semiconductor memory according to an embodiment of the invention.
  • FIG. 6 shows a block diagram of an electronic system according to an embodiment of the invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure.
  • substrate is understood to include semiconductor wafers.
  • substrate is understood to include semiconductor on insulator wafers such as silicon-on-insulator (SOI).
  • SOI silicon-on-insulator
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • FIG. 1 shows a flow diagram with a method of semiconductor surface processing according to one embodiment of the invention.
  • a semiconductor surface is included within a processing chamber, and a plasma is introduced.
  • the semiconductor surface includes one or more semiconductor wafers.
  • One processing chamber includes an in-line production chamber where wafers are passed from station to station in a vacuum.
  • a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.
  • SEM scanning electron microscope
  • the plasma is included in the chamber along with a gas source.
  • a plasma source such as a remote plasma generator is used.
  • a portion of a plasma generated from a remote plasma source may recombine in the reaction chamber.
  • plasma species will be present in the reaction chamber along with non-plasma gas species.
  • the selected plasma is capable of etching a region of the semiconductor surface.
  • the plasma and/or other gas species included in the reaction chamber are capable of dissociating into one or more species that are capable of etching a region of the semiconductor surface.
  • plasma species or gas species are chosen in one embodiment to dissociate when exposed to energies supplied by an electron beam, including, but not limited to a beam in a SEM.
  • the plasma and/or gas species includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine.
  • the plasma and/or gas species further includes carbon.
  • a species that includes carbon and fluorine as a halogen include CF 4 .
  • the plasma and/or gas species includes other species such as hydrogen or another element.
  • a gas including hydrogen is CHF 3 .
  • other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combination of elements.
  • the plasma and/or gas species are exposed to an electron beam.
  • the electron beam is generated by an electron beam source in an electron microscope such as a SEM.
  • the electron beam can be focused using electromagnetic lenses.
  • the SEM configuration also provides a system to scan the electron beam over an area of the substrate.
  • an imaging system is further included.
  • an imaging system includes devices such as a secondary electron detector.
  • One advantage of a SEM configuration includes the ability to focus and scan on only a selected portion of the substrate such as a semiconductor wafer.
  • Another advantage of a SEM configuration includes the ability to concurrently image the selected portion of the surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the semiconductor surface.
  • a material composition detection system is further included.
  • material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc.
  • FTIR Fourier transform infrared
  • a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction. Growth of such coatings will be discussed in more detail below.
  • an electron microscope is used as an example of an electron beam source, the invention is not so limited.
  • Other embodiments include an electron beam source without additional microscope elements such as lenses, rastering systems, secondary electron detectors, etc.
  • the plasma and/or gas species is at least partially dissociated into a number of reactive species.
  • the energy from the electron beam provides at least a portion of the energy necessary to dissociate the species into the number of reactive species.
  • the exact composition of the species will depend on the gas that is used. For example CF 4 gas will dissociate into a number of species such as CF 3 , CF 2 , and CF.
  • CF 4 gas will dissociate into a number of species such as CF 3 , CF 2 , and CF.
  • the energy of the electron beam can be adjusted to more effectively dissociate the species depending on the specific chemistry chosen.
  • other energetic beams such as neutron beams, x-rays, etc. are used to provide energy appropriate to dissociate the chosen gas.
  • Energetic beams such as electron beams provide an advantage in selected embodiments because they cause minimal damage to the workpiece in contrast to ion beams or other particle beams that may cause sputtering or other surface damage.
  • the plasma and/or gas species is chosen such that the reactive species selectively etch a specific material on the semiconductor surface.
  • the reactive species are chosen to etch silicon dioxide.
  • the reactive species generated from the plasma source and/or the electron beam interaction does not etch a second material such as silicon.
  • a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment the reaction may occur on both materials, however a substantial difference in reaction rate is observed.
  • a coating is deposited on a region of the semiconductor surface, while concurrently an etching reaction is occurring on another region of the semiconductor surface.
  • One example includes a silicon dioxide region that is adjacent to a silicon region.
  • a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time.
  • a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time.
  • silicon and silicon dioxide are used as examples, the invention is not so limited.
  • Other semiconductor processing materials can be selectively etched or coated using appropriate reactive species chemistry that will be appreciated by one of ordinary skill in the art, having the benefit of the present disclosure. Examples of other semiconductor materials include, but are not limited to nitride materials, spin on glass materials, or other semiconductors such as germanium, or gallium arsenide, etc.
  • the coating deposited at step 130 includes a carbon containing coating.
  • the coating includes an amount of halogen.
  • the coating can be characterized using a ratio of halogen to carbon.
  • FIG. 2 illustrates one example of a method using some of the examples listed above.
  • a chemical species 220 is shown in a reaction chamber over a substrate 210 .
  • the chemical species 220 can be generated by a plasma source such as a remote plasma generator.
  • the chemical species 220 includes a gas.
  • the reaction chamber includes two or more different species.
  • the reaction chamber includes species generated by a plasma source and gas species from a different gas source.
  • one or more of the chemical species 220 are capable of reacting with the substrate and/or an electron beam energy source.
  • the chemical species 220 includes CHF 3 .
  • the substrate 210 includes a semiconductor wafer. A first silicon region 214 and a second silicon region 216 are shown with a silicon dioxide region 218 located adjacent to the silicon regions 214 , 216 .
  • An electron beam 230 is shown directed at the substrate 210 .
  • the electron beam 230 is used to image a portion of the substrate 210 , for example in a SEM device. Additional particles 232 are also shown that are generated as a result of the electron beam 230 interaction with the surface of the substrate 210 . Additional particles 232 include, but are not limited to secondary electrons and backscattered particles. In one embodiment, additional particles 232 are used for imaging and/or material characterization.
  • the electron beam is scanned over a surface 212 of the substrate 210 and interacts with the portions of the surface 212 such as silicon regions 214 , 216 and silicon dioxide regions 218 during a scan.
  • the electron beam 230 is indicated in FIG. 2 as a line, the diameter of the electron beam 230 can vary. In selected embodiments, the electron beam diameter is small and a surface is scanned. In other selected embodiments, the electron beam diameter is large, and a larger surface area of the substrate 210 is covered without scanning. Although it is useful in selected embodiments to have the electron beam contact large regions of the substrate 210 , the invention is not so limited.
  • FIG. 2 illustrates the chemical species 220 as including a first subspecies 222 and a second subspecies 224 .
  • the illustration of two subspecies is used as an example only. In various embodiments, the chemical species 220 can be broken down into more than two subspecies. In one embodiment, the chemical species 220 reacts with the electron beam 230 and is dissociated into the first subspecies 222 and the second subspecies 224 .
  • FIG. 2 shows the second subspecies 224 etching a surface 219 of the silicon dioxide region 218 . Also shown are a first coating 240 on a top surface 215 of the first silicon region 214 , and a second coating 242 on a top surface 217 of the second silicon region 216 . In a separate reaction, one of the subspecies also forms the coatings. For example, the second subspecies 224 is shown in FIG. 2 forming the first and second coatings 240 , 242 .
  • a first subspecies example includes HF and a second subspecies includes CF 2 .
  • the CF 2 subspecies reacts with SiO 2 to form SiOF x and COX byproducts and the SiO 2 surface, such as surface 219 in FIG. 2 , is etched in the reaction.
  • the CF 2 subspecies deposits a coating on Si surfaces such as surfaces 215 and 217 of FIG. 2 .
  • the coating is deposited in a polymerization reaction.
  • An advantage of forming a coating concurrent to etching includes the ability to further enhance selectivity in an etching operation.
  • the coating serves as a sacrificial coating, and further protects the coated surface from etching.
  • selective etching is defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide.
  • the presence of a coating further reduces or eliminates any etching of the non selected material.
  • Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.
  • the coating contains both carbon and an amount of halogen such as fluorine.
  • a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. Controlling the coating chemistry further enhances desired properties such as selective etching. For example, materials with a lower ratio of halogen to carbon provide better resistance to etching.
  • the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber.
  • the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.
  • the scavenger gas includes hydrogen gas (H 2 ).
  • hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form in the coating.
  • a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as O 2 can be introduced to preferentially remove carbon from the system, forming COX gasses.
  • a noble gas is further introduced to the system.
  • noble gasses includes helium, neon, argon, krypton, xenon, and radon.
  • the addition of a noble gas further enhances the dissociation of the gas species 220 from FIG. 2 in addition to the dissociation provided by the electron beam 230 .
  • One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.
  • FIG. 3 shows a block diagram of a semiconductor processing system 300 .
  • the system 300 includes a reaction chamber 310 with an electron beam source 312 coupled to the chamber 310 .
  • the electron beam source 312 includes a focused scanning electron beam source such as provided in and SEM.
  • a vacuum pump 318 is shown coupled to the reaction chamber 310 .
  • vacuum pumps such as mechanical pumps, turbo pumps, etc. are within the scope of the invention.
  • a gas supply 316 is shown coupled to the reaction chamber 310 .
  • the gas supply 316 provides one or more gas species in selected amounts.
  • One gas includes a gas species to dissociate into etching and coating species.
  • the gas supply also provides additional gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above.
  • the gas supply includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system.
  • ALD atomic layer deposition
  • selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses.
  • ALD atomic layer deposition
  • a plasma source 315 such as a remote plasma source is coupled to the reaction chamber 310 .
  • the remote plasma source 315 provides a chemical species as discussed above to dissociate into etching and coating species.
  • a detector 314 is further included in the system 300 , such as a secondary electron detector.
  • the detector 314 is used to provide imaging capability to the system 300 such as in a scanning electron microscope configuration.
  • other detection capability is also included in detector 314 such as detection of elemental composition.
  • FIG. 4 shows a more detailed diagram of a system 400 similar to the system 300 shown in FIG. 3 .
  • the example system 400 in FIG. 4 includes a scanning electron type system 400 according to an embodiment of the invention.
  • a processing chamber 410 is shown with a workpiece 402 .
  • the workpiece includes a semiconductor device, chip, or other component.
  • a conduit 418 or other connection is shown coupling the system 400 to a vacuum device (not shown).
  • An electron source 412 is included in the system 400 to generate an electron beam 424 directed at a surface of the workpiece 402 .
  • a beam focusing lens device 420 is included to focus the electron beam 424 .
  • a scanning device 422 is further included to raster, or otherwise scan a surface of the workpiece 402 with the beam 424 .
  • a detector 414 is shown coupled to the system 400 .
  • the detector 414 includes a secondary electron detector as described above to detect secondary electrons 426 as shown in the Figure.
  • the detector 414 includes other detecting capability such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition.
  • FTIR Fourier transform infrared
  • a gas source 416 is shown coupled to the reaction chamber 410 .
  • a gas supplied by the gas source 416 includes a gas species to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region.
  • the gas source 416 provides gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. Specific gasses include, but are not limited to, H 2 , O 2 , noble gasses, and carbon and halogen gasses such as CHF 3 .
  • a tube or other directing structure 417 is included to better direct the gas or gasses over the workpiece 402 .
  • a plasma source 415 such as a remote plasma source is also coupled to the reaction chamber 410 in one example.
  • the remote plasma source 415 provides a chemical species as discussed to dissociate into one or more species that provide etching and coating.
  • one dissociated species both etches one region and coats another region.
  • One advantage of systems that include both a gas source and a plasma source includes increased density of reactive species. Systems with both a plasma source and an electron beam activated species can generate reactive species from the plasma, as well as through interactions with the electron beam.
  • reactive species may be unstable, and recombine before reacting with the workpiece surface 402 .
  • an electron beam interaction helps maintain a density of reactive species provided by a plasma source.
  • Methods of processing semiconducting wafers, semiconductor devices, IC's, surface, etc. including electron beam techniques as described above may be implemented into a wide variety of electronic devices.
  • Embodiments of these devices may include semiconductor memory, telecommunication systems, wireless systems, and computers. Further, embodiments of electronic devices may be realized as integrated circuits.
  • FIG. 5 illustrates an example of a semiconductor memory 500 formed using methods and devices described above.
  • the memory 500 includes an array of memory cells 510 such as dynamic random access memory (DRAM) cells, or flash memory cells.
  • a first sense amplifier 530 is included in one embodiment.
  • a second sense amplifier 532 is included in one embodiment.
  • Circuitry 520 is coupled between cells in the array 510 and one or more sense amplifiers to detect the state of selected cells.
  • FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 610 and a memory 630 .
  • the controller 610 or memory 630 may include structures formed by processes in accordance with the teachings herein.
  • System 600 also includes an electronic apparatus 640 and a bus 620 , where bus 620 provides electrical conductivity between controller 610 and electronic apparatus 640 , and between controller 610 and memory 630 .
  • Bus 620 may include an address, a data bus, and a control bus, each independently configured. Alternatively, bus 620 may use common conductive lines for providing address, data, or control, the use of which is regulated by controller 610 .
  • electronic apparatus 640 may be additional memory configured similar as memory 630 .
  • An embodiment may include an additional peripheral device or devices 650 coupled to bus 620 .
  • the controller 610 is a processor.
  • the controller 610 is a processor having a memory. Any of controller 610 , memory 630 , bus 620 , electronic apparatus 640 , and peripheral device devices 650 may include structures formed by processes as described in selected embodiments above.
  • System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 610 .
  • peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with the controller 610 or memory 630 , etc.
  • Memory 630 may be realized as a memory device containing structures formed by processes in accordance with various embodiments. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device.
  • Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging DRAM technologies.

Abstract

Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation.

Description

    RELATED APPLICATION
  • This Application is a Divisional of U.S. application Ser. No. 11/503,762, filed Aug. 14, 2006, which is incorporated herein its entirety by reference.
  • TECHNICAL FIELD
  • This application relates generally to semiconductor devices and device fabrication and, more particularly, to surface processing using plasma and electron beams.
  • BACKGROUND
  • Semiconductor processing is used to form structures and devices such as transistors, capacitors, etc. that in turn are used to form semiconductor memory chips, processing chips, and other integrated circuits. Semiconductor device uses range from personal computers, to MP3 music players, to mobile telephones. In the fabrication process of semiconductor structures and devices, techniques that are frequently used include material deposition processes, and material removal processes such as etching. By sequentially depositing and etching in selected regions on a semiconductor wafer, devices such as transistors, etc. are eventually formed.
  • As in any manufacturing process, reducing the time needed for a given manufacturing step or eliminating selected manufacturing steps reduces the cost of the final product. Selectively etching a semiconductor surface is a necessary step in most semiconductor processing operations. Selectivity can be obtained using a number of techniques, including use of a protective mask or using chemicals that selectively react with one material over another. Although techniques exist that provide some degree of selectivity, further improvements to processes that reduce time needed to complete a step, and/or eliminate processing steps are desired to further reduce cost. Improving selectivity also provides increased precision, allowing more detailed and/or smaller structure formation.
  • What is needed is an improved semiconductor processing method that addresses these and other concerns. What is also needed is a system to provide these methods and other processing needs. Also needed are inexpensive and high precision components formed by improved processing methods.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a method flow diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 2 shows a side view surface diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 3 shows a block diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 4 shows another diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 5 shows a block diagram of a semiconductor memory according to an embodiment of the invention.
  • FIG. 6 shows a block diagram of an electronic system according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • The following detailed description refers to the accompanying drawings that show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and chemical, structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure. The term substrate is understood to include semiconductor wafers. The term substrate is understood to include semiconductor on insulator wafers such as silicon-on-insulator (SOI). The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • FIG. 1 shows a flow diagram with a method of semiconductor surface processing according to one embodiment of the invention. In step 100, a semiconductor surface is included within a processing chamber, and a plasma is introduced. In one embodiment, the semiconductor surface includes one or more semiconductor wafers. One processing chamber includes an in-line production chamber where wafers are passed from station to station in a vacuum. In one embodiment, a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.
  • In one embodiment, the plasma is included in the chamber along with a gas source. In one embodiment only a plasma source such as a remote plasma generator is used. One of ordinary skill in the art having the benefit of the present disclosure will recognize that a portion of a plasma generated from a remote plasma source may recombine in the reaction chamber. In such an example, plasma species will be present in the reaction chamber along with non-plasma gas species. In one embodiment, the selected plasma is capable of etching a region of the semiconductor surface. In addition, in one embodiment, the plasma and/or other gas species included in the reaction chamber are capable of dissociating into one or more species that are capable of etching a region of the semiconductor surface. For example, plasma species or gas species are chosen in one embodiment to dissociate when exposed to energies supplied by an electron beam, including, but not limited to a beam in a SEM. In one embodiment, the plasma and/or gas species includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine. In one embodiment, the plasma and/or gas species further includes carbon. One example of a species that includes carbon and fluorine as a halogen include CF4. In one embodiment, the plasma and/or gas species includes other species such as hydrogen or another element. One example of a gas including hydrogen is CHF3. In one embodiment, other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combination of elements.
  • In step 110, the plasma and/or gas species are exposed to an electron beam. As discussed above, in one embodiment, the electron beam is generated by an electron beam source in an electron microscope such as a SEM. In a SEM embodiment, the electron beam can be focused using electromagnetic lenses. In one embodiment, the SEM configuration also provides a system to scan the electron beam over an area of the substrate. In one embodiment, such as a SEM embodiment, an imaging system is further included. In one embodiment, an imaging system includes devices such as a secondary electron detector.
  • One advantage of a SEM configuration includes the ability to focus and scan on only a selected portion of the substrate such as a semiconductor wafer. Another advantage of a SEM configuration includes the ability to concurrently image the selected portion of the surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the semiconductor surface.
  • In one embodiment a material composition detection system is further included. Examples of material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. In one embodiment, a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction. Growth of such coatings will be discussed in more detail below.
  • Although an electron microscope is used as an example of an electron beam source, the invention is not so limited. Other embodiments include an electron beam source without additional microscope elements such as lenses, rastering systems, secondary electron detectors, etc.
  • In step 120, the plasma and/or gas species is at least partially dissociated into a number of reactive species. In one embodiment, the energy from the electron beam provides at least a portion of the energy necessary to dissociate the species into the number of reactive species. The exact composition of the species will depend on the gas that is used. For example CF4 gas will dissociate into a number of species such as CF3, CF2, and CF. One of ordinary skill in the art, having the benefit of the present disclosure will recognize that the energy of the electron beam can be adjusted to more effectively dissociate the species depending on the specific chemistry chosen. In selected embodiments, other energetic beams such as neutron beams, x-rays, etc. are used to provide energy appropriate to dissociate the chosen gas. Energetic beams such as electron beams provide an advantage in selected embodiments because they cause minimal damage to the workpiece in contrast to ion beams or other particle beams that may cause sputtering or other surface damage.
  • In one embodiment, the plasma and/or gas species is chosen such that the reactive species selectively etch a specific material on the semiconductor surface. In one embodiment, the reactive species are chosen to etch silicon dioxide. In one embodiment, the reactive species generated from the plasma source and/or the electron beam interaction does not etch a second material such as silicon. In one embodiment, a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment the reaction may occur on both materials, however a substantial difference in reaction rate is observed.
  • In step 130, a coating is deposited on a region of the semiconductor surface, while concurrently an etching reaction is occurring on another region of the semiconductor surface. One example includes a silicon dioxide region that is adjacent to a silicon region. In one embodiment, a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time. Further, in one embodiment, a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time. Although silicon and silicon dioxide are used as examples, the invention is not so limited. Other semiconductor processing materials can be selectively etched or coated using appropriate reactive species chemistry that will be appreciated by one of ordinary skill in the art, having the benefit of the present disclosure. Examples of other semiconductor materials include, but are not limited to nitride materials, spin on glass materials, or other semiconductors such as germanium, or gallium arsenide, etc.
  • In one embodiment, the coating deposited at step 130 includes a carbon containing coating. In one embodiment, the coating includes an amount of halogen. Using such an example, the coating can be characterized using a ratio of halogen to carbon.
  • FIG. 2 illustrates one example of a method using some of the examples listed above. A chemical species 220 is shown in a reaction chamber over a substrate 210. The chemical species 220 can be generated by a plasma source such as a remote plasma generator. In one embodiment, the chemical species 220 includes a gas. Although for illustration purposes one form of chemical species 220 is shown in FIG. 2, the invention is not so limited. In one embodiment, the reaction chamber includes two or more different species. In one example, the reaction chamber includes species generated by a plasma source and gas species from a different gas source. In one embodiment, one or more of the chemical species 220 are capable of reacting with the substrate and/or an electron beam energy source.
  • In one embodiment, the chemical species 220 includes CHF3. In one embodiment, the substrate 210 includes a semiconductor wafer. A first silicon region 214 and a second silicon region 216 are shown with a silicon dioxide region 218 located adjacent to the silicon regions 214, 216.
  • An electron beam 230 is shown directed at the substrate 210. As discussed above, in one embodiment the electron beam 230 is used to image a portion of the substrate 210, for example in a SEM device. Additional particles 232 are also shown that are generated as a result of the electron beam 230 interaction with the surface of the substrate 210. Additional particles 232 include, but are not limited to secondary electrons and backscattered particles. In one embodiment, additional particles 232 are used for imaging and/or material characterization.
  • In one embodiment, the electron beam is scanned over a surface 212 of the substrate 210 and interacts with the portions of the surface 212 such as silicon regions 214, 216 and silicon dioxide regions 218 during a scan. Although the electron beam 230 is indicated in FIG. 2 as a line, the diameter of the electron beam 230 can vary. In selected embodiments, the electron beam diameter is small and a surface is scanned. In other selected embodiments, the electron beam diameter is large, and a larger surface area of the substrate 210 is covered without scanning. Although it is useful in selected embodiments to have the electron beam contact large regions of the substrate 210, the invention is not so limited.
  • FIG. 2 illustrates the chemical species 220 as including a first subspecies 222 and a second subspecies 224. The illustration of two subspecies is used as an example only. In various embodiments, the chemical species 220 can be broken down into more than two subspecies. In one embodiment, the chemical species 220 reacts with the electron beam 230 and is dissociated into the first subspecies 222 and the second subspecies 224.
  • FIG. 2 shows the second subspecies 224 etching a surface 219 of the silicon dioxide region 218. Also shown are a first coating 240 on a top surface 215 of the first silicon region 214, and a second coating 242 on a top surface 217 of the second silicon region 216. In a separate reaction, one of the subspecies also forms the coatings. For example, the second subspecies 224 is shown in FIG. 2 forming the first and second coatings 240, 242.
  • Using CHF3 gas as a gas species 220 example, a first subspecies example includes HF and a second subspecies includes CF2. In the example, the CF2 subspecies reacts with SiO2 to form SiOFx and COX byproducts and the SiO2 surface, such as surface 219 in FIG. 2, is etched in the reaction. Further, in the example, the CF2 subspecies deposits a coating on Si surfaces such as surfaces 215 and 217 of FIG. 2. In one embodiment, the coating is deposited in a polymerization reaction. An advantage of using a carbon and halogen containing gas includes the ability to both etch and deposit a coating concurrently. Specifically with SiO2 and Si surfaces present, the carbon is needed in the chemical reaction to etch SiO2 and the carbon further provides material to form the coating.
  • An advantage of forming a coating concurrent to etching includes the ability to further enhance selectivity in an etching operation. In one embodiment, the coating serves as a sacrificial coating, and further protects the coated surface from etching. As discussed above, in one embodiment, selective etching is defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide. The presence of a coating further reduces or eliminates any etching of the non selected material. Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.
  • As mentioned above, in one embodiment, the coating contains both carbon and an amount of halogen such as fluorine. In one embodiment, a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. Controlling the coating chemistry further enhances desired properties such as selective etching. For example, materials with a lower ratio of halogen to carbon provide better resistance to etching. In one embodiment, the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber. In one embodiment, the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.
  • In one embodiment, the scavenger gas includes hydrogen gas (H2). In a carbon—fluorine gas example, hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form in the coating. In one embodiment, a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as O2 can be introduced to preferentially remove carbon from the system, forming COX gasses.
  • In one embodiment, a noble gas is further introduced to the system. Examples of noble gasses includes helium, neon, argon, krypton, xenon, and radon. In one embodiment, the addition of a noble gas further enhances the dissociation of the gas species 220 from FIG. 2 in addition to the dissociation provided by the electron beam 230. One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.
  • FIG. 3 shows a block diagram of a semiconductor processing system 300. The system 300 includes a reaction chamber 310 with an electron beam source 312 coupled to the chamber 310. In one embodiment, the electron beam source 312 includes a focused scanning electron beam source such as provided in and SEM. A vacuum pump 318 is shown coupled to the reaction chamber 310. One of ordinary skill in the art having the benefit of the present disclosure will recognize that a number of possible vacuum pumps such as mechanical pumps, turbo pumps, etc. are within the scope of the invention.
  • A gas supply 316 is shown coupled to the reaction chamber 310. In one embodiment, the gas supply 316 provides one or more gas species in selected amounts. One gas includes a gas species to dissociate into etching and coating species. In selected embodiments, the gas supply also provides additional gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. In one embodiment, the gas supply includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system. For example, selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses. One of ordinary skill in the art having the benefit of the present disclosure will recognize that ALD gas choice depends on the chemistry of the surface where layer deposition is desired.
  • In one embodiment, a plasma source 315 such as a remote plasma source is coupled to the reaction chamber 310. In one embodiment, the remote plasma source 315 provides a chemical species as discussed above to dissociate into etching and coating species.
  • In one embodiment, a detector 314 is further included in the system 300, such as a secondary electron detector. In one embodiment, the detector 314 is used to provide imaging capability to the system 300 such as in a scanning electron microscope configuration. In one embodiment, other detection capability is also included in detector 314 such as detection of elemental composition.
  • FIG. 4 shows a more detailed diagram of a system 400 similar to the system 300 shown in FIG. 3. The example system 400 in FIG. 4 includes a scanning electron type system 400 according to an embodiment of the invention. A processing chamber 410 is shown with a workpiece 402. As discussed above, in one embodiment, the workpiece includes a semiconductor device, chip, or other component. A conduit 418 or other connection is shown coupling the system 400 to a vacuum device (not shown). An electron source 412 is included in the system 400 to generate an electron beam 424 directed at a surface of the workpiece 402. In one embodiment, a beam focusing lens device 420 is included to focus the electron beam 424. In one embodiment, a scanning device 422 is further included to raster, or otherwise scan a surface of the workpiece 402 with the beam 424.
  • A detector 414 is shown coupled to the system 400. In one embodiment, the detector 414 includes a secondary electron detector as described above to detect secondary electrons 426 as shown in the Figure. In one embodiment, the detector 414 includes other detecting capability such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition.
  • A gas source 416 is shown coupled to the reaction chamber 410. As discussed in selected embodiments above, an example of a gas supplied by the gas source 416 includes a gas species to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region. In selected embodiments, the gas source 416 provides gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. Specific gasses include, but are not limited to, H2, O2, noble gasses, and carbon and halogen gasses such as CHF3. In one embodiment, a tube or other directing structure 417 is included to better direct the gas or gasses over the workpiece 402.
  • A plasma source 415 such as a remote plasma source is also coupled to the reaction chamber 410 in one example. In one embodiment, the remote plasma source 415 provides a chemical species as discussed to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region. One advantage of systems that include both a gas source and a plasma source includes increased density of reactive species. Systems with both a plasma source and an electron beam activated species can generate reactive species from the plasma, as well as through interactions with the electron beam.
  • Further, in selected chemical systems, reactive species may be unstable, and recombine before reacting with the workpiece surface 402. In one embodiment, an electron beam interaction helps maintain a density of reactive species provided by a plasma source.
  • Methods of processing semiconducting wafers, semiconductor devices, IC's, surface, etc. including electron beam techniques as described above may be implemented into a wide variety of electronic devices. Embodiments of these devices may include semiconductor memory, telecommunication systems, wireless systems, and computers. Further, embodiments of electronic devices may be realized as integrated circuits.
  • FIG. 5 illustrates an example of a semiconductor memory 500 formed using methods and devices described above. The memory 500 includes an array of memory cells 510 such as dynamic random access memory (DRAM) cells, or flash memory cells. A first sense amplifier 530 is included in one embodiment. A second sense amplifier 532 is included in one embodiment. Circuitry 520 is coupled between cells in the array 510 and one or more sense amplifiers to detect the state of selected cells.
  • FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 610 and a memory 630. The controller 610 or memory 630 may include structures formed by processes in accordance with the teachings herein. System 600 also includes an electronic apparatus 640 and a bus 620, where bus 620 provides electrical conductivity between controller 610 and electronic apparatus 640, and between controller 610 and memory 630. Bus 620 may include an address, a data bus, and a control bus, each independently configured. Alternatively, bus 620 may use common conductive lines for providing address, data, or control, the use of which is regulated by controller 610. In one embodiment, electronic apparatus 640 may be additional memory configured similar as memory 630. An embodiment may include an additional peripheral device or devices 650 coupled to bus 620. In one embodiment, the controller 610 is a processor. In one embodiment, the controller 610 is a processor having a memory. Any of controller 610, memory 630, bus 620, electronic apparatus 640, and peripheral device devices 650 may include structures formed by processes as described in selected embodiments above. System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 610. Alternatively, peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with the controller 610 or memory 630, etc.
  • Memory 630 may be realized as a memory device containing structures formed by processes in accordance with various embodiments. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device. Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging DRAM technologies.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations of embodiments of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description. The scope of the present invention includes any other applications in which embodiment of the above structures and fabrication methods are used. The scope of the embodiments of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (17)

1 A semiconductor processing system, comprising:
a reaction chamber;
a focused electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber;
a number of chemical source devices, including:
a plasma source coupled to the reaction chamber;
a gas source coupled to the reaction chamber;
wherein, when in operation, the focused electron beam interacts with chemicals provided by at least one of the chemical source devices to create reactive species.
2. The semiconductor processing system of claim 1, further including a beam rastering system and a secondary electron detector to image the surface.
3. The semiconductor processing system of claim 1, wherein the gas source includes a noble gas source.
4. The semiconductor processing system of claim 1, wherein the gas source includes a scavenger gas source to selectively remove halogen species from the reaction chamber.
5. The semiconductor processing system of claim 1, wherein a scavenger gas source includes H2 gas.
6. The semiconductor processing system of claim 1, wherein the plasma source includes carbon and a halogen.
7. The semiconductor processing system of claim 1, wherein the gas source includes a carbon-halogen gas source.
8. A semiconductor processing system, comprising:
a reaction chamber;
a focused electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber;
a number of chemical source devices, including:
a plasma source coupled to the reaction chamber;
a gas source coupled to the reaction chamber;
wherein, when in operation, the focused electron beam interacts with chemicals provided by at least one of the chemical source devices to create reactive species and concurrently deposit a coating on the semiconductor surface.
9. The semiconductor processing system of claim 8, wherein the plasma source includes a fluorine plasma source.
10. The semiconductor processing system of claim 8, wherein the gas source includes both a carbon-fluorine gas and a noble gas source.
11. The semiconductor processing system of claim 10, wherein the gas source further includes a hydrogen gas source.
12. The semiconductor processing system of claim 10, wherein the gas source further includes an oxygen gas source.
13. A semiconductor processing system, comprising:
a reaction chamber;
a focused electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber;
rastering and imaging hardware to scan the semiconductor surface and image selected surface topography;
a number of chemical source devices, including:
a plasma source coupled to the reaction chamber;
a gas source coupled to the reaction chamber;
wherein, when in operation, the focused electron beam interacts with chemicals provided by at least one of the chemical source devices to create reactive species and concurrently deposit a coating on the semiconductor surface.
14. The semiconductor processing system of claim 13, wherein the rastering and imaging hardware includes a secondary electron detector.
15. The semiconductor processing system of claim 13, further including elemental detection hardware.
16. The semiconductor processing system of claim 13, wherein the gas source includes a CF4 source.
17. The semiconductor processing system of claim 13, wherein the gas source includes a CHF3 source.
US12/534,569 2006-08-14 2009-08-03 Plasma and electron beam etching device and method Abandoned US20090288603A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/534,569 US20090288603A1 (en) 2006-08-14 2009-08-03 Plasma and electron beam etching device and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/503,762 US7569484B2 (en) 2006-08-14 2006-08-14 Plasma and electron beam etching device and method
US12/534,569 US20090288603A1 (en) 2006-08-14 2009-08-03 Plasma and electron beam etching device and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/503,762 Division US7569484B2 (en) 2006-08-14 2006-08-14 Plasma and electron beam etching device and method

Publications (1)

Publication Number Publication Date
US20090288603A1 true US20090288603A1 (en) 2009-11-26

Family

ID=39051344

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/503,762 Expired - Fee Related US7569484B2 (en) 2006-08-14 2006-08-14 Plasma and electron beam etching device and method
US12/534,569 Abandoned US20090288603A1 (en) 2006-08-14 2009-08-03 Plasma and electron beam etching device and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/503,762 Expired - Fee Related US7569484B2 (en) 2006-08-14 2006-08-14 Plasma and electron beam etching device and method

Country Status (1)

Country Link
US (2) US7569484B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US20080038863A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Profiling solid state samples
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US8414787B2 (en) 2006-08-14 2013-04-09 Micron Technology, Inc. Electron beam processing device and method using carbon nanotube emitter
US10707086B2 (en) 2018-01-18 2020-07-07 Applied Materials, Inc. Etching methods
US10790153B2 (en) 2018-06-29 2020-09-29 Applied Materials, Inc. Methods and apparatus for electron beam etching process

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US7833427B2 (en) * 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US5155053A (en) * 1991-05-28 1992-10-13 Hughes Aircraft Company Method of forming t-gate structure on microelectronic device substrate
US5419822A (en) * 1989-02-28 1995-05-30 Raytheon Company Method for applying a thin adherent layer
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5622567A (en) * 1992-11-30 1997-04-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US5976328A (en) * 1996-01-26 1999-11-02 Hitachi, Ltd. Pattern forming method using charged particle beam process and charged particle beam processing system
US6214183B1 (en) * 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6309972B1 (en) * 1998-12-02 2001-10-30 Stmicroelectronics S.R.L. Method of enhancing protection of dielectrics from plasma induced damages and equipment
US6787783B2 (en) * 2002-12-17 2004-09-07 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US20050072753A1 (en) * 2002-10-16 2005-04-07 Koops Hans Wilfried Peter Procedure for etching of materials at the surface with focussed electron beam induced chemical reaction at said surface
US20050139291A1 (en) * 2003-04-18 2005-06-30 Jfe Steel Corporation Zinc hot dip galvanized steel plate excellent in press formability and method for production thereof
US20060154477A1 (en) * 2005-01-12 2006-07-13 Quain Geng Polymer spacer formation
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US20060201911A1 (en) * 2003-06-17 2006-09-14 Lam Research Corporation Methods of etching photoresist on substrates
US20060276056A1 (en) * 2005-04-05 2006-12-07 Nantero, Inc. Nanotube articles with adjustable electrical conductivity and methods of making the same
US20070228002A1 (en) * 2006-03-31 2007-10-04 Qiquan Geng Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US20070264831A1 (en) * 2006-01-12 2007-11-15 Kla-Tencor Technologies Corporation Use of ion implantation in chemical etching
US20080038933A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Plasma and electron beam etching device and method
US20110139368A1 (en) * 2006-07-10 2011-06-16 Williamson Mark J Apparatus and systems for integrated circuit diagnosis
US8026501B2 (en) * 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US5419822A (en) * 1989-02-28 1995-05-30 Raytheon Company Method for applying a thin adherent layer
US5155053A (en) * 1991-05-28 1992-10-13 Hughes Aircraft Company Method of forming t-gate structure on microelectronic device substrate
US5622567A (en) * 1992-11-30 1997-04-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5976328A (en) * 1996-01-26 1999-11-02 Hitachi, Ltd. Pattern forming method using charged particle beam process and charged particle beam processing system
US6309972B1 (en) * 1998-12-02 2001-10-30 Stmicroelectronics S.R.L. Method of enhancing protection of dielectrics from plasma induced damages and equipment
US6214183B1 (en) * 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US20050072753A1 (en) * 2002-10-16 2005-04-07 Koops Hans Wilfried Peter Procedure for etching of materials at the surface with focussed electron beam induced chemical reaction at said surface
US6787783B2 (en) * 2002-12-17 2004-09-07 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair
US20050139291A1 (en) * 2003-04-18 2005-06-30 Jfe Steel Corporation Zinc hot dip galvanized steel plate excellent in press formability and method for production thereof
US20060201911A1 (en) * 2003-06-17 2006-09-14 Lam Research Corporation Methods of etching photoresist on substrates
US20060154477A1 (en) * 2005-01-12 2006-07-13 Quain Geng Polymer spacer formation
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US20060276056A1 (en) * 2005-04-05 2006-12-07 Nantero, Inc. Nanotube articles with adjustable electrical conductivity and methods of making the same
US20070264831A1 (en) * 2006-01-12 2007-11-15 Kla-Tencor Technologies Corporation Use of ion implantation in chemical etching
US20070228002A1 (en) * 2006-03-31 2007-10-04 Qiquan Geng Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US20110139368A1 (en) * 2006-07-10 2011-06-16 Williamson Mark J Apparatus and systems for integrated circuit diagnosis
US8026501B2 (en) * 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging
US20080038933A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Plasma and electron beam etching device and method
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US8026501B2 (en) 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US8809074B2 (en) 2006-07-10 2014-08-19 Micron Technology, Inc. Method for integrated circuit diagnosis
US8821682B2 (en) 2006-07-10 2014-09-02 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US20080038863A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Profiling solid state samples
US8389415B2 (en) 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
US8414787B2 (en) 2006-08-14 2013-04-09 Micron Technology, Inc. Electron beam processing device and method using carbon nanotube emitter
US8609542B2 (en) 2006-08-14 2013-12-17 Micron Technology, Inc. Profiling solid state samples
US10707086B2 (en) 2018-01-18 2020-07-07 Applied Materials, Inc. Etching methods
US10790153B2 (en) 2018-06-29 2020-09-29 Applied Materials, Inc. Methods and apparatus for electron beam etching process

Also Published As

Publication number Publication date
US7569484B2 (en) 2009-08-04
US20080038933A1 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
US7718080B2 (en) Electronic beam processing device and method using carbon nanotube emitter
US7833427B2 (en) Electron beam etching device and method
US7569484B2 (en) Plasma and electron beam etching device and method
US11302519B2 (en) Method of patterning a low-k dielectric film
US8987139B2 (en) Method of patterning a low-k dielectric film
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
CN115394641A (en) Nitrogen-containing compounds for etching semiconductor structures
US11367832B2 (en) Method of making magnetoresistive random access memory device
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
JPH10233489A (en) Manufacture of capacitor of semiconductor device
JP2023531687A (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US6335284B1 (en) Metallization process for manufacturing semiconductor devices
JP3894747B2 (en) Method of performing anisotropic plasma etching using fluorine chemicals that are non-chlorofluorocarbons
US20070093069A1 (en) Purge process after dry etching
US6877517B2 (en) Plasma etch method for forming plasma etched silicon layer
CN110571150B (en) Etching method of high-aspect-ratio opening and semiconductor device
KR20230057348A (en) etching method
Mathad et al. Plasma processing for silicon-based integrated circuits
US20070202704A1 (en) Method for etching platinum and method for fabricating capacitor using the same
TW202410176A (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures
WO2023224950A1 (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures
US20090197421A1 (en) Chemistry and compositions for manufacturing integrated circuits
Tsuji AVS2015 Session PS+ SS+ TF-FrM: Atomic Layer Etching (ALE) and Low-Damage Processes II
US20110070711A1 (en) Method for manufacturing nano-crystalline silicon material from chloride chemistries for the semiconductor integrated circuits

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION