US20090075445A1 - Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress - Google Patents

Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress Download PDF

Info

Publication number
US20090075445A1
US20090075445A1 US12/313,368 US31336808A US2009075445A1 US 20090075445 A1 US20090075445 A1 US 20090075445A1 US 31336808 A US31336808 A US 31336808A US 2009075445 A1 US2009075445 A1 US 2009075445A1
Authority
US
United States
Prior art keywords
layer
germanium
compressive stress
silicon
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/313,368
Inventor
Jack Kavalieros
Justin K. Brask
Mark L. Doczy
Matthew V. Metz
Suman Datta
Brian S. Doyle
Robert S. Chau
Everett X. Wang
Philippe Matagne
Lucian Shifren
Been Y. Jin
Mark Stettler
Martin D. Giles
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/313,368 priority Critical patent/US20090075445A1/en
Publication of US20090075445A1 publication Critical patent/US20090075445A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Definitions

  • This invention relates generally to the fabrication of integrated circuits.
  • FIG. 1 is an enlarged, cross-sectional view of an NMOS transistor at an early stage of manufacture
  • FIG. 2 is an enlarged, cross-sectional view of a PMOS transistor at an early stage of manufacture
  • FIG. 3 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 1 in accordance with one embodiment of the present invention
  • FIG. 4 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 2 in accordance with one embodiment of the present invention
  • FIG. 5 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 3 in accordance with one embodiment of the present invention
  • FIG. 6 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 4 in accordance with one embodiment of the present invention
  • FIG. 7 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 6 in accordance with one embodiment of the present invention.
  • FIG. 8 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 7 in accordance with one embodiment of the present invention.
  • FIG. 9 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 8 in accordance with one embodiment of the present invention.
  • FIG. 10 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 9 in accordance with one embodiment of the present invention.
  • FIG. 11 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 5 in accordance with one embodiment of the present invention.
  • a silicon substrate 12 may be covered by a graded buffer layer 14 .
  • the buffer layer 14 may be formed of silicon germanium of the formula Si 1-x Ge x where x is from 0.05 to 0.3.
  • the buffer layer 14 may be epitaxially grown, while gradually increasing the concentration of germanium.
  • the germanium concentration is highest at the top of the layer 14 , lowest at the bottom, and linearly increases from bottom to top in one embodiment.
  • a constant concentration silicon germanium buffer layer 16 Over the layer 14 may be deposited a constant concentration silicon germanium buffer layer 16 .
  • this layer 16 may have a thickness of from 2000 to 10,000 Angstroms.
  • the layer 16 may have a constant germanium concentration substantially equal to that of the highest germanium level of the layer 14 , in one embodiment.
  • a tensile strained silicon layer 18 is formed thereover. Shallow trench isolations 20 may be provided as well.
  • the structure 10 b shown in FIG. 1 , will be utilized to form both NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit technology.
  • the gradient of germanium in the graded buffer layer 14 can vary depending on the thickness and final germanium concentration. In some embodiments, the concentration of germanium in the graded layer 14 extends from about zero percent at the bottom to about 40 percent at the top. Other percentages may be utilized in different situations.
  • the layer 14 functions to achieve a relaxed silicon germanium layer and to reduce dislocation formation due to mismatch in the lattice constraints between silicon and the silicon germanium.
  • the constant germanium concentration silicon germanium buffer layer 16 further stabilizes the structure.
  • the tensile strained silicon layer 18 may be grown.
  • the strained nature of the layer 18 is limited by the critical layer thickness associated with the concentration of germanium in the underlying buffer layer 16 .
  • the PMOS structure 10 a may be fabricated, as shown in FIG. 2 .
  • the PMOS structure 10 a may initially have the same components as the NMOS structure 10 b.
  • a hard mask 21 may be deposited over the tensile strained silicon layer 18 on the NMOS side 10 b and PMOS side 10 a.
  • a hard mask etch and resist removal may be utilized to remove the tensile strained silicon 18 and the hard mask 21 on the PMOS transistor structure 10 a as shown in FIG. 4 .
  • the selective etch may use 5 to 8 percent NH 4 OH with a pH between about 10.2 and 10.4 at a temperature between 20° C. and 27° C. in one embodiment.
  • the resulting structure has the tensile strained silicon removed on the PMOS side 10 a .
  • the NMOS side 10 b is still covered by the hard mask 21 ( FIG. 3 ).
  • the selective wet etch of the strained silicon layer 18 is such that nucleophillic binding energy of silicon is surpassed and an etch of the silicon layer 18 is effected.
  • the nucleophillic binding energy may be only about 0.5 kJ/mol too little to solubilize the germanium to the corresponding aqueous species, so the layer 16 is preserved.
  • a compressively strained silicon germanium layer 28 is deposited as shown in FIG. 6 on the PMOS side 10 a .
  • the silicon germanium may be of the formula Si 1-y Ge y , where y is greater than x.
  • the higher concentration y means the layer 28 has a larger lattice than the underlying layers, resulting in compressive strain applied upwardly by the layers 14 and 16 to biaxially compress the layer 28 .
  • the layer 28 may be selectively grown on the PMOS side 10 a only and not on the NMOS side 10 b as indicated in FIG. 5 because only the NMOS side 10 b was covered by the hard mask 21 at the time the layer 28 was deposited.
  • the fabrication of the PMOS transistor proceeds as shown in FIGS. 7-11 .
  • a silicon dioxide gate oxide 30 may be deposited in one embodiment.
  • the gate oxide 30 may be covered by a gate material 34 , such as polysilicon, in turn covered by a hard mask 34 for patterning. Then the gate material 34 and gate oxide 30 are patterned to generate the FIG. 7 structure on the PMOS side 10 a (and the same structure is created on the NMOS side 10 b with the layer 18 replacing the layer 28 ).
  • a nitride spacer material may be deposited and anisotropically etched on both NMOS and PMOS sides to form the spacers 36 .
  • a trench 24 is formed through the layer 28 and into the layer 16 , as shown in FIG. 9 .
  • the trench 24 may be formed by reactive ion etching using SF 6 chemistry. The etching is constrained by the isolation 20 on one side and may isotropically undercut the gate structure on the other side. As a result, an isotropic etch profile may be achieved on the inward edges of the trench 24 as shown in FIG. 9 .
  • the NMOS side 10 a may be covered by an oxide mask (not shown).
  • an epitaxial silicon germanium source drain 40 may be grown which fills the trench 24 and extends thereabove as indicated at FIG. 10 .
  • the trench 24 may be filled using silicon germanium having 10-40 atomic percent germanium.
  • Source drain doping may be done by insitu doping using a diborane source.
  • the epitaxial source drain 40 only grows in the trench 24 because all other material is masked or covered. The source drain 40 is raised and continues to grow until the facets meet.
  • the fabrication of the NMOS transistor 10 b proceeds correspondingly. However, a conventionally non-epitaxially grown deeper source drain (not shown) may be created.
  • the PMOS device 10 a may have both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress.
  • the Si 1-y Ge y layer 28 acts as a channel and is grown on a relaxed Si 1-x Ge x buffer layer 16 with x less than y to produce in-plane biaxial compressive stress.
  • a silicon germanium epitaxial source drain 40 produces uniaxial compressive stress in the channel ⁇ 110> crystallographic direction.
  • the source drain 40 has a higher germanium concentration than the layer 14 so the source drain 40 pushes inwardly from the sides compressing layer 28 . With this combination of stress, higher mobility and, thus, higher device performance may be achieved compared to using either of the stresses alone in some embodiments.
  • the device may be engineered to produce such stress through an epitaxial silicon germanium source drain 40 and a silicon germanium layered structure. Then, a graded silicon germanium buffer layer 14 may be grown on the silicon substrate 12 followed by a relaxed Si 1-x Ge x layer 16 as shown in FIG. 10 . Then, a thin Si 1-y Ge y layer 28 is grown to form a biaxial compressive strained channel.
  • the uniaxial stress is produced by the epitaxial source drain process using epitaxial Si 1-z Ge z grown in recessed source drain regions 40 . Selecting the germanium fractions so that x is less than y and z is less than x achieves the desired compressive states.
  • the mobility gain may remain high even as vertical field (gate field) is applied in some embodiments.
  • more head room may be provided to increase performance before the device hits the physical stress limit in some embodiments.
  • holes may stay in their lowest transport effective mass in the ⁇ 110> channel direction where scattering suppression is also the strongest.
  • Silicon band structure has a minimum at the gamma point. It also has twelve wings in (0, + ⁇ 1, + ⁇ 1), (+ ⁇ 1, 0, + ⁇ 1) and (+ ⁇ 1, + ⁇ 1, 0) directions. Ideally, almost all of the holes are placed in two wings in the (1, ⁇ 1, 0) and ( ⁇ 1, 1, 0) direction to achieve the lowest possible transport effective mass in the channel direction. This can be achieved by applying both uniaxial compressive and biaxial compressive stress.
  • the biaxial compressive stress lowers the energy level of the four in-plane wings and removes holes from the eight off plane wings, placing them in the four in-plane wings.
  • the four in-plane wings not only have smaller effective mass, but also have smaller density states, which leads to a reduction of scattering.
  • the greatest mobility enhancement happens when the uniaxial compressive stress along the channel direction is added to the biaxial compressed device.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A transistor may be formed of different layers of silicon germanium, a lowest layer having a graded germanium concentration and upper layers having constant germanium concentrations such that the lowest layer is of the form Si1-xGex. The highest layer may be of the form Si1-yGey on the PMOS side. A source and drain may be formed of epitaxial silicon germanium of the form Si1-zGez on the PMOS side. In some embodiments, x is greater than y and z is greater than x in the PMOS device. Thus, a PMOS device may be formed with both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress. This combination of stress may result in higher mobility and increased device performance in some cases.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This is a divisional application of U.S. patent application Ser. No. 11/078,267, filed Mar. 11, 2005.
  • BACKGROUND
  • This invention relates generally to the fabrication of integrated circuits.
  • To increase performance of NMOS and PMOS deep sub-micron transistors in CMOS technology, current state-of-the-art technology uses compressive stress in the channel of the PMOS transistors, and tensile stress in the case of NMOS transistors. This is usually achieved by substrate induced strain which is a very expensive technology option and is also difficult to implement using a single substrate approach.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an enlarged, cross-sectional view of an NMOS transistor at an early stage of manufacture;
  • FIG. 2 is an enlarged, cross-sectional view of a PMOS transistor at an early stage of manufacture;
  • FIG. 3 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 1 in accordance with one embodiment of the present invention;
  • FIG. 4 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 2 in accordance with one embodiment of the present invention;
  • FIG. 5 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 3 in accordance with one embodiment of the present invention;
  • FIG. 6 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 4 in accordance with one embodiment of the present invention;
  • FIG. 7 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 6 in accordance with one embodiment of the present invention;
  • FIG. 8 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 7 in accordance with one embodiment of the present invention;
  • FIG. 9 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 8 in accordance with one embodiment of the present invention;
  • FIG. 10 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 9 in accordance with one embodiment of the present invention; and
  • FIG. 11 is an enlarged, cross-sectional view at a stage subsequent to the stage shown in FIG. 5 in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a silicon substrate 12 may be covered by a graded buffer layer 14. The buffer layer 14 may be formed of silicon germanium of the formula Si1-xGex where x is from 0.05 to 0.3. In one embodiment, the buffer layer 14 may be epitaxially grown, while gradually increasing the concentration of germanium. Thus, the germanium concentration is highest at the top of the layer 14, lowest at the bottom, and linearly increases from bottom to top in one embodiment.
  • Over the layer 14 may be deposited a constant concentration silicon germanium buffer layer 16. In one embodiment of the present invention, this layer 16 may have a thickness of from 2000 to 10,000 Angstroms. The layer 16 may have a constant germanium concentration substantially equal to that of the highest germanium level of the layer 14, in one embodiment.
  • A tensile strained silicon layer 18 is formed thereover. Shallow trench isolations 20 may be provided as well. In one embodiment of the present invention, the structure 10 b, shown in FIG. 1, will be utilized to form both NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit technology.
  • The gradient of germanium in the graded buffer layer 14 can vary depending on the thickness and final germanium concentration. In some embodiments, the concentration of germanium in the graded layer 14 extends from about zero percent at the bottom to about 40 percent at the top. Other percentages may be utilized in different situations. The layer 14 functions to achieve a relaxed silicon germanium layer and to reduce dislocation formation due to mismatch in the lattice constraints between silicon and the silicon germanium. The constant germanium concentration silicon germanium buffer layer 16 further stabilizes the structure.
  • The tensile strained silicon layer 18 may be grown. The strained nature of the layer 18 is limited by the critical layer thickness associated with the concentration of germanium in the underlying buffer layer 16.
  • At the same time, the PMOS structure 10 a may be fabricated, as shown in FIG. 2. The PMOS structure 10 a may initially have the same components as the NMOS structure 10 b.
  • Thereafter, as shown in FIG. 3, a hard mask 21 may be deposited over the tensile strained silicon layer 18 on the NMOS side 10 b and PMOS side 10 a.
  • Then, a hard mask etch and resist removal may be utilized to remove the tensile strained silicon 18 and the hard mask 21 on the PMOS transistor structure 10 a as shown in FIG. 4. The selective etch may use 5 to 8 percent NH4OH with a pH between about 10.2 and 10.4 at a temperature between 20° C. and 27° C. in one embodiment. The resulting structure has the tensile strained silicon removed on the PMOS side 10 a. The NMOS side 10 b is still covered by the hard mask 21 (FIG. 3).
  • The selective wet etch of the strained silicon layer 18 is such that nucleophillic binding energy of silicon is surpassed and an etch of the silicon layer 18 is effected. However, the nucleophillic binding energy may be only about 0.5 kJ/mol too little to solubilize the germanium to the corresponding aqueous species, so the layer 16 is preserved.
  • Then, a compressively strained silicon germanium layer 28 is deposited as shown in FIG. 6 on the PMOS side 10 a. The silicon germanium may be of the formula Si1-yGey, where y is greater than x. The higher concentration y means the layer 28 has a larger lattice than the underlying layers, resulting in compressive strain applied upwardly by the layers 14 and 16 to biaxially compress the layer 28.
  • The layer 28 may be selectively grown on the PMOS side 10 a only and not on the NMOS side 10 b as indicated in FIG. 5 because only the NMOS side 10 b was covered by the hard mask 21 at the time the layer 28 was deposited.
  • The fabrication of the PMOS transistor proceeds as shown in FIGS. 7-11. On both the NMOS and PMOS sides a silicon dioxide gate oxide 30 may be deposited in one embodiment. The gate oxide 30 may be covered by a gate material 34, such as polysilicon, in turn covered by a hard mask 34 for patterning. Then the gate material 34 and gate oxide 30 are patterned to generate the FIG. 7 structure on the PMOS side 10 a (and the same structure is created on the NMOS side 10 b with the layer 18 replacing the layer 28).
  • Then, separate tip implants I (FIG. 7) and standard lithographic patterning form the lightly doped source drain regions 39 on both NMOS and PMOS sides (FIG. 8). A nitride spacer material may be deposited and anisotropically etched on both NMOS and PMOS sides to form the spacers 36.
  • On the PMOS side 10 a only, a trench 24 is formed through the layer 28 and into the layer 16, as shown in FIG. 9. The trench 24 may be formed by reactive ion etching using SF6 chemistry. The etching is constrained by the isolation 20 on one side and may isotropically undercut the gate structure on the other side. As a result, an isotropic etch profile may be achieved on the inward edges of the trench 24 as shown in FIG. 9. During this step the NMOS side 10 a may be covered by an oxide mask (not shown).
  • Then, an epitaxial silicon germanium source drain 40 may be grown which fills the trench 24 and extends thereabove as indicated at FIG. 10. The trench 24 may be filled using silicon germanium having 10-40 atomic percent germanium. Source drain doping may be done by insitu doping using a diborane source. The epitaxial source drain 40 only grows in the trench 24 because all other material is masked or covered. The source drain 40 is raised and continues to grow until the facets meet.
  • The fabrication of the NMOS transistor 10 b, shown in FIG. 11, proceeds correspondingly. However, a conventionally non-epitaxially grown deeper source drain (not shown) may be created.
  • The PMOS device 10 a may have both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress. The Si1-yGey layer 28 acts as a channel and is grown on a relaxed Si1-xGex buffer layer 16 with x less than y to produce in-plane biaxial compressive stress. In addition, a silicon germanium epitaxial source drain 40 produces uniaxial compressive stress in the channel <110> crystallographic direction. The source drain 40 has a higher germanium concentration than the layer 14 so the source drain 40 pushes inwardly from the sides compressing layer 28. With this combination of stress, higher mobility and, thus, higher device performance may be achieved compared to using either of the stresses alone in some embodiments.
  • Once the optimal stress condition is known, the device may be engineered to produce such stress through an epitaxial silicon germanium source drain 40 and a silicon germanium layered structure. Then, a graded silicon germanium buffer layer 14 may be grown on the silicon substrate 12 followed by a relaxed Si1-xGex layer 16 as shown in FIG. 10. Then, a thin Si1-yGey layer 28 is grown to form a biaxial compressive strained channel.
  • The uniaxial stress is produced by the epitaxial source drain process using epitaxial Si1-zGez grown in recessed source drain regions 40. Selecting the germanium fractions so that x is less than y and z is less than x achieves the desired compressive states.
  • The mobility gain may remain high even as vertical field (gate field) is applied in some embodiments. In addition, more head room may be provided to increase performance before the device hits the physical stress limit in some embodiments. With the provision of combined stress, holes may stay in their lowest transport effective mass in the <110> channel direction where scattering suppression is also the strongest. Silicon band structure has a minimum at the gamma point. It also has twelve wings in (0, +−1, +−1), (+−1, 0, +−1) and (+−1, +−1, 0) directions. Ideally, almost all of the holes are placed in two wings in the (1, −1, 0) and (−1, 1, 0) direction to achieve the lowest possible transport effective mass in the channel direction. This can be achieved by applying both uniaxial compressive and biaxial compressive stress.
  • The biaxial compressive stress lowers the energy level of the four in-plane wings and removes holes from the eight off plane wings, placing them in the four in-plane wings. The four in-plane wings not only have smaller effective mass, but also have smaller density states, which leads to a reduction of scattering. The greatest mobility enhancement happens when the uniaxial compressive stress along the channel direction is added to the biaxial compressed device.
  • According to simulation, when hole-optical phonon and surface roughness scattering occurs, most of the holes stay only in the wings along (1, −1, 0) and (−1, 1, 0), which has the smallest transport effective mass in the channel direction. Since only two wings are occupied, the density of states is also greatly reduced, enhancing scattering suppression. As a result, the combination stressed device may have higher mobility.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (12)

1. A method comprising:
forming a PMOS transistor having both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress, including a first layer under said gate electrode of Si1-yGey and a second layer under said first layer, said second layer having Si1-xGex where x is less than y.
2. The method of claim 1 including forming a substrate covered by a first layer having an increasing concentration of germanium extending upwardly through the layer.
3. The method of claim 2 including covering said first layer with a second layer of constant germanium concentration.
4. The method of claim 3 including forming a source and drain of epitaxial silicon germanium of the form Si1-zGez.
5. The method of claim 4 including making z greater than x and x less than y.
6. The method of claim 1 including forming uniaxial compressive stress in the channel direction by forming a silicon germanium epitaxial source drain.
7. The method of claim 1 including forming in-plane biaxial compressive stress by depositing a silicon germanium layer as a channel having the form Si1-yGey and forming an underlying buffer layer of the form Si1-xGex where x is less than y.
8. The method of claim 1 including forming NMOS and PMOS transistors at the same time.
9. The method of claim 8 including forming a graded germanium concentration silicon germanium buffer layer, covering said buffer layer with a layer of silicon germanium of constant germanium concentration, and covering said constant germanium concentration layer with a tensile strained silicon layer on both the NMOS and PMOS sides.
10. The method of claim 9 including selectively removing the tensile strained biaxial silicon layer on the PMOS side.
11. The method of claim 10 including selectively removing the tensile strained silicon layer using about 5 to 8 percent NH4OH with a pH between about 10.2 and 10.4 at a temperature between about 20° C. and 27° C.
12. The method of claim 10 including removing said tensile strained silicon layer on the PMOS side using an etchant that solubilizes the tensile strained silicon layer but does not solubilize underlying layers having higher germanium concentrations.
US12/313,368 2005-03-11 2008-11-19 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress Abandoned US20090075445A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/313,368 US20090075445A1 (en) 2005-03-11 2008-11-19 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/078,267 US7470972B2 (en) 2005-03-11 2005-03-11 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US12/313,368 US20090075445A1 (en) 2005-03-11 2008-11-19 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/078,267 Division US7470972B2 (en) 2005-03-11 2005-03-11 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress

Publications (1)

Publication Number Publication Date
US20090075445A1 true US20090075445A1 (en) 2009-03-19

Family

ID=36636953

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/078,267 Active 2025-04-14 US7470972B2 (en) 2005-03-11 2005-03-11 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US12/313,368 Abandoned US20090075445A1 (en) 2005-03-11 2008-11-19 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/078,267 Active 2025-04-14 US7470972B2 (en) 2005-03-11 2005-03-11 Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress

Country Status (3)

Country Link
US (2) US7470972B2 (en)
TW (1) TWI307127B (en)
WO (1) WO2006099198A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080054366A1 (en) * 2006-09-06 2008-03-06 Fujitsu Limited CMOS semiconductor device having tensile and compressive stress films
DE102010064291A1 (en) * 2010-12-28 2012-06-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Transistors having large ε metal gate electrode structures comprising a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
US20120223389A1 (en) * 2011-03-03 2012-09-06 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US20140175513A1 (en) * 2012-12-21 2014-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure And Method For Integrated Devices On Different Substartes With Interfacial Engineering
US20140264374A1 (en) * 2013-03-14 2014-09-18 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US9397166B2 (en) 2011-12-20 2016-07-19 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7479422B2 (en) * 2006-03-10 2009-01-20 Freescale Semiconductor, Inc. Semiconductor device with stressors and method therefor
US7825400B2 (en) * 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7897493B2 (en) * 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
JP2008171999A (en) * 2007-01-11 2008-07-24 Toshiba Corp Semiconductor device and its manufacturing method
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
US8299453B2 (en) * 2009-03-03 2012-10-30 International Business Machines Corporation CMOS transistors with silicon germanium channel and dual embedded stressors
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
SG193516A1 (en) 2011-03-28 2013-10-30 Applied Materials Inc Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
DE112011105926T5 (en) 2011-12-09 2014-09-18 Intel Corporation Load compensation in transistors
KR102069275B1 (en) * 2013-06-07 2020-01-22 삼성전자주식회사 Semiconductor device having strained channel layer and method of manufacturing the same
US9502420B1 (en) * 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
KR102452925B1 (en) * 2018-02-23 2022-10-07 삼성전자주식회사 Semiconductor device and method for fabricating the same
US20220271162A1 (en) * 2021-02-19 2022-08-25 Qualcomm Incorporated P-type field effect transistor (pfet) on a silicon germanium (ge) buffer layer to increase ge in the pfet source and drain to increase compression of the pfet channel and method of fabrication

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008289A1 (en) * 2000-07-24 2002-01-24 Junichi Murota Mosfet with strained channel layer
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US20050045905A1 (en) * 2003-08-29 2005-03-03 International Business Machines Corporation Ultra high-speed si/sige modulation-doped field effect transistors on ultra thin soi/sgoi substrate
US20050106850A1 (en) * 2000-12-04 2005-05-19 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs
US20050242340A1 (en) * 2004-03-23 2005-11-03 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US20060014366A1 (en) * 2002-06-07 2006-01-19 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US20060145264A1 (en) * 2005-01-05 2006-07-06 Internaional Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US7145167B1 (en) * 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US7348259B2 (en) * 2001-04-04 2008-03-25 Massachusetts Institute Of Technology Method of fabricating a semiconductor structure that includes transferring one or more material layers to a substrate and smoothing an exposed surface of at least one of the material layers
US7375385B2 (en) * 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7582891B2 (en) * 2004-09-16 2009-09-01 Arizona Board Of Regents, A Corporate Body Organized Under Arizona Law, Acting On Behalf Of Arizona State University Materials and optical devices based on group IV quantum wells grown on Si-Ge-Sn buffered silicon

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
KR100487566B1 (en) * 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
KR100552058B1 (en) * 2004-01-06 2006-02-20 삼성전자주식회사 Semiconductor devices having field effect transistors and methods of fabricating the same
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US7037795B1 (en) * 2004-10-15 2006-05-02 Freescale Semiconductor, Inc. Low RC product transistors in SOI semiconductor process

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US7145167B1 (en) * 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US20020008289A1 (en) * 2000-07-24 2002-01-24 Junichi Murota Mosfet with strained channel layer
US20050106850A1 (en) * 2000-12-04 2005-05-19 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETs
US7348259B2 (en) * 2001-04-04 2008-03-25 Massachusetts Institute Of Technology Method of fabricating a semiconductor structure that includes transferring one or more material layers to a substrate and smoothing an exposed surface of at least one of the material layers
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US20060014366A1 (en) * 2002-06-07 2006-01-19 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US20040026765A1 (en) * 2002-06-07 2004-02-12 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7375385B2 (en) * 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US20050045905A1 (en) * 2003-08-29 2005-03-03 International Business Machines Corporation Ultra high-speed si/sige modulation-doped field effect transistors on ultra thin soi/sgoi substrate
US20050242340A1 (en) * 2004-03-23 2005-11-03 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7582891B2 (en) * 2004-09-16 2009-09-01 Arizona Board Of Regents, A Corporate Body Organized Under Arizona Law, Acting On Behalf Of Arizona State University Materials and optical devices based on group IV quantum wells grown on Si-Ge-Sn buffered silicon
US20060145264A1 (en) * 2005-01-05 2006-07-06 Internaional Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080054366A1 (en) * 2006-09-06 2008-03-06 Fujitsu Limited CMOS semiconductor device having tensile and compressive stress films
DE102010064291A1 (en) * 2010-12-28 2012-06-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Transistors having large ε metal gate electrode structures comprising a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
US8343826B2 (en) 2010-12-28 2013-01-01 Globalfoundries Inc. Method for forming a transistor comprising high-k metal gate electrode structures including a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
DE102010064291B4 (en) * 2010-12-28 2013-06-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of fabricating transistors having large ε metal gate electrode structures with a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
US20120223389A1 (en) * 2011-03-03 2012-09-06 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8525271B2 (en) * 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US9111785B2 (en) 2011-03-03 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor structure with improved channel stack and method for fabrication thereof
US9397166B2 (en) 2011-12-20 2016-07-19 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same
US10103263B2 (en) 2011-12-20 2018-10-16 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same
US9698265B2 (en) 2011-12-20 2017-07-04 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same
US20140175513A1 (en) * 2012-12-21 2014-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure And Method For Integrated Devices On Different Substartes With Interfacial Engineering
US9362123B2 (en) * 2012-12-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated devices on different substartes with interfacial engineering
US20140264374A1 (en) * 2013-03-14 2014-09-18 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US11721547B2 (en) * 2013-03-14 2023-08-08 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device

Also Published As

Publication number Publication date
WO2006099198A1 (en) 2006-09-21
TW200644128A (en) 2006-12-16
US7470972B2 (en) 2008-12-30
US20060205167A1 (en) 2006-09-14
TWI307127B (en) 2009-03-01

Similar Documents

Publication Publication Date Title
US7470972B2 (en) Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US7915685B2 (en) Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
KR100810012B1 (en) Structure and method of making strained channel cmos transistors having lattice-mismatched epitaxial extension and source and drain regions
US8076194B2 (en) Method of fabricating metal oxide semiconductor transistor
US8912567B2 (en) Strained channel transistor and method of fabrication thereof
US7221025B2 (en) Semiconductor on insulator substrate and devices formed therefrom
US9401424B2 (en) High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7439110B2 (en) Strained HOT (hybrid orientation technology) MOSFETs
JP4808618B2 (en) Integrated circuit having strained semiconductor CMOS transistor with lattice mismatched source and drain regions and fabrication method
US7118952B2 (en) Method of making transistor with strained source/drain
US8502319B2 (en) Semiconductor device and production method thereof
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US20070155063A1 (en) Tensile strained NMOS transistor using group III-N source/drain regions
US20100187578A1 (en) Stress enhanced transistor devices and methods of making
TWI680502B (en) Semiconductor device and method of manufacturing the same
JP4888118B2 (en) Semiconductor device manufacturing method and semiconductor device
US20060118878A1 (en) CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
KR20050092803A (en) Method for manufacturing mos fet

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION