US20090017635A1 - Apparatus and method for processing a substrate edge region - Google Patents

Apparatus and method for processing a substrate edge region Download PDF

Info

Publication number
US20090017635A1
US20090017635A1 US12/171,708 US17170808A US2009017635A1 US 20090017635 A1 US20090017635 A1 US 20090017635A1 US 17170808 A US17170808 A US 17170808A US 2009017635 A1 US2009017635 A1 US 2009017635A1
Authority
US
United States
Prior art keywords
substrate
gas
electrode
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/171,708
Inventor
Ashish Shah
Ganesh Balasubramanian
Dale R. Du Bois
Mark A. Fodor
Eui Kyoon Kim
Chiu Chan
Karthik Janakiraman
Thomas Nowak
Joseph C. Werner
Visweswaren Sivaramakrishnan
Mohamad Ayoub
Amir Al-Bayati
Jianhua Zhou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/171,708 priority Critical patent/US20090017635A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, CHIU, KIM, EUI KYOON, AL-BAYATI, AMIR, JANAKIRAMAN, KARTHIK, SIVARAMAKRISHNAN, VISWESWAREN, NOWAK, THOMAS, AYOUB, MOHAMAD, DU BOIS, DALE R, FODOR, MARK A, SHAH, ASHISH, WERNER, JOSEPH C., ZHOU, JIANHUA, BALASUBRAMANIAN, GANESH
Publication of US20090017635A1 publication Critical patent/US20090017635A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Definitions

  • Embodiments of the invention generally relate to apparatus and methods for processing semiconductor substrates. More particularly, embodiments of the present invention relate to apparatus and methods for processing a substrate near an edge region.
  • FIG. 1A is a partial cross-sectional view showing the desired profile for a deposition layer 102 formed on a substrate 101 .
  • the deposition layer 102 is uniformly deposited across a top surface of the substrate 101 and there is no deposition within an edge exclusion area 103 .
  • an actual deposition profile generally differs from the ideal configuration illustrated in FIG. 1A .
  • FIG. 1A is a partial cross-sectional view showing the desired profile for a deposition layer 102 formed on a substrate 101 .
  • the deposition layer 102 is uniformly deposited across a top surface of the substrate 101 and there is no deposition within an edge exclusion area 103 .
  • an actual deposition profile generally differs from the ideal configuration illustrated in FIG. 1A .
  • FIG. 1B is a partial cross-sectional view showing an actual surface profile of a deposition layer 102 a on the substrate 101 after having undergone a CVD or PECVD deposition.
  • the deposition layer 102 a typically extends to the edge exclusion area 103 , and a bevel edge 104 with extra thickness may be formed near the edge exclusion area 103 .
  • FIG. 1C is a partial cross-sectional view showing one conventional approach that proposes to use a shadow ring 105 .
  • the shadow ring 105 is usually arranged at a location that overlaps and covers at least one portion of the edge exclusion area 103 of the substrate 101 .
  • a deposition layer 102 b gradually reduces under the shadow of the shadow ring 105 .
  • the shadow ring 105 can currently achieve thickness uniformity up to a 3.5 mm-wide edge exclusion area, the requirement for thickness non-uniformity has to be reduced to a 2 mm-wide edge exclusion area owing to increasingly shrinking device dimensions. As a result of the smaller edge exclusion area, the conventional approach using the shadow ring 105 to prevent deposition at the edge area may not provide satisfactory result.
  • an apparatus adapted for etching at a substrate edge region comprises a chamber body having a process volume, a substrate support arranged inside the process volume and having a substrate support surface, a plasma generator configured to supply an etching agent in a plasma phase to a peripheral region of the substrate support surface, and a gas delivery assembly coupled to a gas source for generating a radial gas flow over the substrate support surface from an approximately central region of the substrate support surface toward the peripheral region of the substrate support surface.
  • a method of etching at a substrate edge region comprises placing a substrate on a substrate support inside a process chamber, wherein the substrate has a top surface, a central region and an edge region, providing an etching agent in a plasma phase at the edge region of the substrate, and forming a radial gas flow on the top surface of the substrate from the central region toward the edge region.
  • FIG. 1A illustrates a desired profile for a deposition layer at a peripheral region of a substrate.
  • FIG. 1B illustrates a profile actually obtained for a deposition layer at a peripheral region of a substrate.
  • FIG. 1C illustrates one conventional approach using a shadow ring to prevent the formation of a deposition film at the peripheral region of the substrate.
  • FIG. 2A is a schematic cross-sectional view showing one embodiment of a system adapted for etching at a substrate edge region.
  • FIG. 2B is a partial cross-sectional view showing a variant embodiment of the gas delivery assembly shown in FIG. 2A .
  • FIG. 3A is schematic cross-sectional view showing one embodiment of a chamber system that integrates a plasma generator inside the process chamber.
  • FIGS. 3B and 3C are partial cross-sectional views showing two variant embodiments integrating a plasma generator inside a gas delivery assembly.
  • FIG. 4A is a partial cross-sectional view of a chamber system that places a plasma generator adjacent to the peripheral region of a substrate support.
  • FIGS. 4B and 4C are partial cross-sectional views illustrating two variant embodiments of the example shown in FIG. 4A .
  • Embodiments described herein relate to an apparatus and method for processing a substrate edge region that are applicable for various chamber systems configured to process a substrate.
  • chamber systems include, without limitations, loadlock chambers, testing chambers, deposition chambers, etching chambers, and thermal treatment chambers.
  • FIG. 2A is a schematic cross-sectional view of one embodiment of a substrate edge processing system 200 .
  • the substrate edge processing system 200 includes a process chamber 202 that is respectively coupled to a plasma generation source, such as a remote plasma source (“RPS”) 204 via a first entry port 206 , and a purge gas source 208 via a second entry port 210 .
  • the process chamber 202 has walls 212 and a bottom 214 that partially define a process volume 216 .
  • the process volume 216 may be accessed through an access port (not shown) formed in the walls 212 that facilitate movement of a substrate 220 into and out of the process chamber 202 .
  • the walls 212 and bottom 214 may be fabricated from a unitary block of aluminum or other material compatible with processing.
  • the walls 212 support a lid assembly 222 , and also include the assembly of a liner 224 through which the process chamber 202 may be evacuated uniformly along the periphery of the processing volume 216 by a vacuum pump 2
  • a substrate support assembly 230 may be centrally disposed within the process chamber 202 .
  • the support assembly 230 may be temperature controlled.
  • the support assembly 230 may support a substrate 220 during processing.
  • the support assembly 230 comprises a support base 232 made of aluminum that may encapsulate at least one embedded heater 234 operable to controllably heat the support assembly 230 and the substrate 220 positioned thereon to a predetermined temperature.
  • the support assembly 230 may operate to maintain the substrate 220 at a temperature between about 150 degrees Celsius to about 1000 degrees Celsius, depending on the processing parameters for the material being processed.
  • the support base 232 may have an upper side 236 A and a lower side 236 B.
  • the upper side 236 A that supports the substrate 220 has a surface area smaller than the substrate 220 , so that a peripheral edge region of the substrate 220 remains free of contact with the support base 232 to facilitate its processing, such as etching, or cleaning.
  • the lower side 236 B may have a stem 238 coupled thereto.
  • the stem 238 couples the support assembly 230 to a lift system 240 that moves the support assembly 230 vertically between an elevated processing position and a lowered position that facilitates substrate transfer to and from the process chamber 202 .
  • the stem 238 additionally provides a conduit for electrical and thermocouple leads between the support assembly 230 and other components of the system 200 .
  • a bellows 242 may be coupled between the stem 238 and the bottom 214 of the process chamber 202 .
  • the bellows 242 provides a vacuum seal between the process volume 216 and the atmosphere outside the process chamber 202 while facilitating vertical movement of the support assembly 230 .
  • the support base 232 also includes a plurality of openings 246 through which lift pins 248 are movably mounted.
  • the lift pins 248 are operable to move between a first position and a second position.
  • the first position shown in FIG. 2 , allows the substrate 220 to rest on the upper side 236 A of the support base 232 .
  • the second position (not shown) lifts the substrate 220 above the support base 232 so that the substrate 220 can be transferred to a substrate handling robot coming through an access port (not shown).
  • Upward/downward movements of the lift pins 248 may be driven by a movable plate 250 .
  • the support assembly 230 may also comprise a centering mechanism 260 operable to center the substrate 220 relative to a vertical reference axis Z perpendicular to the substrate support plane of the support base 232 .
  • the centering mechanism 260 comprises three or more movable centering fingers 262 positioned at a periphery of the support base 232 , and an opposing plate 264 placed below the fingers 262 .
  • Each finger 262 is pivotally mounted on the support base 232 via a shaft 266 .
  • the opposing plate 264 and the support base 232 are relatively movable so that the opposing plate 264 may contact and pivot the fingers 262 in a release position and stay free from the fingers 262 in a centering position.
  • the opposing plate 264 may be stationary and the relative movement between the support base 232 and the opposing plate 264 is due to the vertical movement of the support base 232 .
  • the fingers 262 engage on the peripheral edge of the substrate 220 to center the substrate 220 when the support assembly 230 is in an elevated position as shown in FIG. 2A , and disengage from the peripheral edge of the substrate 220 when the support assembly 230 is in a lowered position (not shown).
  • Detailed description of similar centering assembly may be found in U.S. patent application Ser. No. 12/171,594, entitled APPARATUS AND METHOD FOR CENTERING A SUBSTRATE IN A PROCESS CHAMBER, (attorney docket No. 11997), filed Jul. 11, 2008, which is herein incorporated by reference.
  • the lid assembly 222 provides an upper boundary to the process volume 216 .
  • the lid assembly 222 may be removed or opened to service the process chamber 202 .
  • the lid assembly 222 may be fabricated from aluminum.
  • a gas delivery assembly 270 is coupled to an interior side of the lid assembly 222 .
  • the gas delivery assembly 270 includes a gas bowl 272 that has an outer wall 274 , inner wall 276 and bottom 278 .
  • the shape of the bottom 278 may be configured to substantially follow the profile of the substrate 220 .
  • the gas bowl 272 includes a first gas distribution circuit coupled to the first entry port 206 , and a second gas distribution circuit coupled to the second entry port 210 .
  • the first gas distribution circuit includes a plenum 280 A partially delimited between the outer wall 274 , inner wall 276 and bottom 278 , and a plurality of slits 282 formed through a peripheral region of the bottom 278 and connected to the plenum 280 A.
  • the slits 282 may be angled outwards to avoid gas flow toward a center portion of the substrate.
  • the second gas distribution circuit includes a gas conduit 280 B that is delimited by the inner walls 276 and has an end opened to a central region of the process volume 216 .
  • the gas flow provided along the second gas distribution circuit is configured from center to edge to protect unprocessed region.
  • the remote plasma source 204 provides an etching agent in a plasma phase that flows into the plenum 280 A via the first entry port 206 , and applied on the edge region of the substrate 220 through the slits 282 .
  • the etching agent may be selectively chosen according to the material of the deposition film to etch. Examples of etching agents may include, without limitation, ionized NF 3 , O 2 , F 2 , or SF 6 .
  • the purge gas source 208 provides an inert gas that enters the process volume 216 via the second entry port 210 and gas conduit 280 B, and then flows radially on the top surface of the substrate 220 from its central region toward its peripheral edge region.
  • Suitable inert gases may include, without limitation, Ar or He. The radial flow of the inert gas acts to limit the action area of the etching agent to the peripheral region of the substrate 220 .
  • FIG. 2B is a partial cross-sectional view showing a variant embodiment of the gas bowl 272 , in which the second gas distribution circuit coupled to the second entry port 210 may include a plenum 280 C that is defined between the inner wall 276 and a central portion 277 of the bottom 278 .
  • the inert gas provided from the purge gas source 208 enters the plenum 280 C via the second entry port 210 , and flows into the process volume 216 via an aperture 279 formed through the central portion 277 of the bottom 278 .
  • alternate embodiments may integrate a plasma generator in the process chamber. More specifically, some variant embodiments may define a plasma volume inside the process chamber, but away from the substrate edge. The plasma volume receives a flow of processing gas, which is excited as it passes between ground and RF electrodes placed in the plasma volume. In other embodiments, the plasma may be struck in-situ at the substrate edge region, where an RF electrode is placed adjacent to the peripheral area of the substrate support used as ground electrode. More details of the embodiments integrating a plasma generator in the process chamber are described below in conjunction with FIGS. 3A-3C and FIGS. 4A-4C .
  • FIG. 3A is a schematic cross-sectional view showing one embodiment of a chamber system 300 that integrates a plasma generator inside the process chamber.
  • the chamber system 300 is configured to process an entire substrate and to process an edge region by generating plasma differently.
  • the system 300 includes a process chamber 302 that is respectively coupled to an etching gas source 304 via a first entry port 306 , and a purge gas source 308 via a second entry port 310 .
  • the second entry port 310 may also be coupled to a deposition gas source 311 that introduces a process gas into the process chamber 302 for forming various deposition films.
  • the walls 312 and bottom 314 of the process chamber 302 partially define a process volume 316 in which a substrate 320 may undergo deposition or etching processes.
  • the walls 312 support a lid assembly 322 , and also include the assembly of a liner 324 through which the process chamber 302 may be evacuated by a vacuum pump 326 .
  • the substrate 320 is supported on a support assembly 330 , which includes a support base 332 that is temperature controlled by a heater 333 .
  • the support base 332 is coupled to a lift system 334 via a stem 336 that moves the support assembly 330 vertically.
  • a bellows 338 may also be coupled between the stem 336 and the bottom 314 of the process chamber 302 to provide a vacuum seal between the process volume 316 and the atmosphere outside the process chamber 302 .
  • the support base 332 also includes a plurality of openings 339 through which lift pins 340 are movably mounted, driven by a movable plate 342 .
  • a centering mechanism 350 may also be provided to center the substrate 320 , which includes pivotal centering fingers 352 positioned at a periphery of the support base 332 , and an opposing plate 354 placed below the fingers 352 .
  • An example of operation of the centering mechanism 350 has been described above in conjunction with FIG. 2A .
  • a gas delivery assembly 360 is coupled to an interior side of the lid assembly 322 .
  • the gas delivery assembly 360 includes a gas bowl 362 that is connected to a switchable power source 364 operable to selectively apply various potential biases to the gas bowl 362 , including an RF potential bias, DC potential bias, AC potential bias, or a ground potential.
  • the gas bowl 362 has an outer wall 365 , an inner wall 366 and a bottom 368 .
  • the shape of the bottom 368 may be configured to substantially follow the profile of the substrate 320 that rests between the support assembly 330 and the gas delivery assembly 360 .
  • the gas bowl 362 includes a first gas distribution circuit coupled to the first entry port 306 , and a second gas distribution circuit coupled to the second entry port 310 .
  • the first gas distribution circuit includes a plasma generation volume 370 A partially delimited between the outer wall 365 , inner wall 366 and a peripheral portion of the bottom 368 , and a plurality of slits 372 formed through the peripheral portion of the bottom 368 and connected to the plasma generation volume 370 A.
  • the plasma generation volume 370 A which is connected to the first entry port 306 , includes an electrode 373 that is coupled to a RF power source 375 .
  • the electrode 373 is sandwiched between isolator materials 377 , and has an exposed surface 380 that is spaced apart from a facing inner side 382 of the outer wall 365 .
  • the outer wall 356 serves as a counter electrode to the electrode 373 during plasma generation. The distance between the counter electrode and the electrode 373 varies at different locations which make it easy to strike a plasma therebetween.
  • the second gas distribution circuit includes a plenum 370 B that is surrounded by the plasma generation volume 370 A.
  • the plenum 370 B which is connected to the second entry port 310 , is partially delimited between the inner wall 366 and a perforated portion 384 of the bottom 368 .
  • the perforated portion 384 includes a plurality of apertures 386 through which gases received in the plenum 370 B flow into the process volume 316 .
  • the plenum 370 B receives a process gas from the deposition gas source 311 , and the substrate 320 is heated. While the support assembly 330 is grounded, the switchable power source 364 applies an RF potential bias to the gas bowl 362 , such as the bottom 368 , such that a plasma is generated from the process gas present in the process volume 316 between the support assembly 330 and the gas delivery assembly 360 . The entire substrate is exposed to the plasma and being processed, for example a film may be deposited on the substrate.
  • the RF power from the switchable power source 364 , the composition of the process gas, and the thermal conditions applied to the substrate 320 may be set in accordance with the type of deposition film to form on the substrate 320 .
  • the deposition film may include an advanced patterning film (“APF”) including amorphous carbon.
  • APF advanced patterning film
  • the APF may be deposited at a substrate temperature between about 200° C. and 1500° C., while a process gas including propylene (C 3 H 6 ) as carbon source is introduced in the process volume 316 .
  • the process gas may include additional chemical elements to include in the APF, such as a nitrogen (N 2 ) and doping elements.
  • RF power from about 500 W to about 1500 W may be applied in the chamber at a frequency of about 13.56 MHz.
  • the etching function may be used to remove undesirable portions of the deposition film in the edge region of the substrate 320 .
  • the plasma generation volume 370 A receives an etching gas from the etching gas source 304 via the first entry port 306 .
  • the gas bowl 362 may be grounded,such that RF power supplied by the RF power source 375 to the electrode 373 excites the etching gas present in the plasma generation volume 370 A between the exposed surface 380 of the electrode 373 and the inner side 382 of the outer wall 365 .
  • the inner side 382 may include a slant portion 388 that is inclined relative to the exposed surface 380 of the electrode 373 .
  • An etching agent in a plasma phase is thereby formed from the etching gas in the plasma generation volume 370 A.
  • the etching agent then is flowed via the slits 372 into the process volume 316 to etch a portion of the deposition film at the peripheral edge region of the substrate 320 .
  • a bias potential may be applied to the counter electrode, i.e. the gas bowl 362 with a DC or AC potential bias from the switchable power 364 .
  • the bias potential By adjusting the bias potential, the bombarding strength of the plasma may be adjusted to a desirable level.
  • the support assembly 330 may be biased with a DC or AC potential to adjust the bombarding strength of the plasma.
  • the plenum 370 B receives a purge gas from the purge gas source 308 , which may include any inert gases such as Ar or He.
  • the purge gas enters the process volume 316 through the apertures 386 , and then flows radially on the top surface of the substrate 320 toward its peripheral edge region to limit the diffusion of the etching agent supplied via the slits 372 .
  • FIGS. 3B and 3C are partial cross-sectional views of variant embodiments integrating a plasma generator inside the gas delivery assembly 360 .
  • the plasma generation volume 370 A shown in FIG. 3B includes an electrode 374 that is sandwiched between isolator materials 377 and is spaced apart from the inner side 382 of the outer wall 365 .
  • the exposed surface of the electrode 374 includes a slant portion 390 that faces the slant portion 388 on the inner side 382 of the outer wall 365 .
  • the inclination angles of the slant portions 390 and 388 are designed to set a varying distance there between to promote the formation of the plasma when the electrode 374 and the gas bowl 362 are electrically biased.
  • the electrode 374 may include a hole 381 through which the etching gas introduced in the plasma generation volume 370 A can reach the other side of the electrode 374 .
  • FIG. 3C illustrates another embodiment of the plasma generator provided in the gas delivery assembly 360 .
  • the electrode 376 coupled to the RF power source 375 includes a through-hole 392 that is coupled to the first entry port 306 .
  • the processing gas is delivered via the through-hole 392 inside the electrode 376 into the plasma generation volume 370 A.
  • the gas bowl 362 may be either grounded or applied with a DC or AC potential bias, such that RF power supplied to the electrode 376 excites the etching gas present in the plasma generation volume 370 A.
  • FIGS. 3A-3C illustrate diverse plasma generators that are incorporated in the gas delivery assembly
  • alternate embodiments described below may also arrange the plasma generator in a position outside the gas delivery assembly.
  • FIG. 4A is a partial cross-sectional view of a chamber system 400 that places a plasma generator adjacent to the peripheral region of the support assembly.
  • the chamber system 400 includes a process chamber 402 that is coupled to an etching gas source 404 via a first entry port 406 , and a purge gas source 408 via a second entry port 410 .
  • the first and second entry ports 406 and 410 are formed through a lid assembly 420 that is supported on walls 415 of the process chamber 402 .
  • the walls 415 also include the assembly of a liner 422 through which a process volume 416 of the process chamber 402 may be evacuated by a vacuum pump (not shown).
  • a gas delivery assembly 430 is coupled to an interior side of the lid assembly 420 , above a support base 432 on which a substrate 434 may be placed to undergo edge etching.
  • the gas delivery assembly 430 includes a gas bowl 442 that has an outer wall 444 , inner wall 446 and a bottom 448 .
  • the gas bowl 442 is coupled to a switchable power source 443 .
  • the shape of the bottom 448 may be configured to substantially follow the profile of the substrate 434 .
  • the gas bowl 442 includes a first gas distribution circuit coupled to the first entry port 406 , and a second gas distribution circuit coupled to the second entry port 410 .
  • the first gas distribution circuit includes a plenum 450 A partially delimited between the outer wall 444 , inner wall 446 and the bottom 448 , and a plurality of slits 452 formed through a peripheral region of the bottom 448 and connected to the plenum 450 A.
  • the second gas distribution circuit includes a gas conduit 450 B that is surrounded by the inner wall 446 and has an end opened approximately at a central region of the process volume 416 .
  • the plasma generator includes an electrode 460 sandwiched between isolator materials 462 , which are arranged adjacent to the outer wall 444 of the gas bowl 442 .
  • the electrode 460 is coupled to a RF power source 464 , and includes a slant end 466 positioned proximate to the outer wall 444 and a peripheral portion of the support base 432 .
  • the etching gas source 404 provides an etching gas that flows through the first entry port 406 into the plenum 450 A, and then passes through the slits 452 into the peripheral region of the process volume 416 where the slant end 466 of the electrode 460 is positioned.
  • the gas bowl 442 and the support base 432 are grounded or applied with an AC or DC potential bias, such that RF power applied to the electrode 460 excites the etching gas present between the slant end 466 , the support base 432 and the gas bowl 442 .
  • An etching agent in a plasma phase is thereby formed in the vicinity of the edge region of the substrate 434 .
  • the purge gas source 408 provides an inert gas that is delivered along the gas conduit 450 B, and flows radially on the top surface of the substrate 434 from its central region toward its peripheral edge region. The radial flow of the inert gas allows to limit the action area of the etching agent to the peripheral region of the substrate 434 .
  • FIG. 4B is a partial cross-sectional view illustrating a variant implementation of the embodiment shown in FIG. 4A .
  • the embodiment of FIG. 4B is similar to that of FIG. 4A , except that no purge gas source 408 ( FIG. 4A ) is provided. No slits 452 thus are required in the gas bowl 442 .
  • the etching gas source 404 is coupled to the gas conduit 450 B via the entry port 410 . During the etching process, the etching gas source 404 provides an etching gas that enters the process volume 416 via the gas conduit 450 B, and flows radially on the top surface of the substrate 434 from its central region toward its peripheral region.
  • the gas bowl 442 and the support base 432 are either grounded or biased with an AC or DC potential, such that RF power applied to the electrode 460 excites the etching gas present between the slant portion 466 of the electrode 460 , the support base 432 and the gas bowl 442 .
  • An etching agent in a plasma phase is thereby formed at the edge region of the substrate 434 . Owing to the radial flow of the etching gas, the plasma-phase etching agent is prevented from diffusing from the peripheral region toward the central region of the substrate 434 .
  • FIG. 4C is a partial cross-sectional view illustrating another variant implementation of the embodiment shown in FIG. 4A .
  • the embodiment illustrated in FIG. 4C couples the RF power source 464 to an electrode 470 with a straight end 472 .
  • the provided gas delivery assembly 480 includes a plenum 482 A that is delimited between an upper plate 484 and a lower plate 486 .
  • an etching gas from the etching gas source 404 flows into the plenum 482 A via the first entry port 406 and an opening 488 formed through the upper plate 484 , and then flows from the plenum 482 A into the peripheral region of the process volume 416 via a gap 490 provided between peripheral end portions 492 and 494 of the upper and lower plate 484 and 486 .
  • the support base 432 and gas delivery assembly 480 are either grounded or applied with a DC or AC potential bias, such that RF power provided to the electrode 470 excites the etching gas present between the straight end 472 of the electrode 470 , the end portions 492 and 494 , and the peripheral region of the support base 432 .
  • the end portion 494 may also form a slant portion 496 that is inclined proximate to the straight end 472 of the electrode 470 .
  • an etching agent in a plasma phase is formed at the peripheral region of the process volume 416 to etch the edge region of the substrate 434 .
  • the purge gas source 408 provides an inert gas that enters a central region of the process volume 416 via the second entry port 410 and aligned holes 498 formed through the upper and lower plate 484 and 486 , and then flows radially on the top surface of the substrate 434 from its central region toward its peripheral region.
  • the radial flow of the inert gas allows to limit the action area of the etching agent to the peripheral region of the substrate 434 .
  • the apparatus and method provided herein are thus able to process an edge region of a substrate, for example etching a deposition film on a substrate edge region in an effective manner, without using a shadow ring.
  • the apparatus and method for processing an edge region may be used in stand alone edge processing chambers, incorporated with a processing chamber that capable of process the entire substrate, or incorporated in other chambers, such as a load lock chamber.

Abstract

The present invention comprises an apparatus and method for etching at a substrate edge region. In one embodiment, the apparatus comprises a chamber having a process volume, a substrate support arranged inside the process volume and having a substrate support surface, a plasma generator coupled to the chamber and configured to supply an etching agent in a plasma phase to a peripheral region of the substrate support surface, and a gas delivery assembly coupled to a gas source for generating a radial gas flow over the substrate support surface from an approximately central region of the substrate support surface toward the peripheral region of the substrate support surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/949,397 (Attorney Docket No. 011997L), filed Jul. 12, 2007, U.S. Provisional Patent Application Ser. No. 60/982,961 (Attorney Docket No. 011997L02), filed Oct. 26, 2007, and U.S. Provisional Patent Application Ser. No. 60/982,993 (Attorney Docket No. 011997L03), filed Oct. 26, 2007. Each of the aforementioned patent applications is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to apparatus and methods for processing semiconductor substrates. More particularly, embodiments of the present invention relate to apparatus and methods for processing a substrate near an edge region.
  • 2. Description of the Related Art
  • During a chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD), it is desirable to have a uniform thickness profile across a substrate and no deposition near an edge area of the substrate. The area near the edge where deposition is not desired is generally referred to as the “edge exclusion.” FIG. 1A is a partial cross-sectional view showing the desired profile for a deposition layer 102 formed on a substrate 101. The deposition layer 102 is uniformly deposited across a top surface of the substrate 101 and there is no deposition within an edge exclusion area 103. Unfortunately, an actual deposition profile generally differs from the ideal configuration illustrated in FIG. 1A. FIG. 1B is a partial cross-sectional view showing an actual surface profile of a deposition layer 102 a on the substrate 101 after having undergone a CVD or PECVD deposition. The deposition layer 102 a typically extends to the edge exclusion area 103, and a bevel edge 104 with extra thickness may be formed near the edge exclusion area 103.
  • To prevent the formation of the deposition film at the edge of the substrate, FIG. 1C is a partial cross-sectional view showing one conventional approach that proposes to use a shadow ring 105. The shadow ring 105 is usually arranged at a location that overlaps and covers at least one portion of the edge exclusion area 103 of the substrate 101. As a result, as shown in FIG. 1C, a deposition layer 102 b gradually reduces under the shadow of the shadow ring 105.
  • While the use of the shadow ring 105 can currently achieve thickness uniformity up to a 3.5 mm-wide edge exclusion area, the requirement for thickness non-uniformity has to be reduced to a 2 mm-wide edge exclusion area owing to increasingly shrinking device dimensions. As a result of the smaller edge exclusion area, the conventional approach using the shadow ring 105 to prevent deposition at the edge area may not provide satisfactory result.
  • Therefore, there is a need for an apparatus and method that can provide a desired profile of deposition film at the substrate edge region without using a shadow ring, and at least overcome the issues addressed above.
  • SUMMARY OF THE INVENTION
  • The present application describes apparatuses and methods for processing a substrate edge region. In one embodiment, an apparatus adapted for etching at a substrate edge region is disclosed. The apparatus comprises a chamber body having a process volume, a substrate support arranged inside the process volume and having a substrate support surface, a plasma generator configured to supply an etching agent in a plasma phase to a peripheral region of the substrate support surface, and a gas delivery assembly coupled to a gas source for generating a radial gas flow over the substrate support surface from an approximately central region of the substrate support surface toward the peripheral region of the substrate support surface.
  • In another embodiment, a method of etching at a substrate edge region is disclosed. The method comprises placing a substrate on a substrate support inside a process chamber, wherein the substrate has a top surface, a central region and an edge region, providing an etching agent in a plasma phase at the edge region of the substrate, and forming a radial gas flow on the top surface of the substrate from the central region toward the edge region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A illustrates a desired profile for a deposition layer at a peripheral region of a substrate.
  • FIG. 1B illustrates a profile actually obtained for a deposition layer at a peripheral region of a substrate.
  • FIG. 1C illustrates one conventional approach using a shadow ring to prevent the formation of a deposition film at the peripheral region of the substrate.
  • FIG. 2A is a schematic cross-sectional view showing one embodiment of a system adapted for etching at a substrate edge region.
  • FIG. 2B is a partial cross-sectional view showing a variant embodiment of the gas delivery assembly shown in FIG. 2A.
  • FIG. 3A is schematic cross-sectional view showing one embodiment of a chamber system that integrates a plasma generator inside the process chamber.
  • FIGS. 3B and 3C are partial cross-sectional views showing two variant embodiments integrating a plasma generator inside a gas delivery assembly.
  • FIG. 4A is a partial cross-sectional view of a chamber system that places a plasma generator adjacent to the peripheral region of a substrate support.
  • FIGS. 4B and 4C are partial cross-sectional views illustrating two variant embodiments of the example shown in FIG. 4A.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION
  • Embodiments described herein relate to an apparatus and method for processing a substrate edge region that are applicable for various chamber systems configured to process a substrate. Examples of chamber systems include, without limitations, loadlock chambers, testing chambers, deposition chambers, etching chambers, and thermal treatment chambers.
  • FIG. 2A is a schematic cross-sectional view of one embodiment of a substrate edge processing system 200. The substrate edge processing system 200 includes a process chamber 202 that is respectively coupled to a plasma generation source, such as a remote plasma source (“RPS”) 204 via a first entry port 206, and a purge gas source 208 via a second entry port 210. The process chamber 202 has walls 212 and a bottom 214 that partially define a process volume 216. The process volume 216 may be accessed through an access port (not shown) formed in the walls 212 that facilitate movement of a substrate 220 into and out of the process chamber 202. The walls 212 and bottom 214 may be fabricated from a unitary block of aluminum or other material compatible with processing. The walls 212 support a lid assembly 222, and also include the assembly of a liner 224 through which the process chamber 202 may be evacuated uniformly along the periphery of the processing volume 216 by a vacuum pump 226.
  • A substrate support assembly 230 may be centrally disposed within the process chamber 202. In one embodiment, the support assembly 230 may be temperature controlled. The support assembly 230 may support a substrate 220 during processing. In one embodiment, the support assembly 230 comprises a support base 232 made of aluminum that may encapsulate at least one embedded heater 234 operable to controllably heat the support assembly 230 and the substrate 220 positioned thereon to a predetermined temperature. In one embodiment, the support assembly 230 may operate to maintain the substrate 220 at a temperature between about 150 degrees Celsius to about 1000 degrees Celsius, depending on the processing parameters for the material being processed.
  • The support base 232 may have an upper side 236A and a lower side 236B. The upper side 236A that supports the substrate 220 has a surface area smaller than the substrate 220, so that a peripheral edge region of the substrate 220 remains free of contact with the support base 232 to facilitate its processing, such as etching, or cleaning. The lower side 236B may have a stem 238 coupled thereto. The stem 238 couples the support assembly 230 to a lift system 240 that moves the support assembly 230 vertically between an elevated processing position and a lowered position that facilitates substrate transfer to and from the process chamber 202. The stem 238 additionally provides a conduit for electrical and thermocouple leads between the support assembly 230 and other components of the system 200. A bellows 242 may be coupled between the stem 238 and the bottom 214 of the process chamber 202. The bellows 242 provides a vacuum seal between the process volume 216 and the atmosphere outside the process chamber 202 while facilitating vertical movement of the support assembly 230.
  • To facilitate the transfer of the substrate 220, the support base 232 also includes a plurality of openings 246 through which lift pins 248 are movably mounted. The lift pins 248 are operable to move between a first position and a second position. The first position, shown in FIG. 2, allows the substrate 220 to rest on the upper side 236A of the support base 232. The second position (not shown) lifts the substrate 220 above the support base 232 so that the substrate 220 can be transferred to a substrate handling robot coming through an access port (not shown). Upward/downward movements of the lift pins 248 may be driven by a movable plate 250.
  • The support assembly 230 may also comprise a centering mechanism 260 operable to center the substrate 220 relative to a vertical reference axis Z perpendicular to the substrate support plane of the support base 232. The centering mechanism 260 comprises three or more movable centering fingers 262 positioned at a periphery of the support base 232, and an opposing plate 264 placed below the fingers 262. Each finger 262 is pivotally mounted on the support base 232 via a shaft 266. The opposing plate 264 and the support base 232 are relatively movable so that the opposing plate 264 may contact and pivot the fingers 262 in a release position and stay free from the fingers 262 in a centering position.
  • In one embodiment, the opposing plate 264 may be stationary and the relative movement between the support base 232 and the opposing plate 264 is due to the vertical movement of the support base 232. The fingers 262 engage on the peripheral edge of the substrate 220 to center the substrate 220 when the support assembly 230 is in an elevated position as shown in FIG. 2A, and disengage from the peripheral edge of the substrate 220 when the support assembly 230 is in a lowered position (not shown). Detailed description of similar centering assembly may be found in U.S. patent application Ser. No. 12/171,594, entitled APPARATUS AND METHOD FOR CENTERING A SUBSTRATE IN A PROCESS CHAMBER, (attorney docket No. 11997), filed Jul. 11, 2008, which is herein incorporated by reference.
  • The lid assembly 222 provides an upper boundary to the process volume 216. The lid assembly 222 may be removed or opened to service the process chamber 202. In one embodiment, the lid assembly 222 may be fabricated from aluminum.
  • A gas delivery assembly 270 is coupled to an interior side of the lid assembly 222. The gas delivery assembly 270 includes a gas bowl 272 that has an outer wall 274, inner wall 276 and bottom 278. The shape of the bottom 278 may be configured to substantially follow the profile of the substrate 220. The gas bowl 272 includes a first gas distribution circuit coupled to the first entry port 206, and a second gas distribution circuit coupled to the second entry port 210.
  • The first gas distribution circuit includes a plenum 280A partially delimited between the outer wall 274, inner wall 276 and bottom 278, and a plurality of slits 282 formed through a peripheral region of the bottom 278 and connected to the plenum 280A. In one embodiment, the slits 282 may be angled outwards to avoid gas flow toward a center portion of the substrate.
  • The second gas distribution circuit includes a gas conduit 280B that is delimited by the inner walls 276 and has an end opened to a central region of the process volume 216. The gas flow provided along the second gas distribution circuit is configured from center to edge to protect unprocessed region.
  • To remove a portion of a deposition film at an edge region of the substrate 220, the remote plasma source 204 provides an etching agent in a plasma phase that flows into the plenum 280A via the first entry port 206, and applied on the edge region of the substrate 220 through the slits 282. The etching agent may be selectively chosen according to the material of the deposition film to etch. Examples of etching agents may include, without limitation, ionized NF3, O2, F2, or SF6. To prevent the diffusion of the etching agent toward a central region of the substrate 220, the purge gas source 208 provides an inert gas that enters the process volume 216 via the second entry port 210 and gas conduit 280B, and then flows radially on the top surface of the substrate 220 from its central region toward its peripheral edge region. Suitable inert gases may include, without limitation, Ar or He. The radial flow of the inert gas acts to limit the action area of the etching agent to the peripheral region of the substrate 220.
  • A person skilled in the art will appreciate that various embodiments may be implemented for the gas bowl 272. FIG. 2B is a partial cross-sectional view showing a variant embodiment of the gas bowl 272, in which the second gas distribution circuit coupled to the second entry port 210 may include a plenum 280C that is defined between the inner wall 276 and a central portion 277 of the bottom 278. The inert gas provided from the purge gas source 208 enters the plenum 280C via the second entry port 210, and flows into the process volume 216 via an aperture 279 formed through the central portion 277 of the bottom 278.
  • Though the foregoing illustrates an implementation in which a remote plasma source is externally coupled to the process chamber, alternate embodiments may integrate a plasma generator in the process chamber. More specifically, some variant embodiments may define a plasma volume inside the process chamber, but away from the substrate edge. The plasma volume receives a flow of processing gas, which is excited as it passes between ground and RF electrodes placed in the plasma volume. In other embodiments, the plasma may be struck in-situ at the substrate edge region, where an RF electrode is placed adjacent to the peripheral area of the substrate support used as ground electrode. More details of the embodiments integrating a plasma generator in the process chamber are described below in conjunction with FIGS. 3A-3C and FIGS. 4A-4C.
  • FIG. 3A is a schematic cross-sectional view showing one embodiment of a chamber system 300 that integrates a plasma generator inside the process chamber. In one embodiment, the chamber system 300 is configured to process an entire substrate and to process an edge region by generating plasma differently. The system 300 includes a process chamber 302 that is respectively coupled to an etching gas source 304 via a first entry port 306, and a purge gas source 308 via a second entry port 310. The second entry port 310 may also be coupled to a deposition gas source 311 that introduces a process gas into the process chamber 302 for forming various deposition films. The walls 312 and bottom 314 of the process chamber 302 partially define a process volume 316 in which a substrate 320 may undergo deposition or etching processes. The walls 312 support a lid assembly 322, and also include the assembly of a liner 324 through which the process chamber 302 may be evacuated by a vacuum pump 326.
  • Like the embodiment shown in FIG. 2, the substrate 320 is supported on a support assembly 330, which includes a support base 332 that is temperature controlled by a heater 333. The support base 332 is coupled to a lift system 334 via a stem 336 that moves the support assembly 330 vertically. A bellows 338 may also be coupled between the stem 336 and the bottom 314 of the process chamber 302 to provide a vacuum seal between the process volume 316 and the atmosphere outside the process chamber 302. To facilitate the transfer of the substrate 320, the support base 332 also includes a plurality of openings 339 through which lift pins 340 are movably mounted, driven by a movable plate 342. A centering mechanism 350 may also be provided to center the substrate 320, which includes pivotal centering fingers 352 positioned at a periphery of the support base 332, and an opposing plate 354 placed below the fingers 352. An example of operation of the centering mechanism 350 has been described above in conjunction with FIG. 2A.
  • A gas delivery assembly 360 is coupled to an interior side of the lid assembly 322. The gas delivery assembly 360 includes a gas bowl 362 that is connected to a switchable power source 364 operable to selectively apply various potential biases to the gas bowl 362, including an RF potential bias, DC potential bias, AC potential bias, or a ground potential.
  • The gas bowl 362 has an outer wall 365, an inner wall 366 and a bottom 368. The shape of the bottom 368 may be configured to substantially follow the profile of the substrate 320 that rests between the support assembly 330 and the gas delivery assembly 360. The gas bowl 362 includes a first gas distribution circuit coupled to the first entry port 306, and a second gas distribution circuit coupled to the second entry port 310.
  • The first gas distribution circuit includes a plasma generation volume 370A partially delimited between the outer wall 365, inner wall 366 and a peripheral portion of the bottom 368, and a plurality of slits 372 formed through the peripheral portion of the bottom 368 and connected to the plasma generation volume 370A. The plasma generation volume 370A, which is connected to the first entry port 306, includes an electrode 373 that is coupled to a RF power source 375. The electrode 373 is sandwiched between isolator materials 377, and has an exposed surface 380 that is spaced apart from a facing inner side 382 of the outer wall 365. The outer wall 356 serves as a counter electrode to the electrode 373 during plasma generation. The distance between the counter electrode and the electrode 373 varies at different locations which make it easy to strike a plasma therebetween.
  • The second gas distribution circuit includes a plenum 370B that is surrounded by the plasma generation volume 370A. The plenum 370B, which is connected to the second entry port 310, is partially delimited between the inner wall 366 and a perforated portion 384 of the bottom 368. The perforated portion 384 includes a plurality of apertures 386 through which gases received in the plenum 370B flow into the process volume 316.
  • In a PECVD mode of operation, the plenum 370B receives a process gas from the deposition gas source 311, and the substrate 320 is heated. While the support assembly 330 is grounded, the switchable power source 364 applies an RF potential bias to the gas bowl 362, such as the bottom 368, such that a plasma is generated from the process gas present in the process volume 316 between the support assembly 330 and the gas delivery assembly 360. The entire substrate is exposed to the plasma and being processed, for example a film may be deposited on the substrate. The RF power from the switchable power source 364, the composition of the process gas, and the thermal conditions applied to the substrate 320 may be set in accordance with the type of deposition film to form on the substrate 320.
  • In one embodiment, the deposition film may include an advanced patterning film (“APF”) including amorphous carbon. The APF may be deposited at a substrate temperature between about 200° C. and 1500° C., while a process gas including propylene (C3H6) as carbon source is introduced in the process volume 316. Optionally, the process gas may include additional chemical elements to include in the APF, such as a nitrogen (N2) and doping elements. RF power from about 500 W to about 1500 W may be applied in the chamber at a frequency of about 13.56 MHz. A more detailed description of conditions for forming the APF by PECVD and its use is described in U.S. Pat. No. 7,262,106, entitled “Absorber Layer for DSA Processing”, which is incorporated herein by reference.
  • Once the formation of the deposition film is completed, the etching function may be used to remove undesirable portions of the deposition film in the edge region of the substrate 320. In an edge processing mode of operation, the plasma generation volume 370A receives an etching gas from the etching gas source 304 via the first entry port 306. In the meantime, the gas bowl 362 may be grounded,such that RF power supplied by the RF power source 375 to the electrode 373 excites the etching gas present in the plasma generation volume 370A between the exposed surface 380 of the electrode 373 and the inner side 382 of the outer wall 365. To facilitate the ionization of the etching gas, the inner side 382 may include a slant portion 388 that is inclined relative to the exposed surface 380 of the electrode 373. An etching agent in a plasma phase is thereby formed from the etching gas in the plasma generation volume 370A. The etching agent then is flowed via the slits 372 into the process volume 316 to etch a portion of the deposition film at the peripheral edge region of the substrate 320.
  • In one embodiment, a bias potential may be applied to the counter electrode, i.e. the gas bowl 362 with a DC or AC potential bias from the switchable power 364. By adjusting the bias potential, the bombarding strength of the plasma may be adjusted to a desirable level. In another embodiment, the support assembly 330 may be biased with a DC or AC potential to adjust the bombarding strength of the plasma.
  • While the etching agent is delivered via the slits 372, the plenum 370B receives a purge gas from the purge gas source 308, which may include any inert gases such as Ar or He. The purge gas enters the process volume 316 through the apertures 386, and then flows radially on the top surface of the substrate 320 toward its peripheral edge region to limit the diffusion of the etching agent supplied via the slits 372.
  • FIGS. 3B and 3C are partial cross-sectional views of variant embodiments integrating a plasma generator inside the gas delivery assembly 360. Like the embodiment shown in FIG. 3A, the plasma generation volume 370A shown in FIG. 3B includes an electrode 374 that is sandwiched between isolator materials 377 and is spaced apart from the inner side 382 of the outer wall 365. However, the exposed surface of the electrode 374 includes a slant portion 390 that faces the slant portion 388 on the inner side 382 of the outer wall 365. The inclination angles of the slant portions 390 and 388 are designed to set a varying distance there between to promote the formation of the plasma when the electrode 374 and the gas bowl 362 are electrically biased. In addition, the electrode 374 may include a hole 381 through which the etching gas introduced in the plasma generation volume 370A can reach the other side of the electrode 374.
  • FIG. 3C illustrates another embodiment of the plasma generator provided in the gas delivery assembly 360. As shown, the electrode 376 coupled to the RF power source 375 includes a through-hole 392 that is coupled to the first entry port 306. In the edge processing mode of operation, the processing gas is delivered via the through-hole 392 inside the electrode 376 into the plasma generation volume 370A. In the meantime, the gas bowl 362 may be either grounded or applied with a DC or AC potential bias, such that RF power supplied to the electrode 376 excites the etching gas present in the plasma generation volume 370A.
  • While the examples shown in FIGS. 3A-3C illustrate diverse plasma generators that are incorporated in the gas delivery assembly, alternate embodiments described below may also arrange the plasma generator in a position outside the gas delivery assembly.
  • FIG. 4A is a partial cross-sectional view of a chamber system 400 that places a plasma generator adjacent to the peripheral region of the support assembly. The chamber system 400 includes a process chamber 402 that is coupled to an etching gas source 404 via a first entry port 406, and a purge gas source 408 via a second entry port 410. The first and second entry ports 406 and 410 are formed through a lid assembly 420 that is supported on walls 415 of the process chamber 402. The walls 415 also include the assembly of a liner 422 through which a process volume 416 of the process chamber 402 may be evacuated by a vacuum pump (not shown). A gas delivery assembly 430 is coupled to an interior side of the lid assembly 420, above a support base 432 on which a substrate 434 may be placed to undergo edge etching.
  • The gas delivery assembly 430 includes a gas bowl 442 that has an outer wall 444, inner wall 446 and a bottom 448. The gas bowl 442 is coupled to a switchable power source 443. The shape of the bottom 448 may be configured to substantially follow the profile of the substrate 434. The gas bowl 442 includes a first gas distribution circuit coupled to the first entry port 406, and a second gas distribution circuit coupled to the second entry port 410.
  • The first gas distribution circuit includes a plenum 450A partially delimited between the outer wall 444, inner wall 446 and the bottom 448, and a plurality of slits 452 formed through a peripheral region of the bottom 448 and connected to the plenum 450A.
  • The second gas distribution circuit includes a gas conduit 450B that is surrounded by the inner wall 446 and has an end opened approximately at a central region of the process volume 416.
  • The plasma generator includes an electrode 460 sandwiched between isolator materials 462, which are arranged adjacent to the outer wall 444 of the gas bowl 442. The electrode 460 is coupled to a RF power source 464, and includes a slant end 466 positioned proximate to the outer wall 444 and a peripheral portion of the support base 432.
  • In an etching mode of operation, the etching gas source 404 provides an etching gas that flows through the first entry port 406 into the plenum 450A, and then passes through the slits 452 into the peripheral region of the process volume 416 where the slant end 466 of the electrode 460 is positioned. In the meantime, the gas bowl 442 and the support base 432 are grounded or applied with an AC or DC potential bias, such that RF power applied to the electrode 460 excites the etching gas present between the slant end 466, the support base 432 and the gas bowl 442. An etching agent in a plasma phase is thereby formed in the vicinity of the edge region of the substrate 434.
  • To prevent the diffusion of the etching agent toward the central region of the substrate 434, the purge gas source 408 provides an inert gas that is delivered along the gas conduit 450B, and flows radially on the top surface of the substrate 434 from its central region toward its peripheral edge region. The radial flow of the inert gas allows to limit the action area of the etching agent to the peripheral region of the substrate 434.
  • FIG. 4B is a partial cross-sectional view illustrating a variant implementation of the embodiment shown in FIG. 4A. The embodiment of FIG. 4B is similar to that of FIG. 4A, except that no purge gas source 408 (FIG. 4A) is provided. No slits 452 thus are required in the gas bowl 442. Instead, the etching gas source 404 is coupled to the gas conduit 450B via the entry port 410. During the etching process, the etching gas source 404 provides an etching gas that enters the process volume 416 via the gas conduit 450B, and flows radially on the top surface of the substrate 434 from its central region toward its peripheral region. In the meantime, the gas bowl 442 and the support base 432 are either grounded or biased with an AC or DC potential, such that RF power applied to the electrode 460 excites the etching gas present between the slant portion 466 of the electrode 460, the support base 432 and the gas bowl 442. An etching agent in a plasma phase is thereby formed at the edge region of the substrate 434. Owing to the radial flow of the etching gas, the plasma-phase etching agent is prevented from diffusing from the peripheral region toward the central region of the substrate 434.
  • FIG. 4C is a partial cross-sectional view illustrating another variant implementation of the embodiment shown in FIG. 4A. Instead of an electrode with a slant end portion, the embodiment illustrated in FIG. 4C couples the RF power source 464 to an electrode 470 with a straight end 472. In addition, the provided gas delivery assembly 480 includes a plenum 482A that is delimited between an upper plate 484 and a lower plate 486. During etching, an etching gas from the etching gas source 404 flows into the plenum 482A via the first entry port 406 and an opening 488 formed through the upper plate 484, and then flows from the plenum 482A into the peripheral region of the process volume 416 via a gap 490 provided between peripheral end portions 492 and 494 of the upper and lower plate 484 and 486. In the meantime, the support base 432 and gas delivery assembly 480 are either grounded or applied with a DC or AC potential bias, such that RF power provided to the electrode 470 excites the etching gas present between the straight end 472 of the electrode 470, the end portions 492 and 494, and the peripheral region of the support base 432. To facilitate the plasma formation, the end portion 494 may also form a slant portion 496 that is inclined proximate to the straight end 472 of the electrode 470. In this manner, an etching agent in a plasma phase is formed at the peripheral region of the process volume 416 to etch the edge region of the substrate 434.
  • To prevent the diffusion of the etching agent toward a central region of the substrate 434, the purge gas source 408 provides an inert gas that enters a central region of the process volume 416 via the second entry port 410 and aligned holes 498 formed through the upper and lower plate 484 and 486, and then flows radially on the top surface of the substrate 434 from its central region toward its peripheral region. The radial flow of the inert gas allows to limit the action area of the etching agent to the peripheral region of the substrate 434.
  • As has been described above, the apparatus and method provided herein are thus able to process an edge region of a substrate, for example etching a deposition film on a substrate edge region in an effective manner, without using a shadow ring.
  • The apparatus and method for processing an edge region may be used in stand alone edge processing chambers, incorporated with a processing chamber that capable of process the entire substrate, or incorporated in other chambers, such as a load lock chamber.
  • While the foregoing is directed to certain embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. An apparatus adapted for etching at a substrate edge region, comprising:
a chamber body defining a process volume;
a substrate support disposed inside the process volume and having a substrate support surface;
a plasma generator configured to supply an etching agent in a plasma phase to a peripheral region of the substrate support surface; and
a gas delivery assembly coupled to a gas source, wherein the gas delivery assembly is configured to generate a radial gas flow over the substrate support surface, from an approximately central region of the substrate support surface toward the peripheral region of the substrate support surface.
2. The apparatus of claim 1, wherein the plasma generator comprises a remote plasma source outside the chamber body.
3. The apparatus of claim 2, wherein the gas delivery assembly is further configured to flow the etching agent from the remote plasma source to the peripheral region of the substrate support surface.
4. The apparatus of claim 1, wherein the plasma generator is disposed inside the chamber body and the plasma generator comprises:
a first electrode; and
a second electrode spaced apart from the first electrode, wherein distance between the first and second electrode various at different locations.
5. The apparatus of claim 4, wherein the gas delivery assembly is further coupled to an etching gas source for providing an etching gas to the plasma generator.
6. The apparatus of claim 4, wherein the first electrode is coupled to a radio-frequency power bias, and the second electrode is coupled to a potential bias one of a ground potential, a DC potential or an AC potential.
7. The apparatus of claim 4, wherein at least the first electrode comprises a slant portion.
8. The apparatus of claim 5, wherein the plasma generator is arranged inside the gas delivery assembly.
9. The apparatus of claim 8, wherein the first electrode has a gas hole in fluid communication with the etching gas source.
10. The apparatus of claim 5, wherein the plasma generator is arranged outside the gas delivery assembly.
11. The apparatus of claim 10, wherein the second electrode is disposed on the substrate support.
12. The apparatus of claim 1, wherein the gas delivery assembly comprises a gas distribution plate configured to evenly distribute a processing gas across the substrate support.
13. A method for processing an edge region a substrate, comprising:
placing the substrate on a substrate support inside a process chamber, wherein the substrate has a top surface, a central region and an edge region;
providing an etching agent in a plasma phase near the edge region of the substrate; and
forming a radial gas flow over the top surface of the substrate, wherein the radial gas flow flows from the central region of the substrate toward the edge region of the substrate.
14. The method of claim 13, wherein providing an etching agent in a plasma phase at the edge region of the substrate comprises delivering the etching agent from a remote plasma source to the edge region of the substrate.
15. The method of claim 13, wherein the radial gas flow comprises an inert gas.
16. The method of claim 13, wherein providing an etching agent in a plasma phase near the edge region of the substrate comprises generating a plasma of the etching agent inside the process chamber.
17. The method of claim 16, wherein generating the etching agent in the plasma phase inside the process chamber comprises applying a RF between a first electrode and a second electrode disposed near the edge region to strike a plamsa, wherein the distance between the first and second electrodes various at different locations.
18. The method of claim 17, wherein the radial gas flow comprises an etching gas.
19. The method of claim 17, wherein generating the etching agent in a plasma phase further comprises adjusting strength of the plasma by biasing a DC or AC potential to the second electrode.
20. The method of claim 13, further comprising adjusting strength of the etching agent by applying a DC or AC potential to the substrate support.
US12/171,708 2007-07-12 2008-07-11 Apparatus and method for processing a substrate edge region Abandoned US20090017635A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/171,708 US20090017635A1 (en) 2007-07-12 2008-07-11 Apparatus and method for processing a substrate edge region

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94939707P 2007-07-12 2007-07-12
US98296107P 2007-10-26 2007-10-26
US98299307P 2007-10-26 2007-10-26
US12/171,708 US20090017635A1 (en) 2007-07-12 2008-07-11 Apparatus and method for processing a substrate edge region

Publications (1)

Publication Number Publication Date
US20090017635A1 true US20090017635A1 (en) 2009-01-15

Family

ID=40229042

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/171,708 Abandoned US20090017635A1 (en) 2007-07-12 2008-07-11 Apparatus and method for processing a substrate edge region
US12/171,594 Active 2029-05-21 US7922440B2 (en) 2007-07-12 2008-07-11 Apparatus and method for centering a substrate in a process chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/171,594 Active 2029-05-21 US7922440B2 (en) 2007-07-12 2008-07-11 Apparatus and method for centering a substrate in a process chamber

Country Status (5)

Country Link
US (2) US20090017635A1 (en)
KR (2) KR101365129B1 (en)
CN (3) CN101689492B (en)
TW (2) TW200926267A (en)
WO (2) WO2009009607A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20120003388A1 (en) * 2010-07-02 2012-01-05 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
CN110914954A (en) * 2017-05-08 2020-03-24 应用材料公司 Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8348255B2 (en) * 2006-12-14 2013-01-08 Is Technology Japan, Inc. Disk holding apparatus and defect/foreign material detecting apparatus
JP5261291B2 (en) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 Processing method and storage medium
WO2011017060A2 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
KR101932578B1 (en) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 Vertical inline cvd system
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
CN104299929A (en) * 2013-07-19 2015-01-21 朗姆研究公司 Systems and methods for in-situ wafer edge and backside plasma cleaning
CN104555367B (en) * 2013-10-16 2018-08-03 Smc株式会社 Working piece positioning device
WO2015116245A1 (en) 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
CN105225995B (en) * 2014-06-30 2018-03-09 北京北方华创微电子装备有限公司 Wafer transmission set and processing chamber
DE102015113956B4 (en) * 2015-08-24 2024-03-07 Meyer Burger (Germany) Gmbh Substrate carrier
KR101650398B1 (en) * 2015-09-11 2016-08-24 (주)코맷 Wafer centering device for measuring instrument
KR101817208B1 (en) * 2016-06-02 2018-01-11 세메스 주식회사 Apparatus for treating substrate
CN108085708A (en) * 2016-11-23 2018-05-29 友威科技股份有限公司 continuous coating device
CN107610994B (en) * 2017-08-10 2019-06-07 江苏鲁汶仪器有限公司 A kind of ion beam etching system

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189515A (en) * 1996-12-24 1998-07-21 Seiko Epson Corp Method and apparatus for removal of inessential object in peripheral edge of substrate
JPH10209249A (en) * 1997-01-24 1998-08-07 Miyazaki Oki Electric Co Ltd Wafer alignment device
US5849455A (en) * 1994-12-16 1998-12-15 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5954072A (en) * 1997-01-24 1999-09-21 Tokyo Electron Limited Rotary processing apparatus
US6004631A (en) * 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6070552A (en) * 1997-05-27 2000-06-06 Anelva Corporation Substrate processing apparatus
US6167893B1 (en) * 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
US6273484B1 (en) * 1999-10-29 2001-08-14 Winbond Electronics Corp. Wafer holding device
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
KR20050049903A (en) * 2003-11-24 2005-05-27 세메스 주식회사 Wafer edge etcher
US20050160992A1 (en) * 2004-01-28 2005-07-28 Applied Materials, Inc. Substrate gripping apparatus
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US20070199658A1 (en) * 2006-02-27 2007-08-30 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20080173401A1 (en) * 2005-08-04 2008-07-24 Jusung Engineering Co., Ltd. Plasma etching apparatus
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3466514A (en) * 1967-06-26 1969-09-09 Ibm Method and apparatus for positioning objects in preselected orientations
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH06155213A (en) * 1992-11-19 1994-06-03 Hitachi Ltd Rotation mechanism
JP2000332085A (en) 1999-05-19 2000-11-30 Sony Corp Wafer-clamping apparatus
US6986636B2 (en) * 2000-06-09 2006-01-17 Brooks Automation, Inc. Device for positioning disk-shaped objects
JP4763338B2 (en) 2005-05-09 2011-08-31 タツモ株式会社 Board gripping mechanism

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5849455A (en) * 1994-12-16 1998-12-15 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US6004631A (en) * 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
JPH10189515A (en) * 1996-12-24 1998-07-21 Seiko Epson Corp Method and apparatus for removal of inessential object in peripheral edge of substrate
JPH10209249A (en) * 1997-01-24 1998-08-07 Miyazaki Oki Electric Co Ltd Wafer alignment device
US5954072A (en) * 1997-01-24 1999-09-21 Tokyo Electron Limited Rotary processing apparatus
US6070552A (en) * 1997-05-27 2000-06-06 Anelva Corporation Substrate processing apparatus
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6167893B1 (en) * 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
US6273484B1 (en) * 1999-10-29 2001-08-14 Winbond Electronics Corp. Wafer holding device
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
KR20050049903A (en) * 2003-11-24 2005-05-27 세메스 주식회사 Wafer edge etcher
US20050160992A1 (en) * 2004-01-28 2005-07-28 Applied Materials, Inc. Substrate gripping apparatus
US20060219179A1 (en) * 2004-01-28 2006-10-05 Satish Sundar Substrate gripping apparatus
US20080173401A1 (en) * 2005-08-04 2008-07-24 Jusung Engineering Co., Ltd. Plasma etching apparatus
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US20070199658A1 (en) * 2006-02-27 2007-08-30 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US20080179009A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal having an etch plasma jet stream source

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Kawasaki, Shinji, Wafer Alignment device, August 7, 1998, Miyazaki Oki Electric Co. Ltd., paragraphs [0009]-[0017]. *
Shah, Ashish et al., Systems for enhanced plasma chemical vapor deposition and bevel edge etching, 04-21-08, Applied Materials, 12/106881 (see amended claims submitted on 12-07-11). *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20120003388A1 (en) * 2010-07-02 2012-01-05 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
CN110914954A (en) * 2017-05-08 2020-03-24 应用材料公司 Bevel etch profile control
US10629427B2 (en) 2017-05-08 2020-04-21 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11948790B2 (en) 2017-05-08 2024-04-02 Applied Materials, Inc. Heater support kit for bevel etch chamber

Also Published As

Publication number Publication date
KR101545525B1 (en) 2015-08-19
CN102543798A (en) 2012-07-04
CN101689492B (en) 2012-04-04
TW200919563A (en) 2009-05-01
US7922440B2 (en) 2011-04-12
KR101365129B1 (en) 2014-02-20
KR20100058478A (en) 2010-06-03
WO2009009607A1 (en) 2009-01-15
CN101689492A (en) 2010-03-31
WO2009009606A1 (en) 2009-01-15
KR20100063005A (en) 2010-06-10
CN101687229A (en) 2010-03-31
TW200926267A (en) 2009-06-16
US20090017228A1 (en) 2009-01-15
CN101687229B (en) 2012-01-18

Similar Documents

Publication Publication Date Title
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
US8197636B2 (en) Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101451244B1 (en) Liner assembly and substrate processing apparatus having the same
US9997422B2 (en) Systems and methods for frequency modulation of radiofrequency power supply for controlling plasma instability
KR101145538B1 (en) Plasma processing apparatus of batch type
US8152925B2 (en) Baffle plate and substrate processing apparatus
KR102500194B1 (en) Batch curing chamber with gas distribution and individual pumping
US20090165722A1 (en) Apparatus for treating substrate
US20010015262A1 (en) Apparatus and method for plasma treatment
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
JP4255747B2 (en) Plasma processing apparatus and plasma processing method
US8821641B2 (en) Nozzle unit, and apparatus and method for treating substrate with the same
US20140138030A1 (en) Capacitively coupled plasma equipment with uniform plasma density
KR20100135967A (en) Nonplanar faceplate for a plasma processing chamber
US20180337021A1 (en) Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
JP2016522539A (en) Capacitively coupled plasma device with uniform plasma density
KR102264575B1 (en) Substrate holder and film forming apparatus
JP4286576B2 (en) Plasma processing equipment
CN112501587A (en) Chemical vapor deposition equipment, pump bushing and chemical vapor deposition method
KR101118997B1 (en) Equipment and method for plasma treatment
JP2010267708A (en) Device and method for vacuum processing
JP7285152B2 (en) Plasma processing equipment
JPH08139037A (en) Vapor phase reaction equipment
KR20080030713A (en) Apparatus for processing a substrate
KR102052337B1 (en) Substrate treating apparatus and substrate treating method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHAH, ASHISH;BALASUBRAMANIAN, GANESH;DU BOIS, DALE R;AND OTHERS;REEL/FRAME:021359/0170;SIGNING DATES FROM 20080709 TO 20080728

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION