US20090000946A1 - Plasma processing with enhanced charge neutralization and process control - Google Patents

Plasma processing with enhanced charge neutralization and process control Download PDF

Info

Publication number
US20090000946A1
US20090000946A1 US12/105,761 US10576108A US2009000946A1 US 20090000946 A1 US20090000946 A1 US 20090000946A1 US 10576108 A US10576108 A US 10576108A US 2009000946 A1 US2009000946 A1 US 2009000946A1
Authority
US
United States
Prior art keywords
plasma
plasma processing
period
power
waveform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/105,761
Inventor
Vikram Singh
Timothy J. Miller
Bernard G. Lindsay
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Priority to US12/105,761 priority Critical patent/US20090000946A1/en
Priority to TW097123959A priority patent/TWI460761B/en
Publication of US20090000946A1 publication Critical patent/US20090000946A1/en
Priority to US13/157,005 priority patent/US9123509B2/en
Priority to US13/708,412 priority patent/US8926850B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • Plasma processing has been widely used in the semiconductor and other industries for many decades. Plasma processing is used for tasks such as cleaning, etching, milling, and deposition.
  • charge tends to accumulate on the substrate being processed. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause plasma processing non-uniformities, arcing, and substrate damage.
  • charge build-up in plasma etching systems can result in non-uniform etch depths and pitting or damage to the surface of the substrate which can reduce process yield.
  • charge build-up in deposition system can result in non-uniform deposition and damage to the deposited film layer.
  • Plasma doping is sometimes referred to as PLAD or plasma immersion ion implantation (PIII).
  • Plasma doping systems have been developed to meet the doping requirements of some modern electronic and optical devices. Plasma doping is fundamentally different from conventional beam-line ion implantation systems that accelerate ions with an electric field and then filter the ions according to their mass-to-charge ratio to select the desired ions for implantation. In contrast, plasma doping systems immerse the target in a plasma containing dopant ions and bias the target with a series of negative voltage pulses. The electric field within the plasma sheath accelerates ions toward the target thereby implanting the ions into the surface of the target.
  • Plasma doping systems for the semiconductor industry generally require a very high degree of process control.
  • Conventional beam-line ion implantation systems that are widely used in the semiconductor industry have excellent process control and also excellent run-to-run uniformity.
  • Conventional beam-line ion implantation systems provide highly uniform doping across the entire surface of state-of-the-art semiconductor substrates.
  • the process control of plasma doping systems is not as good as conventional beam-line ion implantation systems.
  • charge tends to accumulate on the substrate being plasma doped. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause unacceptable doping non-uniformities and arcing, which can result in device damage.
  • FIG. 1A illustrates one embodiment of a plasma processing system with charge neutralization according to the present invention.
  • FIG. 1B illustrates another embodiment of a plasma processing system with charge neutralization according to the present invention.
  • FIG. 2A illustrates a prior art waveform generated by the RF source having a single amplitude that can cause charge accumulation on the substrate under some conditions.
  • FIG. 2B illustrates a prior art waveform generated by the bias voltage supply that applies a negative voltage to the substrate during plasma processing to attract ions in the plasma.
  • FIG. 3A illustrates a RF power waveform generated by the RF source according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate.
  • FIG. 3B illustrates a bias voltage waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma processing to attract ions.
  • FIG. 3C illustrates a bias voltage waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma processing to attract ions and that applies a positive voltage to the substrate after plasma processing is terminated to assist in neutralizing charge on the substrate.
  • FIGS. 4A-C illustrate a RF power waveform generated by the RF source and bias voltage waveforms generated by the bias voltage supply according to the present invention that are similar to the waveforms described in connection with FIGS. 3A-3C , but that are displaced in time so as to perform plasma processing with both the first and the second power level P RF1 , P RF2 .
  • FIGS. 5A-C illustrate a RF power waveform generated by the RF source with a variable frequency and corresponding bias voltage waveforms generated by the bias voltage supply according to another embodiment of the present invention.
  • FIG. 6 illustrates measured multi-set-point RF power and control signal waveforms according to one embodiments of the present invention.
  • Many plasma processing systems operate in a pulsed mode of operation where a series of pulses is applied to the plasma source to generate a pulsed plasma. Also, a series of pulses can be applied to the substrate being plasma processed during the on-periods of the plasma source pulses, which biases the substrate to attract ions for implantation, etching, or deposition. In the pulsed mode of operation, charge tends to accumulate on the substrate being plasma processed during the on-period of the plasma source pulses. When the duty cycle of the plasma source pulses is relatively low (i.e. less than about 25% and sometimes less than 2% depending upon process parameters), the charge tends to be efficiently neutralized by electrons in the plasma and there are only minimal charging effects.
  • the present invention relates to methods and apparatus for neutralizing charge during plasma processing.
  • the method and apparatus of the present invention allow plasma processing to be performed at higher duty cycles by reducing the probability of damage caused by charging effects.
  • a plasma processing apparatus includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma processing.
  • the bias voltage to the substrate being plasma processed can be varied to at least partially neutralize charge accumulation.
  • the RF power pulses applied to the plasma source and the bias voltage applied to the substrate are synchronized in time and the relative timing of the RF power pulses applied to the plasma source and the bias voltage applied to the substrate being plasma processed is varied to at least partially neutralize charge accumulation on the substrate and/or to achieve certain process goals.
  • single or multiple RF power supplies are used to independently power the plasma source and bias the substrate being plasma processed so as to at least partially neutralize charge during plasma processing.
  • the RF power applied to the plasma source and the bias voltage applied to the substrate during plasma processing are applied at relative times to at least partially neutralize charge during plasma processing.
  • the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma processing is terminated (i.e. pulse-off period) in order to achieve certain process goals.
  • the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias voltage applied to the substrate during the pulse-off period in order to allow chemical reactions to occur on the surface of the substrate.
  • Such a capability can improve throughput and provide more process control in some etching and deposition processes.
  • the method and apparatus of the present invention for plasma doping can precisely control at least one of the power to the RF source and the bias voltage applied to the substrate during the pulse-off period in order to improve the retained dose while plasma doping. The resulting improvement in retained dose will reduce the implant time and thus, will increase plasma doping throughput.
  • the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated in order to achieve knock-on type ion implant mechanisms that achieve improved sidewall plasma doping profiles and retrograde doping profiles as describe herein.
  • FIG. 1A illustrates one embodiment of a plasma processing system 100 with charge neutralization according to the present invention. It should be understood that this is only one of many possible designs of apparatus that can perform plasma processing, such as ion implantation, deposition, and etching, with charge neutralization according to the present invention. In particular, it should be understood that there are many possible plasma sources that can be used with the plasma processing system of the present invention.
  • the plasma source shown in FIG. 1 includes both a planar and a helical RF coil. Other embodiments include a single planar or a helical RF coil. Still other embodiments include capacitively coupled plasma sources or electron cyclotron resonance plasma sources. One skilled in the art will appreciate that there are many types of equivalent plasma sources.
  • the plasma processing system 100 includes an inductively coupled plasma source 101 having both a planar and a helical RF coil and a conductive top section.
  • a similar RF inductively coupled plasma source is described in U.S. patent application Ser. No. 10/905,172, filed on Dec. 20, 2004, entitled “RF Plasma Source with Conductive Top Section,” which is assigned to the present assignee.
  • the entire specification of U.S. patent application Ser. No. 10/905,172 is incorporated herein by reference.
  • the plasma source 101 shown in the plasma processing system 100 is well suited for plasma doping and other precise plasma processing applications that require highly uniform processing because it can provide a very uniform ion flux.
  • the plasma source 101 is useful for high power plasma processing because it efficiently dissipates heat generated by secondary electron emissions.
  • the plasma processing system 100 includes a plasma chamber 102 that contains a process gas supplied by an external gas source 104 .
  • the external gas source 104 which is coupled to the plasma chamber 102 through a proportional valve 106 , supplies the process gas to the chamber 102 .
  • a gas baffle is used to disperse the gas into the plasma source 101 .
  • a pressure gauge 108 measures the pressure inside the chamber 102 .
  • An exhaust port 110 in the chamber 102 is coupled to a vacuum pump 112 that evacuates the chamber 102 .
  • An exhaust valve 114 controls the exhaust conductance through the exhaust port 110 .
  • a gas pressure controller 116 is electrically connected to the proportional valve 106 , the pressure gauge 108 , and the exhaust valve 114 .
  • the gas pressure controller 116 maintains the desired pressure in the plasma chamber 102 by controlling the exhaust conductance and the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108 .
  • the exhaust conductance is controlled with the exhaust valve 114 .
  • the process gas flow rate is controlled with the proportional valve 106 .
  • a ratio control of trace gas species is provided to the process gas by a mass flow meter that is coupled in-line with the process gas that provides the primary dopant species.
  • a separate gas injection means is used for in-situ conditioning species.
  • a multi-port gas injection means is used to provide gases that cause neutral chemistry effects that result in across substrate variations.
  • the chamber 102 has a chamber top 118 including a first section 120 formed of a dielectric material that extends in a generally horizontal direction.
  • a second section 122 of the chamber top 118 is formed of a dielectric material that extends a height from the first section 120 in a generally vertical direction.
  • the first and second sections 120 , 122 are sometimes referred to herein generally as the dielectric window.
  • the first section 120 can be formed of a dielectric material that extends in a generally curved direction so that the first and second sections 120 , 122 are not orthogonal as described in U.S. patent application Ser. No. 10/905,172, which is incorporated herein by reference.
  • the chamber top 118 includes only a planer surface.
  • the shape and dimensions of the first and the second sections 120 , 122 can be selected to achieve a certain performance.
  • the dimensions of the first and the second sections 120 , 122 of the chamber top 118 can be chosen to improve the uniformity of plasmas.
  • a ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is adjusted to achieve a more uniform plasma.
  • the ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is in the range of 1.5 to 5.5.
  • the dielectric materials in the first and second sections 120 , 122 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 102 .
  • the dielectric material used to form the first and second sections 120 , 122 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties.
  • the dielectric material is 99.6% Al 2 O 3 or AlN.
  • the dielectric material is Yittria and YAG.
  • a lid 124 of the chamber top 118 is formed of a conductive material that extends a length across the second section 122 in the horizontal direction.
  • the conductivity of the material used to form the lid 124 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission.
  • the conductive material used to form the lid 124 is chemically resistant to the process gases.
  • the conductive material is aluminum or silicon.
  • the lid 124 can be coupled to the second section 122 with a halogen-resistant O-ring made of fluoro-carbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials.
  • the lid 124 is typically mounted to the second section 122 in a manner that minimizes compression on the second section 122 , but that provides enough compression to seal the lid 124 to the second section.
  • the lid 124 is RF and DC grounded as shown in FIG. 1 .
  • the chamber 102 includes a liner 125 that is positioned to prevent or greatly reduce metal contamination by providing line-of-site shielding of the inside of the plasma chamber 102 from metal sputtered by ions in the plasma striking the inside metal walls of the plasma chamber 102 .
  • liners are described in U.S. patent application Ser. No. 11,623,739, filed Jan. 16, 2007, entitled “Plasma Source with Liner for Reducing Metal Contamination,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 11/623,739 is incorporated herein by reference.
  • the liner is a one-piece or unitary plasma chamber liner, or a segmented plasma chamber liner.
  • the plasma chamber liner 125 is formed of a metal base material, such as aluminum.
  • at least the inner surface 125 ′ of the plasma chamber liner 125 includes a hard coating material that prevents sputtering of the plasma chamber liner base material.
  • the plasma chamber liner 125 is a temperature controlled plasma chamber liner 125 .
  • the lid 124 comprises a cooling system that regulates the temperature of the lid 124 and surrounding area in order to dissipate the heat load generated during processing.
  • the cooling system can be a fluid cooling system that includes cooling passages in the lid 124 that circulate a liquid coolant from a coolant source.
  • a RF antenna is positioned proximate to at least one of the first section 120 and the second section 122 of the chamber top 118 .
  • the plasma source 101 in FIG. 1 illustrates two separate RF antennas that are electrically isolated from one another. However, in other embodiments, the two separate RF antennas are electrically connected.
  • a planar coil RF antenna 126 (sometimes called a planar antenna or a horizontal antenna) having a plurality of turns is positioned adjacent to the first section 120 of the chamber top 118 .
  • a helical coil RF antenna 128 (sometimes called a helical antenna or a vertical antenna) having a plurality of turns surrounds the second section 122 of the chamber top 118 .
  • At least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is terminated with a capacitor 129 that reduces the effective antenna coil voltage.
  • the term “effective antenna coil voltage” is defined herein to mean the voltage drop across the RF antennas 126 , 128 . In other words, the effective coil voltage is the voltage “seen by the ions” or equivalently the voltage experienced by the ions in the plasma.
  • At least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a dielectric layer 134 that has a relatively low dielectric constant compared to the dielectric constant of the Al 2 O 3 dielectric window material.
  • the relatively low dielectric constant dielectric layer 134 effectively forms a capacitive voltage divider that also reduces the effective antenna coil voltage.
  • at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a Faraday shield 136 that also reduces the effective antenna coil voltage.
  • a RF source 130 such as a RF power supply, is electrically connected to at least one of the planar coil RF antenna 126 and helical coil RF antenna 128 .
  • the RF source 130 is coupled to the RF antennas 126 , 128 by an impedance matching network 132 that matches the output impedance of the RF source 130 to the impedance of the RF antennas 126 , 128 in order to maximize the power transferred from the RF source 130 to the RF antennas 126 , 128 .
  • Dashed lines from the output of the impedance matching network 132 to the planar coil RF antenna 126 and the helical coil RF antenna 128 are shown to indicate that electrical connections can be made from the output of the impedance matching network 132 to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 .
  • At least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is formed such that it can be liquid cooled. Cooling at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 will reduce temperature gradients caused by the RF power propagating in the RF antennas 126 , 128 .
  • the plasma source 101 includes a plasma igniter 138 .
  • the plasma igniter 138 includes a reservoir 140 of strike gas, which is a highly-ionizable gas, such as argon (Ar), which assists in igniting the plasma.
  • the reservoir 140 is coupled to the plasma chamber 102 with a high conductance gas connection.
  • a burst valve 142 isolates the reservoir 140 from the process chamber 102 .
  • a strike gas source is plumbed directly to the burst valve 142 using a low conductance gas connection.
  • a portion of the reservoir 140 is separated by a limited conductance orifice or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.
  • a platen 144 is positioned in the process chamber 102 a height below the top section 118 of the plasma source 101 .
  • the platen 144 holds a substrate 146 for plasma processing.
  • the substrate 146 is electrically connected to the platen 144 .
  • the platen 144 is parallel to the plasma source 101 .
  • the platen 144 is tilted with respect to the plasma source 101 to achieve various process goals.
  • a platen 144 is used to support a substrate 146 or other workpieces for processing.
  • the platen 144 is mechanically coupled to a movable stage that translates, scans, or oscillates the substrate 146 in at least one direction.
  • the movable stage is a dither generator or an oscillator that dithers or oscillates the substrate 146 .
  • the translation, dithering, and/or oscillation motions can reduce or eliminate shadowing effects and can improve the uniformity of the ion beam flux impacting the surface of the substrate 146 .
  • a bias voltage power supply 148 is electrically connected to the platen 144 .
  • the bias voltage power supply 148 is used to bias the platen 144 and the substrate 146 so that ions in the plasma are extracted from the plasma and impact the substrate 146 .
  • the ions can be dopant ions for plasma doping or inert or reactive ions for etching and deposition.
  • the bias voltage power supply 148 is a DC power supply, a pulsed power supply, or a RF power supply.
  • the bias voltage power supply 148 has an output waveform that is independent of the output waveform of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128 . In another embodiment of the plasma processing apparatus according the present invention, the bias voltage power supply 148 has an output waveform that is synchronized to the output waveform of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128 .
  • the bias voltage power supply 148 and the RF source 130 can physically be the same power supply with two different outputs or can be separate power supplies.
  • a controller 152 is used to control the RF power supply 130 and the bias voltage power supply 148 to generate a plasma and to bias the substrate 146 so as to at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • the controller 152 can be part of the power supplies 130 , 148 or can be a separate controller that is electrically connected to control inputs of the power supplies 130 , 148 .
  • the controller 152 controls the RF power supply 130 so that pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 with at least two different amplitudes.
  • controller 152 controls the RF power supply 130 and the bias voltage power supply 148 so that the pulses are applied to at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 , and also to the substrate 146 at relative times that at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • the controller 152 instructs the RF source 130 to generate RF currents that propagate in at least one of the RF antennas 126 and 128 . That is, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is an active antenna.
  • active antenna is herein defined as an antenna that is driven directly by a power supply.
  • the RF source 130 operates in a pulsed mode. However, the RF source 130 can also operate in the continuous mode.
  • one of the planar coil antenna 126 and the helical coil antenna 128 is a parasitic antenna.
  • the term “parasitic antenna” is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna in close proximity, which in the apparatus shown in FIG. 1A is one of the planar coil antenna 126 and the helical coil antenna 128 powered by the RF source 130 .
  • one end of the parasitic antenna is electrically connected to ground potential in order to provide antenna tuning capabilities.
  • the parasitic antenna includes a coil adjuster 150 that is used to change the effective number of turns in the parasitic antenna coil. Numerous different types of coil adjusters, such as a metal short, can be used.
  • the RF currents in the RF antennas 126 , 128 then induce RF currents into the chamber 102 .
  • the RF currents in the chamber 102 excite and ionize the process gas so as to generate a plasma in the chamber 102 .
  • the plasma chamber liner 125 shields metal sputtered by ions in the plasma from reaching the substrate 146 .
  • the controller 152 also instructs the bias voltage power supply 148 to bias the substrate 146 with negative voltage pulses that attract ions in the plasma towards the substrate 146 .
  • the electric field within the plasma sheath accelerates ions toward the substrate 146 for plasma processing.
  • the electric field within the plasma sheath can accelerate ions toward the substrate 146 to implant the ions into the surface of the substrate 146 , to etch the surface of the substrate 146 , to produce a chemical reaction on the surface of the substrate 146 for either etching or deposition, or to grow a thin film on the surface of the substrate 146 .
  • a grid is used to extract ions in the plasma towards the substrate 146 in order to increase the energy of the ions.
  • charge can accumulate on the substrate 146 .
  • Charge accumulation on the substrate 146 can result in the development of a relatively high potential voltage on the substrate 146 being plasma processed that can cause processing non-uniformities, arcing, and device damage.
  • Charge accumulation on the substrate can be greatly reduced by generating multi-level RF waveforms with the RF source 130 and biasing the substrate 146 according to the present invention.
  • certain process goals such as process rates and process profiles, can be achieved by generating multi-level RF waveforms with the RF source 130 and biasing the substrate 146 according to the present invention.
  • FIG. 1B illustrates another embodiment of a plasma processing system 170 with charge neutralization according to the present invention.
  • the plasma processing system 170 is a capacitive RF discharge system. Capacitive RF discharge plasma processing systems are well known in the industry.
  • the plasma processing system 170 includes a process chamber 172 having a process gas inlet 174 that receives a feed gas from a mass flow controller which flow through the plasma discharge area.
  • the process chamber 172 also includes an exhaust port 175 that is coupled to a vacuum pump that removes effluent gases.
  • a throttle valve is positioned in the exhaust port 175 that is coupled to a vacuum pump to control the pressure in the chamber 172 .
  • operating pressures are in the 10-1000 mT range.
  • the plasma processing system 170 includes two planar electrodes, which are often called parallel plate electrodes 176 .
  • the parallel plate electrodes 176 are driven by an RF source 178 .
  • the parallel plate electrodes 176 are separated by a gap that is in the range of 2-10 cm.
  • a blocking capacitor 180 is electrically connected between the output of the RF source 178 and the parallel plate electrode 176 .
  • the blocking capacitor 180 is used to remove DC and low frequency signals from the drive signal.
  • the RF drive signal is typically in the 100-1000V range.
  • the parallel plate electrodes 176 are typically driven by 13.56 MHz signal, but other frequencies are also suitable.
  • the substrate is positioned directly on the bottom parallel plate.
  • the plasma processing system 170 includes an insulator 182 that is positioned between the bottom plate and the substrate 184 .
  • the insulator 182 allows the substrate 184 to be biased independently of the parallel plate electrodes 176 which are driven by the RF source 178 .
  • a separate substrate bias voltage power supply 186 is used to bias the substrate 184 .
  • An output of the substrate bias voltage power supply 186 is electrically connected to the substrate 184 that is positioned in the insulator 182 .
  • a controller 188 is used to control the RF power supply 186 and the bias voltage power supply 186 to generate a plasma and to bias the substrate 184 so as to at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • the controller 188 can be part of the power supplies 178 , 186 or can be a separate controller that is electrically connected to control inputs of the power supplies 178 , 186 .
  • the controller 188 controls the RF power supply 178 so that multi-level RF pulses are applied to the parallel plate electrode 176 with at least two different amplitudes.
  • the controller 188 controls the RF power supply 178 and the bias voltage power supply 186 so that the RF pulses are applied to the parallel plate electrodes 176 at relative times that at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • the operation of the plasma processing system 170 is similar to the operation of the plasma processing system 100 .
  • the controller 188 instructs the RF source 178 to generate RF currents that propagate to the parallel plate electrodes 176 to generate a plasma between the parallel plates from the feed gas.
  • the controller 188 also instructs the bias voltage power supply 186 to bias the substrate 184 with negative voltage pulses that attract ions in the plasma towards the substrate 184 . During the negative voltage pulses, the electric field within the plasma sheath accelerates ions toward the substrate 184 for plasma processing.
  • the electric field within the plasma sheath can accelerate ions toward the substrate 184 to implant the ions into the surface of the substrate 184 , to etch the surface of the substrate 184 , to produce a chemical reaction on the surface of the substrate 184 for either etching or deposition, or to grow a thin film on the surface of the substrate 184 .
  • charge can accumulate on the substrate 184 .
  • Charge accumulation on the substrate 184 can result in the development of a relatively high potential voltage on the substrate 184 being plasma processed that can cause processing non-uniformities, arcing, and device damage.
  • Charge accumulation on the substrate 184 can be greatly reduced by generating multi-level RF waveforms with the RF source 178 and biasing the substrate 184 according to the present invention.
  • certain process goals such as process rates and process profiles, can be achieved by generating multi-level RF waveforms with the RF source 178 and biasing the substrate 184 according to the present invention.
  • the methods and apparatus of the present invention can be applied to numerous other types of plasma processing systems.
  • the methods and apparatus of the present invention can be applied to ECR plasma processing systems, helicon plasma processing systems, and helicon resonator plasma processing systems.
  • the RF source generates a multi-amplitude pulsed RF waveform that has at least two RF power levels.
  • the substrate is biased by a bias voltage power supply that generates a bias voltage waveform that can be synchronized to the RF waveform driving the plasma source with a controller.
  • FIG. 2A illustrates a prior art waveform 200 generated by the RF source 130 having a single amplitude that can cause charge accumulation on the substrate 146 ( FIG. 1 ) under some conditions.
  • the waveform 200 is at ground potential until the plasma is generated with a pulse having a power level P RF 202 .
  • the power level P RF 202 is chosen to be suitable for plasma doping and many plasma etching and plasma deposition processes.
  • the pulse terminates after the pulse period T P 204 and then returns to ground potential.
  • the waveform then periodically repeats.
  • FIG. 2B illustrates a prior art waveform 250 generated by the bias voltage supply 148 that applies a negative voltage 252 to the substrate 146 ( FIG. 1 ) during plasma processing to attract ions in the plasma.
  • the negative voltage 252 is applied during the period T 1 254 when the waveform 200 generated by the RF source 130 has a power equal to the power level P RF 202 .
  • the negative voltage 252 attracts ions in the plasma to the substrate 146 for plasma processing.
  • the waveform 200 is at ground potential during the period T 2 256 when the plasma processing is terminated.
  • charge tends to accumulate on the substrate 146 during the pulse period T 1 254 when the waveform 250 generated by the RF source 130 has a power equal to the power level P RF 202 .
  • the methods and apparatus of the present invention allow plasma processing, such as plasma doping, plasma etching, and plasma deposition, to be performed at higher duty cycles by reducing the probability of damage caused by charging effects.
  • plasma processing such as plasma doping, plasma etching, and plasma deposition
  • FIG. 3A illustrates a RF power waveform 300 generated by the RF source 130 ( FIG. 1 ) according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate 146 ( FIG. 1 ).
  • the waveform 300 is pulsed and has a first 302 and a second power level 304 , which are indicated in the figure as P RF1 and P RF2 , respectively.
  • P RF1 and P RF2 the waveforms with more than two amplitudes can be used in the methods of the present invention to at least partially neutralize charge accumulation on the substrate 146 .
  • the waveforms may or may not have discrete amplitudes.
  • the waveforms can be continuously changing. That is, in some embodiments, the waveforms can ramp with positive or negative slopes. Also, the waveforms can ramp in a linear or in a non-linear rate.
  • the first power level P RF1 302 is chosen to provide enough RF power to at least partially neutralize charge accumulation on the substrate 146 when the substrate 146 is not biased for plasma processing.
  • the second power level P RF2 304 is chosen to be suitable for plasma processing, such as plasma doping, plasma etching, and plasma deposition.
  • the waveform 300 generated by the RF source 130 including the first and second power levels P RF1 302 , P RF2 304 is applied to one or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 (see FIG. 1 ).
  • the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the first power level P RF1 302 and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the second power levels P RF2 304 .
  • the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a first frequency and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a second frequency that is different from the first frequency as described in connection with FIGS. 5A-5C .
  • the waveform 300 shown in FIG. 3A indicates that the first power level P RF1 302 is greater than the second power level P RF2 304 . However, in other embodiments, the first power level P RF1 302 is less than the second power level P RF2 304 . Also, in some embodiments, the waveform 300 includes a third power level that is zero or some relatively low power level when the substrate 146 is not biased for plasma processing as described in connection with FIG. 6 .
  • the waveform 300 also indicates a first pulse period T P1 306 corresponding to the time period were the waveform 300 has a power equal to the first power level P RF1 302 and a second pulse period T P2 308 corresponding to the time period were the waveform has a power equal to the second power level P RF2 304 .
  • the total multi-amplitude pulse period for the waveform 300 T Total 310 is the combination of the first pulse period T P1 306 and the second pulse period T P2 308 .
  • the first and second pulse periods T P1 306 , T P2 308 are both in the range of 30-500 ⁇ s and the total pulse period T Total 310 is in the range of 60 ⁇ s-1 ms. In other embodiments, the total pulse period T Total 310 can be on order of 1 ms or greater.
  • FIG. 3A indicates that the frequency of the waveform 300 during the first pulse period T P1 306 is the same as the frequency of the waveform 300 during the second pulse period T P2 308 .
  • the frequency of the waveform 300 during the first pulse period T P1 306 can be different from the frequency of the waveform 300 during the second pulse period T P2 308 as described in connection with FIGS. 5A-5C .
  • the frequency of the waveform 300 can be changed within at least one of the first and the second pulse periods T P1 , 306 , T P2 , 308 .
  • the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to at least partially neutralize charge accumulation during plasma processing.
  • the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to improve certain process parameters, such as the retained dose for plasma doping.
  • the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to assist in achieving certain process goals.
  • the waveform 300 can include both multiple frequencies and multiple amplitudes to improve process control and to increase process rates.
  • the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve knock-on ion implants to form retrograde doping profiles. Also, the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve certain etching profiles and etching process goals, such as achieving high aspect-ratio etching profiles. In addition, the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve certain deposition profiles and process goals, such as depositing material into high aspect-ratio structures, depositing conformal or near conformal coating, and filling gaps in trenches and other device structures.
  • FIG. 3B illustrates a bias voltage waveform 350 generated by the bias voltage supply 148 ( FIG. 1 ) according to the present invention that applies a negative voltage 352 to the substrate 146 during plasma processing to attract ions.
  • the bias voltage waveform 350 is synchronized with the RF power waveform 300 . However, it should be understood that the pulses in the bias voltage waveform 350 are not necessarily aligned with the pulses in the RF power waveform 300 .
  • the negative voltage 352 is applied during the second pulse period T P2 308 when the waveform 350 generated by the RF source 130 has a power equal to the second power level P RF2 304 .
  • the waveform 350 is at ground potential during the first pulse period T P1 306 when the plasma processing is terminated and the waveform 300 has a power equal to the first power level P RF1 302 .
  • FIG. 3C illustrates a waveform 360 generated by the bias voltage supply 148 ( FIG. 1 ) according to the present invention that applies a negative voltage 362 to the substrate 146 during plasma processing to attract ions and that applies a positive voltage 364 to the substrate 146 after plasma processing is terminated to assist in neutralizing charge on the substrate 146 .
  • the negative voltage 362 is applied during the second pulse period T P2 308 when the waveform 300 generated by the RF source 130 has a power equal to the second power level P RF2 304 .
  • the waveform 360 is at a positive potential 364 during the first pulse period T P1 306 when the waveform 300 generated by the RF source 130 has a power equal to the first power level P RF1 302 .
  • FIGS. 4A-C illustrate a RF power waveform 400 generated by the RF source 130 ( FIG. 1 ) and bias voltage waveforms 402 , 404 generated by the bias voltage supply 148 ( FIG. 1 ) according to the present invention that are similar to the waveforms 300 , 350 , and 360 described in connection with FIGS. 3A-3C , but that are displaced in time relative to the waveforms 300 , 350 , and 360 so as to perform plasma process with both the first and the second power levels P RF1 302 , P RF2 304 .
  • the RF power waveform 400 and the bias voltage waveforms 402 , 404 are synchronized, but the pulses in the RF power waveform 400 are not aligned with the pulses in the bias voltage waveforms 402 , 404 .
  • Changing the power generated by the RF source 130 during plasma processing allows the user to more precisely control the amount of charge that is accumulating on the surface of the substrate 146 during plasma processing to achieve certain process goals and effects. For example, increasing the power near the end of the second pulse period T P2 308 will enhance the neutralization of charge accumulated on the substrate 146 .
  • FIGS. 5A-C illustrates a RF power waveform 500 generated by the RF source 130 ( FIG. 1 ) with a variable frequency and corresponding bias voltage waveforms 502 , 504 generated by the bias voltage supply 148 ( FIG. 1 ) according to another embodiment of the present invention.
  • the waveform 500 is similar to the waveforms 300 , 400 described in connection with FIGS. 3 and 4 .
  • the RF powers in the first and second pulse periods T P1 306 , T P2 308 are the same and the frequencies in the first and second pulse periods T P1 306 , T P2 308 are different. Changing the frequency of the waveform 500 changes the ion/electron density and, therefore, changes the charge neutralization efficiency.
  • the frequency of the waveform 500 in the first pulse period T P1 306 is different from the frequency of the waveform 500 in the second pulse period T P2 308 and these frequencies are chosen to at least partially neutralize charge accumulation during plasma processing.
  • the waveforms 502 , 504 are similar to the waveforms 350 and 360 that were described in connection with FIG. 3 .
  • the waveforms 502 , 504 are displaced in time relative to the waveform 500 , similar to the displacement of waveforms 402 , 404 that were described in connection with FIG. 4 .
  • parameters such as the multiple power levels generated by the RF source 130 , the frequency of the waveform 500 in the first and second pulse periods T P1 306 , T P2 308 , and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 ( FIG. 1 ), are chosen to achieve certain process goals. For example, generating multiple power levels with the RF source 130 where one power level is generated by the RF source 130 when the bias voltage is at ground potential allows the user to use less power during plasma processing and/or to reduce process times because some plasma processing will occur when the bias voltage is at ground potential.
  • At least one of the multiple power levels generated by the RF source 130 ( FIG. 1 ), the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306 , T P2 308 , and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 ( FIG. 1 ) are chosen to improve the retained dose on the substrate 146 ( FIG. 1 ) when performing plasma doping. For example, using less power during plasma processing will result in less deposition and, therefore, a higher retained dose in the substrate.
  • the operating pressure, gas flow rates, type of dilution gas, and plasma source power can also be selected to further improve the retained dose with this method.
  • At least one of the multiple power levels generated by the RF source 130 ( FIG. 1 ), the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306 , T P2 308 , and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to improve sidewall coverage during plasma processing.
  • the term “improve sidewall coverage” is referred to herein as increasing the ratio of the deposition rate of material on the sidewall to the deposition rate of material on the surface of the surface of the substrate perpendicular to the ion flux. Achieving better sidewall coverage is important for many applications, such as conformal doping and conformal deposition applications. For example, many three-dimensional and other state-of-the-art devices required conformal doping and conformal deposition.
  • waveforms are generated by the RF source 130 ( FIG. 1 ) with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 ( FIG. 1 ) so as to create knock-on ion implants for plasma doping.
  • knock-on ion implant is defined herein as a recoil ion implant where an ion is implanted through the surface layers of the substrate 146 to drive the dopant material into the substrate 146 .
  • the ions used for the knock-on ion implant can be an inert ion species, such as He, Ne, Ar, Kr and Xe, which can be formed from an inert feed gas.
  • the mass of the knock-on ions is chosen to be similar to a mass of the desired dopant ions.
  • the RF source 130 FIG. 1 ) generates a RF power that is sufficient to direct the knock-on ions toward the substrate 146 ( FIG. 1 ) with enough energy to physically knock the deposited dopant material into both the planar and non-planar features of the substrate 146 ( FIG. 1 ) upon impact.
  • the operating parameters such as chamber pressure, gas flow rate, plasma source power, gas dilution, and duty cycle of pulsed bias supply, can be chosen to enhance knock-on ion implants.
  • Knock-on ion implant can be used to form retrograde doping profiles.
  • the waveforms are generated by the RF source 130 ( FIG. 1 ) with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to create a retrograde profile, such as a retrograde doping profile or a retrograde deposited film profile.
  • the term “retrograde profile” is defined herein as a profile where the peak concentration of the profile is below the surface of the substrate. See, for example, U.S. patent application Ser. No. 12/044,619, entitled “A Method of Forming a Retrograde Material Profile Using Ion Implantation, which is assigned the present assignee. The entire specification of U.S. patent application Ser. No. 12/044,619 is incorporated herein by reference.
  • ion implant dopant profiles For plasma doping, it is sometimes desirable to form retrograde ion implant dopant profiles because it is difficult to precisely control the depth of ion implanted layers for many reasons. For example, during plasma doping, there could be some unintentional etching of the surface of the substrate caused by physical sputtering and chemical etching. In addition, there could be some unintentional deposition on the surface of the substrate. Furthermore, there can be a significant ion implant energy distribution due to many factors, such as the presence of multiple ion species, collisions between ions, non uniformities in the plasma sheath, presence of secondary electron emissions, displacements currents formed due to parasitic impedances, and the application of non-ideal bias pulses.
  • the waveforms are generated by the RF source 130 with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to achieve certain process goals or process profiles, such as etching profiles.
  • the multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 can be chosen to achieve high aspect-ratio etching profiles or certain types of deposition profiles.
  • waveforms generated by the RF source 130 ( FIG. 1 ) can have both multiple amplitudes and multiple frequencies and can have various relative timings with respect to the waveforms generated by the bias voltage supply 148 ( FIG. 1 ).
  • FIG. 6 illustrates measured multi-set-point RF power and control signal waveforms 600 according to one embodiment of the present invention.
  • the waveforms 600 include RF power and control signal waveforms as a function of time beginning at time t 0 .
  • the waveforms 600 show an ion implantation period 602 , a charge neutralization period 604 , and a power off period 606 .
  • the controller 152 ( FIG. 1 ) generates an implant pulse 608 that instructs the bias voltage power supply 148 ( FIG. 1 ) to bias the substrate 146 ( FIG. 1 ) with a negative voltage pulse that attracts ions in the plasma towards the substrate 146 .
  • the rise time of the implant pulse 602 is about 30 microseconds.
  • the controller 152 generates a RF pulse control signal that initiates a RF power waveform 610 having a first power level.
  • the controller 152 In the ion implantation period 602 , the controller 152 generates a first RF pulse control signal 612 that causes RF currents to flow in at least one of the RF antennas 126 and 128 ( FIG. 1 ) thereby striking a plasma.
  • the rise time of the first RF pulse control signal 612 is about 30 microseconds.
  • the charge neutralization period 604 begins when the first RF pulse control signal 612 and the implant pulse signal 608 both return to zero.
  • the fall time of the first RF pulse control signal and the implant pulse control signal is about 20 microseconds.
  • the controller 152 generates a second RF pulse control signal 614 that ramps the RF power waveform 610 to a second power level.
  • the second power level is greater than the first power level as shown in FIG. 6 .
  • the second power level can be any power level including a power level that is lower than the first power level.
  • the rise time of the second RF pulse control signal is also about 30 microseconds.
  • the charge neutralization period 604 at least some of the charge on the substrate 146 is efficiently neutralized by electrons in the plasma. This partial or complete charge neutralization reduces undesirable charging effects on the substrate 146 .
  • the power off period 606 begins when the second RF pulse control signal 614 returns to zero.
  • the fall time of the second RF pulse control signal 614 is about 20 microseconds.
  • the RF power is extinguished, which terminates the plasma.
  • the methods of plasma processing with enhanced charge neutralization according to the present invention can be employed with many different multi-set-point RF power and control signal waveforms 600 .
  • the methods for charge neutralization according to the present invention can be used with numerous other types of plasma processing apparatus.
  • the methods for charge neutralization can be used with plasma processing apparatus that have inductively coupled plasma (ICP) sources, helicon resonator plasma sources, microwave plasma sources, ECR plasma source, and capacitive coupled plasma sources.
  • ICP inductively coupled plasma
  • helicon resonator plasma sources microwave plasma sources
  • ECR plasma source ECR plasma source
  • capacitive coupled plasma sources any type of plasma source that can be operated in a pulsed mode can be used to perform the methods of the present invention.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A plasma processing apparatus includes a platen that supports a substrate for plasma processing. A RF power supply generates a multi-level RF power waveform at an output having at least a first period with a first power level and a second period with a second power level. A RF plasma source having an electrical input that is electrically connected to the output of the RF power supply generates at least a first RF plasma with the first RF power level during the first period and a second RF plasma with the second RF power level during the second period. A bias voltage power supply having an output that is electrically connected to the platen generates a bias voltage waveform that is sufficient to attract ions in the plasma to the substrate for plasma processing.

Description

    RELATED APPLICATION SECTION
  • This application is a continuation-in-part of U.S. patent application Ser. No. 11/771,190, filed Jun. 29, 2007, entitled “Plasma Doping with Enhanced Charge Neutralization.” The entire specification of U.S. patent application Ser. No. 11/771,190 is incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • Plasma processing has been widely used in the semiconductor and other industries for many decades. Plasma processing is used for tasks such as cleaning, etching, milling, and deposition. In many plasma processing systems, charge tends to accumulate on the substrate being processed. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause plasma processing non-uniformities, arcing, and substrate damage. For example, charge build-up in plasma etching systems can result in non-uniform etch depths and pitting or damage to the surface of the substrate which can reduce process yield. In addition, charge build-up in deposition system can result in non-uniform deposition and damage to the deposited film layer.
  • More recently, plasma processing has been used for doping. Plasma doping is sometimes referred to as PLAD or plasma immersion ion implantation (PIII). Plasma doping systems have been developed to meet the doping requirements of some modern electronic and optical devices. Plasma doping is fundamentally different from conventional beam-line ion implantation systems that accelerate ions with an electric field and then filter the ions according to their mass-to-charge ratio to select the desired ions for implantation. In contrast, plasma doping systems immerse the target in a plasma containing dopant ions and bias the target with a series of negative voltage pulses. The electric field within the plasma sheath accelerates ions toward the target thereby implanting the ions into the surface of the target.
  • Plasma doping systems for the semiconductor industry generally require a very high degree of process control. Conventional beam-line ion implantation systems that are widely used in the semiconductor industry have excellent process control and also excellent run-to-run uniformity. Conventional beam-line ion implantation systems provide highly uniform doping across the entire surface of state-of-the-art semiconductor substrates.
  • In general, the process control of plasma doping systems is not as good as conventional beam-line ion implantation systems. In many plasma doping systems, charge tends to accumulate on the substrate being plasma doped. This charge build-up can result in the development of a relatively high potential voltage on the substrate that can cause unacceptable doping non-uniformities and arcing, which can result in device damage.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention, in accordance with preferred and exemplary embodiments, together with further advantages thereof, is more particularly described in the following detailed description, taken in conjunction with the accompanying drawings. The drawings are not necessarily to scale, emphasis instead generally being placed upon illustrating principles of the invention.
  • FIG. 1A illustrates one embodiment of a plasma processing system with charge neutralization according to the present invention.
  • FIG. 1B illustrates another embodiment of a plasma processing system with charge neutralization according to the present invention.
  • FIG. 2A illustrates a prior art waveform generated by the RF source having a single amplitude that can cause charge accumulation on the substrate under some conditions.
  • FIG. 2B illustrates a prior art waveform generated by the bias voltage supply that applies a negative voltage to the substrate during plasma processing to attract ions in the plasma.
  • FIG. 3A illustrates a RF power waveform generated by the RF source according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate.
  • FIG. 3B illustrates a bias voltage waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma processing to attract ions.
  • FIG. 3C illustrates a bias voltage waveform generated by the bias voltage supply according to the present invention that applies a negative voltage to the substrate during plasma processing to attract ions and that applies a positive voltage to the substrate after plasma processing is terminated to assist in neutralizing charge on the substrate.
  • FIGS. 4A-C illustrate a RF power waveform generated by the RF source and bias voltage waveforms generated by the bias voltage supply according to the present invention that are similar to the waveforms described in connection with FIGS. 3A-3C, but that are displaced in time so as to perform plasma processing with both the first and the second power level PRF1, PRF2.
  • FIGS. 5A-C illustrate a RF power waveform generated by the RF source with a variable frequency and corresponding bias voltage waveforms generated by the bias voltage supply according to another embodiment of the present invention.
  • FIG. 6 illustrates measured multi-set-point RF power and control signal waveforms according to one embodiments of the present invention.
  • DETAILED DESCRIPTION
  • Reference in the specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment.
  • It should be understood that the individual steps of the methods of the present invention may be performed in any order and/or simultaneously as long as the invention remains operable. Furthermore, it should be understood that the apparatus and methods of the present invention can include any number or all of the described embodiments as long as the invention remains operable.
  • The present teachings will now be described in more detail with reference to exemplary embodiments thereof as shown in the accompanying drawings. While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art. Those of ordinary skill in the art having access to the teachings herein will recognize additional implementations, modifications, and embodiments, as well as other fields of use, which are within the scope of the present disclosure as described herein. For example, it should be understood that the methods for neutralizing charge in a plasma processing system according to the present invention can be used with any type of plasma source.
  • Many plasma processing systems operate in a pulsed mode of operation where a series of pulses is applied to the plasma source to generate a pulsed plasma. Also, a series of pulses can be applied to the substrate being plasma processed during the on-periods of the plasma source pulses, which biases the substrate to attract ions for implantation, etching, or deposition. In the pulsed mode of operation, charge tends to accumulate on the substrate being plasma processed during the on-period of the plasma source pulses. When the duty cycle of the plasma source pulses is relatively low (i.e. less than about 25% and sometimes less than 2% depending upon process parameters), the charge tends to be efficiently neutralized by electrons in the plasma and there are only minimal charging effects.
  • However, there is currently a need to perform plasma processing in a pulsed mode of operation with relatively high duty cycles (i.e. duty cycles above about 2%). Such higher duty cycles are necessary to achieve the desired throughputs and to maintain etching rates, deposition rates, and doping levels that are required for some modern devices. For example, it is desirable to perform poly gate doping and counter doping of some state-of-the art devices by plasma doping with a duty cycle greater than 2%. In addition, it is desirable to perform many plasma etching and deposition processes at duty cycles greater than 2% to increase process throughput to acceptable levels.
  • As the duty cycle is increased above about 2%, there is a relatively short period of time where the charge on the substrate being plasma processed can be neutralized during the pulse-off period of the plasma source. Consequently, charge accumulation or charge build up can occur on the substrate being plasma processed, which results in the development of a relatively high potential voltage on the substrate being plasma processed that can cause plasma processing non-uniformities, arcing, and substrate damage. For example, substrates containing thin gate dielectrics can be easily damaged by excess charge build up.
  • The present invention relates to methods and apparatus for neutralizing charge during plasma processing. The method and apparatus of the present invention allow plasma processing to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. In particular, a plasma processing apparatus according to the present invention includes a RF power supply that varies the RF power applied to the plasma source to at least partially neutralize charge accumulation during plasma processing. In addition, the bias voltage to the substrate being plasma processed can be varied to at least partially neutralize charge accumulation. Furthermore, in some embodiment of the invention, the RF power pulses applied to the plasma source and the bias voltage applied to the substrate are synchronized in time and the relative timing of the RF power pulses applied to the plasma source and the bias voltage applied to the substrate being plasma processed is varied to at least partially neutralize charge accumulation on the substrate and/or to achieve certain process goals.
  • More specifically, in various embodiments, single or multiple RF power supplies are used to independently power the plasma source and bias the substrate being plasma processed so as to at least partially neutralize charge during plasma processing. Also, in various embodiments, the RF power applied to the plasma source and the bias voltage applied to the substrate during plasma processing are applied at relative times to at least partially neutralize charge during plasma processing.
  • In addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma processing is terminated (i.e. pulse-off period) in order to achieve certain process goals. For example, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias voltage applied to the substrate during the pulse-off period in order to allow chemical reactions to occur on the surface of the substrate. Such a capability can improve throughput and provide more process control in some etching and deposition processes.
  • In addition, the method and apparatus of the present invention for plasma doping can precisely control at least one of the power to the RF source and the bias voltage applied to the substrate during the pulse-off period in order to improve the retained dose while plasma doping. The resulting improvement in retained dose will reduce the implant time and thus, will increase plasma doping throughput. In addition to neutralizing charge, the method and apparatus of the present invention can precisely control at least one of the power to the RF source and the bias applied to the substrate during periods where the plasma doping is terminated in order to achieve knock-on type ion implant mechanisms that achieve improved sidewall plasma doping profiles and retrograde doping profiles as describe herein.
  • FIG. 1A illustrates one embodiment of a plasma processing system 100 with charge neutralization according to the present invention. It should be understood that this is only one of many possible designs of apparatus that can perform plasma processing, such as ion implantation, deposition, and etching, with charge neutralization according to the present invention. In particular, it should be understood that there are many possible plasma sources that can be used with the plasma processing system of the present invention. The plasma source shown in FIG. 1 includes both a planar and a helical RF coil. Other embodiments include a single planar or a helical RF coil. Still other embodiments include capacitively coupled plasma sources or electron cyclotron resonance plasma sources. One skilled in the art will appreciate that there are many types of equivalent plasma sources.
  • The plasma processing system 100 includes an inductively coupled plasma source 101 having both a planar and a helical RF coil and a conductive top section. A similar RF inductively coupled plasma source is described in U.S. patent application Ser. No. 10/905,172, filed on Dec. 20, 2004, entitled “RF Plasma Source with Conductive Top Section,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 10/905,172 is incorporated herein by reference. The plasma source 101 shown in the plasma processing system 100 is well suited for plasma doping and other precise plasma processing applications that require highly uniform processing because it can provide a very uniform ion flux. In addition, the plasma source 101 is useful for high power plasma processing because it efficiently dissipates heat generated by secondary electron emissions.
  • More specifically, the plasma processing system 100 includes a plasma chamber 102 that contains a process gas supplied by an external gas source 104. The external gas source 104, which is coupled to the plasma chamber 102 through a proportional valve 106, supplies the process gas to the chamber 102. In some embodiments, a gas baffle is used to disperse the gas into the plasma source 101. A pressure gauge 108 measures the pressure inside the chamber 102. An exhaust port 110 in the chamber 102 is coupled to a vacuum pump 112 that evacuates the chamber 102. An exhaust valve 114 controls the exhaust conductance through the exhaust port 110.
  • A gas pressure controller 116 is electrically connected to the proportional valve 106, the pressure gauge 108, and the exhaust valve 114. The gas pressure controller 116 maintains the desired pressure in the plasma chamber 102 by controlling the exhaust conductance and the process gas flow rate in a feedback loop that is responsive to the pressure gauge 108. The exhaust conductance is controlled with the exhaust valve 114. The process gas flow rate is controlled with the proportional valve 106.
  • In some embodiments, a ratio control of trace gas species is provided to the process gas by a mass flow meter that is coupled in-line with the process gas that provides the primary dopant species. Also, in some embodiments, a separate gas injection means is used for in-situ conditioning species. Furthermore, in some embodiments, a multi-port gas injection means is used to provide gases that cause neutral chemistry effects that result in across substrate variations.
  • The chamber 102 has a chamber top 118 including a first section 120 formed of a dielectric material that extends in a generally horizontal direction. A second section 122 of the chamber top 118 is formed of a dielectric material that extends a height from the first section 120 in a generally vertical direction. The first and second sections 120, 122 are sometimes referred to herein generally as the dielectric window. It should be understood that there are numerous variations of the chamber top 118. For example, the first section 120 can be formed of a dielectric material that extends in a generally curved direction so that the first and second sections 120, 122 are not orthogonal as described in U.S. patent application Ser. No. 10/905,172, which is incorporated herein by reference. In other embodiments, the chamber top 118 includes only a planer surface.
  • The shape and dimensions of the first and the second sections 120, 122 can be selected to achieve a certain performance. For example, one skilled in the art will understand that the dimensions of the first and the second sections 120, 122 of the chamber top 118 can be chosen to improve the uniformity of plasmas. In one embodiment, a ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is adjusted to achieve a more uniform plasma. For example, in one particular embodiment, the ratio of the height of the second section 122 in the vertical direction to the length across the second section 122 in the horizontal direction is in the range of 1.5 to 5.5.
  • The dielectric materials in the first and second sections 120, 122 provide a medium for transferring the RF power from the RF antenna to a plasma inside the chamber 102. In one embodiment, the dielectric material used to form the first and second sections 120, 122 is a high purity ceramic material that is chemically resistant to the process gases and that has good thermal properties. For example, in some embodiments, the dielectric material is 99.6% Al2O3 or AlN. In other embodiments, the dielectric material is Yittria and YAG.
  • A lid 124 of the chamber top 118 is formed of a conductive material that extends a length across the second section 122 in the horizontal direction. In many embodiments, the conductivity of the material used to form the lid 124 is high enough to dissipate the heat load and to minimize charging effects that results from secondary electron emission. Typically, the conductive material used to form the lid 124 is chemically resistant to the process gases. In some embodiments, the conductive material is aluminum or silicon.
  • The lid 124 can be coupled to the second section 122 with a halogen-resistant O-ring made of fluoro-carbon polymer, such as an O-ring formed of Chemrz and/or Kalrex materials. The lid 124 is typically mounted to the second section 122 in a manner that minimizes compression on the second section 122, but that provides enough compression to seal the lid 124 to the second section. In some operating modes, the lid 124 is RF and DC grounded as shown in FIG. 1.
  • In some embodiments, the chamber 102 includes a liner 125 that is positioned to prevent or greatly reduce metal contamination by providing line-of-site shielding of the inside of the plasma chamber 102 from metal sputtered by ions in the plasma striking the inside metal walls of the plasma chamber 102. Such liners are described in U.S. patent application Ser. No. 11,623,739, filed Jan. 16, 2007, entitled “Plasma Source with Liner for Reducing Metal Contamination,” which is assigned to the present assignee. The entire specification of U.S. patent application Ser. No. 11/623,739 is incorporated herein by reference.
  • In various embodiments, the liner is a one-piece or unitary plasma chamber liner, or a segmented plasma chamber liner. In many embodiments, the plasma chamber liner 125 is formed of a metal base material, such as aluminum. In these embodiments, at least the inner surface 125′ of the plasma chamber liner 125 includes a hard coating material that prevents sputtering of the plasma chamber liner base material.
  • Some plasma processes, such as plasma doping processes, generate a considerable amount of non-uniformly distributed heat on the inner surfaces of the plasma source 101 because of secondary electron emissions. In some embodiments, the plasma chamber liner 125 is a temperature controlled plasma chamber liner 125. In addition, in some embodiments, the lid 124 comprises a cooling system that regulates the temperature of the lid 124 and surrounding area in order to dissipate the heat load generated during processing. The cooling system can be a fluid cooling system that includes cooling passages in the lid 124 that circulate a liquid coolant from a coolant source.
  • A RF antenna is positioned proximate to at least one of the first section 120 and the second section 122 of the chamber top 118. The plasma source 101 in FIG. 1 illustrates two separate RF antennas that are electrically isolated from one another. However, in other embodiments, the two separate RF antennas are electrically connected. In the embodiment shown in FIG. 1, a planar coil RF antenna 126 (sometimes called a planar antenna or a horizontal antenna) having a plurality of turns is positioned adjacent to the first section 120 of the chamber top 118. In addition, a helical coil RF antenna 128 (sometimes called a helical antenna or a vertical antenna) having a plurality of turns surrounds the second section 122 of the chamber top 118.
  • In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is terminated with a capacitor 129 that reduces the effective antenna coil voltage. The term “effective antenna coil voltage” is defined herein to mean the voltage drop across the RF antennas 126, 128. In other words, the effective coil voltage is the voltage “seen by the ions” or equivalently the voltage experienced by the ions in the plasma.
  • Also, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a dielectric layer 134 that has a relatively low dielectric constant compared to the dielectric constant of the Al2O3 dielectric window material. The relatively low dielectric constant dielectric layer 134 effectively forms a capacitive voltage divider that also reduces the effective antenna coil voltage. In addition, in some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 includes a Faraday shield 136 that also reduces the effective antenna coil voltage.
  • A RF source 130, such as a RF power supply, is electrically connected to at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. In many embodiments, the RF source 130 is coupled to the RF antennas 126, 128 by an impedance matching network 132 that matches the output impedance of the RF source 130 to the impedance of the RF antennas 126, 128 in order to maximize the power transferred from the RF source 130 to the RF antennas 126, 128. Dashed lines from the output of the impedance matching network 132 to the planar coil RF antenna 126 and the helical coil RF antenna 128 are shown to indicate that electrical connections can be made from the output of the impedance matching network 132 to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128.
  • In some embodiments, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is formed such that it can be liquid cooled. Cooling at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 will reduce temperature gradients caused by the RF power propagating in the RF antennas 126, 128.
  • In some embodiments, the plasma source 101 includes a plasma igniter 138. Numerous types of plasma igniters can be used with the plasma source 101. In one embodiment, the plasma igniter 138 includes a reservoir 140 of strike gas, which is a highly-ionizable gas, such as argon (Ar), which assists in igniting the plasma. The reservoir 140 is coupled to the plasma chamber 102 with a high conductance gas connection. A burst valve 142 isolates the reservoir 140 from the process chamber 102. In another embodiment, a strike gas source is plumbed directly to the burst valve 142 using a low conductance gas connection. In some embodiments, a portion of the reservoir 140 is separated by a limited conductance orifice or metering valve that provides a steady flow rate of strike gas after the initial high-flow-rate burst.
  • A platen 144 is positioned in the process chamber 102 a height below the top section 118 of the plasma source 101. The platen 144 holds a substrate 146 for plasma processing. In many embodiments, the substrate 146 is electrically connected to the platen 144. In the embodiment shown in FIG. 1, the platen 144 is parallel to the plasma source 101. However, in one embodiment of the present invention, the platen 144 is tilted with respect to the plasma source 101 to achieve various process goals.
  • A platen 144 is used to support a substrate 146 or other workpieces for processing. In some embodiments, the platen 144 is mechanically coupled to a movable stage that translates, scans, or oscillates the substrate 146 in at least one direction. In one embodiment, the movable stage is a dither generator or an oscillator that dithers or oscillates the substrate 146. The translation, dithering, and/or oscillation motions can reduce or eliminate shadowing effects and can improve the uniformity of the ion beam flux impacting the surface of the substrate 146.
  • A bias voltage power supply 148 is electrically connected to the platen 144. The bias voltage power supply 148 is used to bias the platen 144 and the substrate 146 so that ions in the plasma are extracted from the plasma and impact the substrate 146. In various embodiments, the ions can be dopant ions for plasma doping or inert or reactive ions for etching and deposition. In various embodiments, the bias voltage power supply 148 is a DC power supply, a pulsed power supply, or a RF power supply. In one embodiment of the plasma processing apparatus according the present invention, the bias voltage power supply 148 has an output waveform that is independent of the output waveform of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. In another embodiment of the plasma processing apparatus according the present invention, the bias voltage power supply 148 has an output waveform that is synchronized to the output waveform of the RF source 130 that powers at least one of the planar coil RF antenna 126 and helical coil RF antenna 128. The bias voltage power supply 148 and the RF source 130 can physically be the same power supply with two different outputs or can be separate power supplies.
  • A controller 152 is used to control the RF power supply 130 and the bias voltage power supply 148 to generate a plasma and to bias the substrate 146 so as to at least partially neutralize charge accumulation during plasma processing according to the present invention. The controller 152 can be part of the power supplies 130, 148 or can be a separate controller that is electrically connected to control inputs of the power supplies 130, 148. The controller 152 controls the RF power supply 130 so that pulses are applied to either or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 with at least two different amplitudes. Also, the controller 152 controls the RF power supply 130 and the bias voltage power supply 148 so that the pulses are applied to at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128, and also to the substrate 146 at relative times that at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • One skilled in the art will appreciate that there are many different possible variations of the plasma source 101 that can be used with the features of the present invention. See, for example, the descriptions of the plasma sources in U.S. patent application Ser. No. 10/908,009, filed Apr. 25, 2005, entitled “Tilted Plasma Doping.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,303, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” Also see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/163,307, filed Oct. 13, 2005, entitled “Conformal Doping Apparatus and Method.” In addition, see the descriptions of the plasma sources in U.S. patent application Ser. No. 11/566,418, filed Dec. 4, 2006, entitled “Plasma Doping with Electronically Controllable implant Angle.” The entire specification of U.S. patent application Ser. Nos. 10/908,009, 11/163,303, 11/163,307 and 11/566,418 are herein incorporated by reference.
  • In operation, the controller 152 instructs the RF source 130 to generate RF currents that propagate in at least one of the RF antennas 126 and 128. That is, at least one of the planar coil RF antenna 126 and the helical coil RF antenna 128 is an active antenna. The term “active antenna” is herein defined as an antenna that is driven directly by a power supply. In many embodiments of the plasma processing apparatus of the present invention, the RF source 130 operates in a pulsed mode. However, the RF source 130 can also operate in the continuous mode.
  • In some embodiments, one of the planar coil antenna 126 and the helical coil antenna 128 is a parasitic antenna. The term “parasitic antenna” is defined herein to mean an antenna that is in electromagnetic communication with an active antenna, but that is not directly connected to a power supply. In other words, a parasitic antenna is not directly excited by a power supply, but rather is excited by an active antenna in close proximity, which in the apparatus shown in FIG. 1A is one of the planar coil antenna 126 and the helical coil antenna 128 powered by the RF source 130. In some embodiments of the invention, one end of the parasitic antenna is electrically connected to ground potential in order to provide antenna tuning capabilities. In this embodiment, the parasitic antenna includes a coil adjuster 150 that is used to change the effective number of turns in the parasitic antenna coil. Numerous different types of coil adjusters, such as a metal short, can be used.
  • The RF currents in the RF antennas 126, 128 then induce RF currents into the chamber 102. The RF currents in the chamber 102 excite and ionize the process gas so as to generate a plasma in the chamber 102. The plasma chamber liner 125 shields metal sputtered by ions in the plasma from reaching the substrate 146.
  • The controller 152 also instructs the bias voltage power supply 148 to bias the substrate 146 with negative voltage pulses that attract ions in the plasma towards the substrate 146. During the negative voltage pulses, the electric field within the plasma sheath accelerates ions toward the substrate 146 for plasma processing. For example, the electric field within the plasma sheath can accelerate ions toward the substrate 146 to implant the ions into the surface of the substrate 146, to etch the surface of the substrate 146, to produce a chemical reaction on the surface of the substrate 146 for either etching or deposition, or to grow a thin film on the surface of the substrate 146. In some embodiments, a grid is used to extract ions in the plasma towards the substrate 146 in order to increase the energy of the ions.
  • When the RF source 130 and the bias voltage power supply 148 are operated in the pulse mode under some processing conditions, such as with relatively high duty cycles, charge can accumulate on the substrate 146. Charge accumulation on the substrate 146 can result in the development of a relatively high potential voltage on the substrate 146 being plasma processed that can cause processing non-uniformities, arcing, and device damage. Charge accumulation on the substrate can be greatly reduced by generating multi-level RF waveforms with the RF source 130 and biasing the substrate 146 according to the present invention. In addition, certain process goals, such as process rates and process profiles, can be achieved by generating multi-level RF waveforms with the RF source 130 and biasing the substrate 146 according to the present invention.
  • FIG. 1B illustrates another embodiment of a plasma processing system 170 with charge neutralization according to the present invention. The plasma processing system 170 is a capacitive RF discharge system. Capacitive RF discharge plasma processing systems are well known in the industry. The plasma processing system 170 includes a process chamber 172 having a process gas inlet 174 that receives a feed gas from a mass flow controller which flow through the plasma discharge area. The process chamber 172 also includes an exhaust port 175 that is coupled to a vacuum pump that removes effluent gases. Typically a throttle valve is positioned in the exhaust port 175 that is coupled to a vacuum pump to control the pressure in the chamber 172. Typically operating pressures are in the 10-1000 mT range.
  • The plasma processing system 170 includes two planar electrodes, which are often called parallel plate electrodes 176. The parallel plate electrodes 176 are driven by an RF source 178. The parallel plate electrodes 176 are separated by a gap that is in the range of 2-10 cm. A blocking capacitor 180 is electrically connected between the output of the RF source 178 and the parallel plate electrode 176. The blocking capacitor 180 is used to remove DC and low frequency signals from the drive signal. The RF drive signal is typically in the 100-1000V range. The parallel plate electrodes 176 are typically driven by 13.56 MHz signal, but other frequencies are also suitable.
  • In conventional capacitive RF discharge plasma processing systems, the substrate is positioned directly on the bottom parallel plate. However, the plasma processing system 170 includes an insulator 182 that is positioned between the bottom plate and the substrate 184. The insulator 182 allows the substrate 184 to be biased independently of the parallel plate electrodes 176 which are driven by the RF source 178. A separate substrate bias voltage power supply 186 is used to bias the substrate 184. An output of the substrate bias voltage power supply 186 is electrically connected to the substrate 184 that is positioned in the insulator 182.
  • A controller 188 is used to control the RF power supply 186 and the bias voltage power supply 186 to generate a plasma and to bias the substrate 184 so as to at least partially neutralize charge accumulation during plasma processing according to the present invention. The controller 188 can be part of the power supplies 178, 186 or can be a separate controller that is electrically connected to control inputs of the power supplies 178, 186. The controller 188 controls the RF power supply 178 so that multi-level RF pulses are applied to the parallel plate electrode 176 with at least two different amplitudes. Also, the controller 188 controls the RF power supply 178 and the bias voltage power supply 186 so that the RF pulses are applied to the parallel plate electrodes 176 at relative times that at least partially neutralize charge accumulation during plasma processing according to the present invention.
  • The operation of the plasma processing system 170 is similar to the operation of the plasma processing system 100. The controller 188 instructs the RF source 178 to generate RF currents that propagate to the parallel plate electrodes 176 to generate a plasma between the parallel plates from the feed gas. The controller 188 also instructs the bias voltage power supply 186 to bias the substrate 184 with negative voltage pulses that attract ions in the plasma towards the substrate 184. During the negative voltage pulses, the electric field within the plasma sheath accelerates ions toward the substrate 184 for plasma processing. For example, the electric field within the plasma sheath can accelerate ions toward the substrate 184 to implant the ions into the surface of the substrate 184, to etch the surface of the substrate 184, to produce a chemical reaction on the surface of the substrate 184 for either etching or deposition, or to grow a thin film on the surface of the substrate 184.
  • When the RF source 178 and the bias voltage power supply 186 are operated under some processing conditions, charge can accumulate on the substrate 184. Charge accumulation on the substrate 184 can result in the development of a relatively high potential voltage on the substrate 184 being plasma processed that can cause processing non-uniformities, arcing, and device damage. Charge accumulation on the substrate 184 can be greatly reduced by generating multi-level RF waveforms with the RF source 178 and biasing the substrate 184 according to the present invention. In addition, certain process goals, such as process rates and process profiles, can be achieved by generating multi-level RF waveforms with the RF source 178 and biasing the substrate 184 according to the present invention.
  • The methods and apparatus of the present invention can be applied to numerous other types of plasma processing systems. For example, the methods and apparatus of the present invention can be applied to ECR plasma processing systems, helicon plasma processing systems, and helicon resonator plasma processing systems. In each of these systems, the RF source generates a multi-amplitude pulsed RF waveform that has at least two RF power levels. Also, in many embodiments, the substrate is biased by a bias voltage power supply that generates a bias voltage waveform that can be synchronized to the RF waveform driving the plasma source with a controller.
  • FIG. 2A illustrates a prior art waveform 200 generated by the RF source 130 having a single amplitude that can cause charge accumulation on the substrate 146 (FIG. 1) under some conditions. The waveform 200 is at ground potential until the plasma is generated with a pulse having a power level P RF 202. The power level P RF 202 is chosen to be suitable for plasma doping and many plasma etching and plasma deposition processes. The pulse terminates after the pulse period TP 204 and then returns to ground potential. The waveform then periodically repeats.
  • FIG. 2B illustrates a prior art waveform 250 generated by the bias voltage supply 148 that applies a negative voltage 252 to the substrate 146 (FIG. 1) during plasma processing to attract ions in the plasma. The negative voltage 252 is applied during the period T 1 254 when the waveform 200 generated by the RF source 130 has a power equal to the power level P RF 202. The negative voltage 252 attracts ions in the plasma to the substrate 146 for plasma processing. The waveform 200 is at ground potential during the period T 2 256 when the plasma processing is terminated. At relatively high duty cycles (i.e. greater than about 25% and in some cases greater than about 2%), charge tends to accumulate on the substrate 146 during the pulse period T 1 254 when the waveform 250 generated by the RF source 130 has a power equal to the power level P RF 202.
  • The methods and apparatus of the present invention allow plasma processing, such as plasma doping, plasma etching, and plasma deposition, to be performed at higher duty cycles by reducing the probability of damage caused by charging effects. There are numerous methods according to the present invention to power the plasma source 101 and to bias the substrate 146 being processed to at least partially neutralize charge accumulation on the substrate 146.
  • FIG. 3A illustrates a RF power waveform 300 generated by the RF source 130 (FIG. 1) according to the present invention that has multiple amplitudes for at least partially neutralizing charge accumulation on the substrate 146 (FIG. 1). The waveform 300 is pulsed and has a first 302 and a second power level 304, which are indicated in the figure as PRF1 and PRF2, respectively. However, it should be understood that waveforms with more than two amplitudes can be used in the methods of the present invention to at least partially neutralize charge accumulation on the substrate 146. It should also be understood that the waveforms may or may not have discrete amplitudes. For example, the waveforms can be continuously changing. That is, in some embodiments, the waveforms can ramp with positive or negative slopes. Also, the waveforms can ramp in a linear or in a non-linear rate.
  • The first power level P RF1 302 is chosen to provide enough RF power to at least partially neutralize charge accumulation on the substrate 146 when the substrate 146 is not biased for plasma processing. The second power level PRF2 304 is chosen to be suitable for plasma processing, such as plasma doping, plasma etching, and plasma deposition. In various embodiments, the waveform 300 generated by the RF source 130 including the first and second power levels P RF1 302, PRF2 304 is applied to one or both of the planar coil RF antenna 126 and the helical coil RF antenna 128 (see FIG. 1). In one specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the first power level P RF1 302 and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it is at the second power levels PRF2 304. In another specific embodiment, the waveform 300 generated by the RF source 130 is applied to one of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a first frequency and is applied to the other of the planar coil RF antenna 126 and the helical coil RF antenna 128 when it has a second frequency that is different from the first frequency as described in connection with FIGS. 5A-5C.
  • The waveform 300 shown in FIG. 3A indicates that the first power level P RF1 302 is greater than the second power level PRF2 304. However, in other embodiments, the first power level P RF1 302 is less than the second power level PRF2 304. Also, in some embodiments, the waveform 300 includes a third power level that is zero or some relatively low power level when the substrate 146 is not biased for plasma processing as described in connection with FIG. 6.
  • The waveform 300 also indicates a first pulse period T P1 306 corresponding to the time period were the waveform 300 has a power equal to the first power level P RF1 302 and a second pulse period T P2 308 corresponding to the time period were the waveform has a power equal to the second power level PRF2 304. The total multi-amplitude pulse period for the waveform 300 T Total 310 is the combination of the first pulse period T P1 306 and the second pulse period T P2 308. For example, in one embodiment, the first and second pulse periods T P1 306, T P2 308 are both in the range of 30-500 μs and the total pulse period T Total 310 is in the range of 60 μs-1 ms. In other embodiments, the total pulse period T Total 310 can be on order of 1 ms or greater.
  • FIG. 3A indicates that the frequency of the waveform 300 during the first pulse period T P1 306 is the same as the frequency of the waveform 300 during the second pulse period T P2 308. However, it should be understood that in various embodiments, the frequency of the waveform 300 during the first pulse period T P1 306 can be different from the frequency of the waveform 300 during the second pulse period T P2 308 as described in connection with FIGS. 5A-5C. In addition, the frequency of the waveform 300 can be changed within at least one of the first and the second pulse periods TP1, 306, TP2, 308.
  • Thus, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to at least partially neutralize charge accumulation during plasma processing. In addition, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to improve certain process parameters, such as the retained dose for plasma doping. Furthermore, in some embodiments, the waveform 300 includes both multiple frequencies and multiple amplitudes that are chosen to assist in achieving certain process goals. For example, the waveform 300 can include both multiple frequencies and multiple amplitudes to improve process control and to increase process rates.
  • Also, the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve knock-on ion implants to form retrograde doping profiles. Also, the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve certain etching profiles and etching process goals, such as achieving high aspect-ratio etching profiles. In addition, the waveform 300 can include both multiple frequencies and multiple amplitudes to achieve certain deposition profiles and process goals, such as depositing material into high aspect-ratio structures, depositing conformal or near conformal coating, and filling gaps in trenches and other device structures.
  • FIG. 3B illustrates a bias voltage waveform 350 generated by the bias voltage supply 148 (FIG. 1) according to the present invention that applies a negative voltage 352 to the substrate 146 during plasma processing to attract ions. The bias voltage waveform 350 is synchronized with the RF power waveform 300. However, it should be understood that the pulses in the bias voltage waveform 350 are not necessarily aligned with the pulses in the RF power waveform 300. The negative voltage 352 is applied during the second pulse period T P2 308 when the waveform 350 generated by the RF source 130 has a power equal to the second power level PRF2 304. The waveform 350 is at ground potential during the first pulse period T P1 306 when the plasma processing is terminated and the waveform 300 has a power equal to the first power level P RF1 302.
  • Applying a waveform to the plasma source 101 (FIG. 1) with two different power levels where the first power level P RF1 302 is applied by the RF source 130 during the period T P1 306 when the waveform 350 generated by the bias voltage supply 148 (FIG. 1) is at ground potential will assist in neutralizing charge accumulated on the substrate 146 (FIG. 1). Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146.
  • FIG. 3C illustrates a waveform 360 generated by the bias voltage supply 148 (FIG. 1) according to the present invention that applies a negative voltage 362 to the substrate 146 during plasma processing to attract ions and that applies a positive voltage 364 to the substrate 146 after plasma processing is terminated to assist in neutralizing charge on the substrate 146. The negative voltage 362 is applied during the second pulse period T P2 308 when the waveform 300 generated by the RF source 130 has a power equal to the second power level PRF2 304. The waveform 360 is at a positive potential 364 during the first pulse period T P1 306 when the waveform 300 generated by the RF source 130 has a power equal to the first power level P RF1 302.
  • Applying a waveform to the plasma source 101 (FIG. 1) with two different power levels where the first power level P RF1 302 is applied by the RF source 130 (FIG. 1) during the first period T P1 306 when the waveform 360 generated by the bias voltage supply 148 (FIG. 1) is at a positive potential 364 will assist in neutralizing charge accumulated on the substrate 146 (FIG. 1). Electrons in the corresponding plasma will neutralize at least some of the charge accumulated on the substrate 146. In addition, the positive voltage 364 applied the substrate 146 will also neutralize at least some of the charge accumulated on the substrate 146.
  • FIGS. 4A-C illustrate a RF power waveform 400 generated by the RF source 130 (FIG. 1) and bias voltage waveforms 402, 404 generated by the bias voltage supply 148 (FIG. 1) according to the present invention that are similar to the waveforms 300, 350, and 360 described in connection with FIGS. 3A-3C, but that are displaced in time relative to the waveforms 300, 350, and 360 so as to perform plasma process with both the first and the second power levels P RF1 302, PRF2 304. In this embodiment, the RF power waveform 400 and the bias voltage waveforms 402, 404 are synchronized, but the pulses in the RF power waveform 400 are not aligned with the pulses in the bias voltage waveforms 402, 404.
  • Changing the power generated by the RF source 130 during plasma processing allows the user to more precisely control the amount of charge that is accumulating on the surface of the substrate 146 during plasma processing to achieve certain process goals and effects. For example, increasing the power near the end of the second pulse period T P2 308 will enhance the neutralization of charge accumulated on the substrate 146.
  • FIGS. 5A-C illustrates a RF power waveform 500 generated by the RF source 130 (FIG. 1) with a variable frequency and corresponding bias voltage waveforms 502, 504 generated by the bias voltage supply 148 (FIG. 1) according to another embodiment of the present invention. The waveform 500 is similar to the waveforms 300, 400 described in connection with FIGS. 3 and 4. However, the RF powers in the first and second pulse periods T P1 306, T P2 308 are the same and the frequencies in the first and second pulse periods T P1 306, T P2 308 are different. Changing the frequency of the waveform 500 changes the ion/electron density and, therefore, changes the charge neutralization efficiency.
  • Thus, in one embodiment, the frequency of the waveform 500 in the first pulse period T P1 306 is different from the frequency of the waveform 500 in the second pulse period T P2 308 and these frequencies are chosen to at least partially neutralize charge accumulation during plasma processing. The waveforms 502, 504 are similar to the waveforms 350 and 360 that were described in connection with FIG. 3. In other embodiments, the waveforms 502, 504 are displaced in time relative to the waveform 500, similar to the displacement of waveforms 402, 404 that were described in connection with FIG. 4.
  • In addition, in one aspect of the present invention, parameters, such as the multiple power levels generated by the RF source 130, the frequency of the waveform 500 in the first and second pulse periods T P1 306, T P2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 (FIG. 1), are chosen to achieve certain process goals. For example, generating multiple power levels with the RF source 130 where one power level is generated by the RF source 130 when the bias voltage is at ground potential allows the user to use less power during plasma processing and/or to reduce process times because some plasma processing will occur when the bias voltage is at ground potential.
  • Also, in one embodiment of the present invention, at least one of the multiple power levels generated by the RF source 130 (FIG. 1), the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306, T P2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 (FIG. 1) are chosen to improve the retained dose on the substrate 146 (FIG. 1) when performing plasma doping. For example, using less power during plasma processing will result in less deposition and, therefore, a higher retained dose in the substrate. The operating pressure, gas flow rates, type of dilution gas, and plasma source power can also be selected to further improve the retained dose with this method.
  • Also, in another embodiment of the present invention, at least one of the multiple power levels generated by the RF source 130 (FIG. 1), the frequency of the waveform 500 in at least one of the first and second pulse periods T P1 306, T P2 308, and the relative timing of the waveform 500 with respect to the waveforms generated by the bias voltage supply 148 are chosen to improve sidewall coverage during plasma processing. The term “improve sidewall coverage” is referred to herein as increasing the ratio of the deposition rate of material on the sidewall to the deposition rate of material on the surface of the surface of the substrate perpendicular to the ion flux. Achieving better sidewall coverage is important for many applications, such as conformal doping and conformal deposition applications. For example, many three-dimensional and other state-of-the-art devices required conformal doping and conformal deposition.
  • Also, in another embodiment of the present invention, waveforms are generated by the RF source 130 (FIG. 1) with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 (FIG. 1) so as to create knock-on ion implants for plasma doping. The term “knock-on ion implant” is defined herein as a recoil ion implant where an ion is implanted through the surface layers of the substrate 146 to drive the dopant material into the substrate 146.
  • The ions used for the knock-on ion implant can be an inert ion species, such as He, Ne, Ar, Kr and Xe, which can be formed from an inert feed gas. In some embodiments, the mass of the knock-on ions is chosen to be similar to a mass of the desired dopant ions. The RF source 130 (FIG. 1) generates a RF power that is sufficient to direct the knock-on ions toward the substrate 146 (FIG. 1) with enough energy to physically knock the deposited dopant material into both the planar and non-planar features of the substrate 146 (FIG. 1) upon impact. Also, the operating parameters, such as chamber pressure, gas flow rate, plasma source power, gas dilution, and duty cycle of pulsed bias supply, can be chosen to enhance knock-on ion implants.
  • Knock-on ion implant can be used to form retrograde doping profiles. The waveforms are generated by the RF source 130 (FIG. 1) with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to create a retrograde profile, such as a retrograde doping profile or a retrograde deposited film profile. The term “retrograde profile” is defined herein as a profile where the peak concentration of the profile is below the surface of the substrate. See, for example, U.S. patent application Ser. No. 12/044,619, entitled “A Method of Forming a Retrograde Material Profile Using Ion Implantation, which is assigned the present assignee. The entire specification of U.S. patent application Ser. No. 12/044,619 is incorporated herein by reference.
  • For plasma doping, it is sometimes desirable to form retrograde ion implant dopant profiles because it is difficult to precisely control the depth of ion implanted layers for many reasons. For example, during plasma doping, there could be some unintentional etching of the surface of the substrate caused by physical sputtering and chemical etching. In addition, there could be some unintentional deposition on the surface of the substrate. Furthermore, there can be a significant ion implant energy distribution due to many factors, such as the presence of multiple ion species, collisions between ions, non uniformities in the plasma sheath, presence of secondary electron emissions, displacements currents formed due to parasitic impedances, and the application of non-ideal bias pulses.
  • In addition, it is sometimes desirable to form retrograde ion implant dopant profiles because surface-peak dopant profiles are very sensitive to post deposition or post implant processes since most of the maximum peak concentration of deposited or implanted material is located at or near the surface of the substrate. In particular, the photo-resist strip process typically performed after implantation will remove a significant amount of dopant material near the surface.
  • In other embodiments, the waveforms are generated by the RF source 130 with certain multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 so as to achieve certain process goals or process profiles, such as etching profiles. For example, the multiple power levels, multiple frequencies, and relative timings with respect to the waveforms generated by the bias voltage supply 148 can be chosen to achieve high aspect-ratio etching profiles or certain types of deposition profiles.
  • One skilled in the art will appreciate that waveforms generated by the RF source 130 (FIG. 1) according to the present invention can have both multiple amplitudes and multiple frequencies and can have various relative timings with respect to the waveforms generated by the bias voltage supply 148 (FIG. 1). In fact, there are an almost infinite number of possible waveforms with multiple power levels and multiple frequencies that can be generated by the RF source 130 (FIG. 1) and relative timing with respect to the waveforms generated by the bias voltage supply 148 (FIG. 1) that will at least partially neutralize charge and/or achieve the process goals described herein.
  • FIG. 6 illustrates measured multi-set-point RF power and control signal waveforms 600 according to one embodiment of the present invention. The waveforms 600 include RF power and control signal waveforms as a function of time beginning at time t0. The waveforms 600 show an ion implantation period 602, a charge neutralization period 604, and a power off period 606.
  • Referring to FIGS. 1 and 6, at time t0, the controller 152 (FIG. 1) generates an implant pulse 608 that instructs the bias voltage power supply 148 (FIG. 1) to bias the substrate 146 (FIG. 1) with a negative voltage pulse that attracts ions in the plasma towards the substrate 146. The rise time of the implant pulse 602 is about 30 microseconds. Also, at time t0 the controller 152 generates a RF pulse control signal that initiates a RF power waveform 610 having a first power level. In the ion implantation period 602, the controller 152 generates a first RF pulse control signal 612 that causes RF currents to flow in at least one of the RF antennas 126 and 128 (FIG. 1) thereby striking a plasma. The rise time of the first RF pulse control signal 612 is about 30 microseconds.
  • The charge neutralization period 604 begins when the first RF pulse control signal 612 and the implant pulse signal 608 both return to zero. The fall time of the first RF pulse control signal and the implant pulse control signal is about 20 microseconds. In the charge neutralization period 604, the controller 152 generates a second RF pulse control signal 614 that ramps the RF power waveform 610 to a second power level. In many embodiments, the second power level is greater than the first power level as shown in FIG. 6. However, in other embodiments, the second power level can be any power level including a power level that is lower than the first power level. The rise time of the second RF pulse control signal is also about 30 microseconds. In the charge neutralization period 604, at least some of the charge on the substrate 146 is efficiently neutralized by electrons in the plasma. This partial or complete charge neutralization reduces undesirable charging effects on the substrate 146.
  • The power off period 606 begins when the second RF pulse control signal 614 returns to zero. The fall time of the second RF pulse control signal 614 is about 20 microseconds. In the power off period 606, the RF power is extinguished, which terminates the plasma. The methods of plasma processing with enhanced charge neutralization according to the present invention can be employed with many different multi-set-point RF power and control signal waveforms 600.
  • It should be understood that the methods for charge neutralization according to the present invention can be used with numerous other types of plasma processing apparatus. For example, the methods for charge neutralization can be used with plasma processing apparatus that have inductively coupled plasma (ICP) sources, helicon resonator plasma sources, microwave plasma sources, ECR plasma source, and capacitive coupled plasma sources. In fact, any type of plasma source that can be operated in a pulsed mode can be used to perform the methods of the present invention.
  • EQUIVALENTS
  • While the present teachings are described in conjunction with various embodiments and examples, it is not intended that the present teachings be limited to such embodiments. On the contrary, the present teachings encompass various alternatives, modifications and equivalents, as will be appreciated by those of skill in the art, may be made therein without departing from the spirit and scope of the invention.

Claims (25)

1. A plasma processing apparatus comprising:
a. a platen that supports a substrate for plasma processing;
b. a RF power supply that generates a multi-level RF power waveform at an output, the multi-level RF power waveform having at least a first period with a first power level and a second period with a second power level;
c. a RF plasma source having an electrical input that is electrically connected to the output of the RF power supply, the RF plasma source generating at least a first RF plasma with the first RF power level during the first period and a second RF plasma with the second RF power level during the second period; and
d. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a bias voltage waveform that is sufficient to attract ions in the plasma to the substrate for plasma processing.
2. The plasma processing apparatus of claim 1 wherein the plasma processing apparatus comprises a plasma etching apparatus.
3. The plasma processing apparatus of claim 1 wherein the plasma processing apparatus comprises a plasma deposition apparatus.
4. The plasma processing apparatus of claim 1 wherein the plasma processing apparatus comprises a plasma doping apparatus.
5. The plasma processing apparatus of claim 1 wherein at least one of the first and the second power levels are substantially constant during respective ones of the first and the second periods.
6. The plasma processing apparatus of claim 1 wherein the second RF power level is chosen so that the plasma in the second period has enough electrons to at least partially neutralize charge accumulating on the substrate during the second period, thereby reducing charging effects on the substrate.
7. The plasma processing apparatus of claim 1 wherein a relative timing of the RF power waveform and the bias voltage waveform is chosen to at least partially neutralize charge accumulating on the substrate.
8. The plasma processing apparatus of claim 1 wherein the bias voltage waveform is substantially synchronized to the multi-amplitude RF power waveform.
9. A plasma processing apparatus comprising:
a. a platen that supports a substrate for plasma processing;
b. a RF power supply that generates a multi-level RF power waveform at an output, the multi-level RF power waveform having at least a first period with a first power level and a second period with a second power level;
c. a RF plasma source having an electrical input that is electrically connected to the output of the RF power supply, the RF plasma source generating a first RF plasma with the first RF power level during the first period and a second RF plasma with the second RF power level during the second period; and
d. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a bias voltage waveform that is synchronized to the RF power waveform and having at least a first bias voltage during a first period with a potential that is sufficient to attracts ions in the plasma to the substrate for plasma processing and a second bias voltage during a second period.
10. The plasma processing apparatus of claim 9 wherein at least one of the first and the second RF power levels are substantially constant during respective ones of the first and the second periods.
11. The plasma processing apparatus of claim 9 wherein at least one of the first and second RF power level, the first and second bias voltage, and the first and second period is chosen to achieve at least one of a predetermined process rate and a predetermined process profile.
12. The plasma processing apparatus of claim 9 wherein the second bias voltage has a potential that assists in neutralizing charge accumulating on the substrate.
13. The plasma processing apparatus of claim 9 wherein the second RF power level is chosen so that the plasma in the second period has enough electrons to at least partially neutralize charge accumulating on the substrate during the second period, thereby reducing charging effects on the substrate.
14. The plasma processing apparatus of claim 9 wherein the second bias voltage is substantially at ground potential.
15. The plasma processing apparatus of claim 9 wherein the bias voltage waveform is substantially synchronized to the multi-amplitude RF power waveform.
16. The plasma processing apparatus of claim 15 wherein the pulses in the RF power waveform are substantially aligned in time with the pulses in the bias voltage waveform.
17. The plasma processing apparatus of claim 15 wherein the pulses in the RF power waveform are displaced in time relative to the pulses in the bias voltage waveform.
18. The plasma processing apparatus of claim 9 wherein a relative timing of the RF power waveform and the bias voltage waveform is chosen to at least partially neutralize charge accumulating on the substrate.
19. The plasma processing apparatus of claim 9 wherein a frequency of the RF power waveform in the first period is different from a frequency of the RF power waveform in the second period.
20. A plasma processing apparatus comprising:
a. a platen that supports a substrate for plasma processing;
b. a RF power supply that generates a multi-amplitude RF power waveform at an output having a first power level during a first period, a second power level during a second period, and a third power level during a third period;
c. a plasma source having an electrical input that is electrically connected to the output of the pulsed power supply, the plasma source generating a first plasma with the first power level during a first period and a second plasma with the second power level during a second period, and substantially extinguishing the plasma during the third period; and
d. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply generating a bias voltage waveform having a first voltage during a first period and a second voltage during a second period, the first voltage being sufficient to attract ions in the plasma to the substrate for plasma processing.
21. The plasma processing apparatus of claim 20 wherein the bias voltage waveform is substantially synchronized to the multi-amplitude RF power waveform.
22. The plasma processing apparatus of claim 20 wherein the second RF power level is sufficient to maintain the plasma with enough electrons to at least partially neutralize charge accumulating during the second period, thereby reducing charging effects on the substrate.
23. The plasma processing apparatus of claim 20 wherein a relative timing of the multi-amplitude RF power waveform and the bias voltage waveform is chosen to at least partially neutralize charge accumulating on the substrate.
24. A plasma processing apparatus comprising:
a. a platen that supports a substrate for plasma processing;
b. a RF power supply that generates a RF power waveform at an output, the RF power waveform having at least a first period and a second period;
c. a RF plasma source having an electrical input that is electrically connected to the output of the RF power supply, the RF plasma source generating a first RF plasma during the first period and a second RF plasma during the second period; and
d. a bias voltage power supply having an output that is electrically connected to the platen, the bias voltage power supply being synchronized to the RF power waveform and having a first bias voltage during a first period that is sufficient to attracts ions in the plasma to the substrate for plasma processing and a second bias voltage during a second period.
25. A plasma processing apparatus comprising:
a. a means for generating a pulsed plasma with a first power level during a plasma processing period, and a second power level during a charge neutralization period; and
b. a means for generating a bias voltage waveform having a first voltage for extracting ions from the plasma during the plasma processing period and a second voltage during a second period that allows electrons in the plasma to at least partially neutralize charge accumulating on a substrate during plasma processing.
US12/105,761 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control Abandoned US20090000946A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/105,761 US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control
TW097123959A TWI460761B (en) 2007-06-29 2008-06-26 Plasma processing with enhanced charge neutralization and process control
US13/157,005 US9123509B2 (en) 2007-06-29 2011-06-09 Techniques for plasma processing a substrate
US13/708,412 US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/771,190 US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US12/105,761 US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US11/771,190 Continuation-In-Part US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US13/157,005 Continuation-In-Part US9123509B2 (en) 2007-06-29 2011-06-09 Techniques for plasma processing a substrate

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/157,005 Continuation-In-Part US9123509B2 (en) 2007-06-29 2011-06-09 Techniques for plasma processing a substrate
US13/708,412 Continuation US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Publications (1)

Publication Number Publication Date
US20090000946A1 true US20090000946A1 (en) 2009-01-01

Family

ID=40159571

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/771,190 Abandoned US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US12/098,781 Abandoned US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof
US12/105,761 Abandoned US20090000946A1 (en) 2007-06-29 2008-04-18 Plasma processing with enhanced charge neutralization and process control
US13/708,412 Active US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/771,190 Abandoned US20090004836A1 (en) 2007-06-29 2007-06-29 Plasma doping with enhanced charge neutralization
US12/098,781 Abandoned US20090001890A1 (en) 2007-06-29 2008-04-07 Apparatus for Plasma Processing a Substrate and a Method Thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/708,412 Active US8926850B2 (en) 2007-06-29 2012-12-07 Plasma processing with enhanced charge neutralization and process control

Country Status (6)

Country Link
US (4) US20090004836A1 (en)
JP (1) JP5745843B2 (en)
KR (1) KR101465542B1 (en)
CN (1) CN101689498B (en)
TW (2) TWI443715B (en)
WO (1) WO2009005991A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
US20110201185A1 (en) * 2010-02-17 2011-08-18 Tech Semiconductor Singapore Pte Ltd Method to improve transistor performance matching for plasma-assisted source/drain formation
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20120145918A1 (en) * 2010-12-10 2012-06-14 Varian Semiconductor Equipment Associates, Inc. Method of ionization
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
US20140097487A1 (en) * 2012-10-09 2014-04-10 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US20150206716A1 (en) * 2014-01-22 2015-07-23 Samsung Electronics Co., Ltd. Plasma generating apparatus
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
JP2016503558A (en) * 2012-10-30 2016-02-04 エムケーエス インストゥルメンツ,インコーポレイテッド RF pulse edge shaping
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US10410873B2 (en) * 2016-01-20 2019-09-10 Tokyo Electron Limited Power modulation for etching high aspect ratio features
WO2021011039A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
CN113543446A (en) * 2020-04-13 2021-10-22 台达电子工业股份有限公司 Ignition method of power generator
WO2022260834A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11581170B2 (en) * 2019-09-02 2023-02-14 Tokyo Electron Limited Plasma processing apparatus and processing method

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5237820B2 (en) * 2006-11-15 2013-07-17 パナソニック株式会社 Plasma doping method
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
EP2274713A4 (en) * 2008-03-11 2012-06-20 Hongguag Bi Ad matching system and method thereof
TWI578854B (en) 2008-08-04 2017-04-11 Agc北美平面玻璃公司 Method of forming coating using plasma enhanced chemical vapor deposition (pecvd)
WO2011080876A1 (en) * 2009-12-28 2011-07-07 パナソニック株式会社 Plasma doping apparatus
JP5097233B2 (en) * 2010-03-19 2012-12-12 パナソニック株式会社 Plasma doping method
US8877654B2 (en) * 2010-04-15 2014-11-04 Varian Semiconductor Equipment Associates, Inc. Pulsed plasma to affect conformal processing
KR20120004040A (en) * 2010-07-06 2012-01-12 삼성전자주식회사 Plasma generating apparatus
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9348339B2 (en) * 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
JP2012104382A (en) * 2010-11-10 2012-05-31 Tokyo Electron Ltd Plasma treatment apparatus, plasma treatment method, and plasma treatment bias voltage determination method
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
JP2012182181A (en) * 2011-02-28 2012-09-20 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition device and atomic layer deposition method
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
KR101851005B1 (en) 2011-06-02 2018-04-20 에스케이하이닉스 주식회사 Method of plasma doping using plasma doping apparatus
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) * 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
JP2013182966A (en) * 2012-03-01 2013-09-12 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method
US8809803B2 (en) * 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN104752256B (en) * 2013-12-25 2018-10-16 中微半导体设备(上海)有限公司 A kind of method for etching plasma and system
KR102222902B1 (en) 2014-05-12 2021-03-05 삼성전자주식회사 Plasma apparatus and method of fabricating semiconductor device using the same
US10861679B2 (en) * 2014-09-08 2020-12-08 Tokyo Electron Limited Resonant structure for a plasma processing system
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
EP3228161B1 (en) 2014-12-05 2021-11-03 AGC Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
JP6710686B2 (en) 2014-12-05 2020-06-17 エージーシー ガラス ヨーロッパ Hollow cathode plasma source, substrate treatment method
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
JP6424120B2 (en) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 Power supply system, plasma processing apparatus, and power supply control method
CN104988468B (en) * 2015-07-27 2018-03-16 哈尔滨工业大学 A kind of method of insulating materials metal plasma immersion ion implantation and deplsition
JP6670692B2 (en) * 2015-09-29 2020-03-25 株式会社日立ハイテク Plasma processing apparatus and plasma processing method
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) * 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
CN107295739A (en) * 2016-04-12 2017-10-24 北京北方华创微电子装备有限公司 Produce the method and its plasma apparatus of pulsed plasma
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
JP6810578B2 (en) * 2016-11-18 2021-01-06 株式会社Screenホールディングス Dopant introduction method and heat treatment method
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss
JP7045152B2 (en) * 2017-08-18 2022-03-31 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
WO2019099102A1 (en) * 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7175239B2 (en) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 CONTROL METHOD, PLASMA PROCESSING APPARATUS, PROGRAM AND STORAGE MEDIUM
WO2019244734A1 (en) 2018-06-22 2019-12-26 東京エレクトロン株式会社 Control method and plasma treatment device
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
CN112805920A (en) 2018-08-10 2021-05-14 鹰港科技有限公司 Plasma sheath control for RF plasma reactor
JP7068140B2 (en) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7174687B2 (en) * 2019-11-29 2022-11-17 東京エレクトロン株式会社 Plasma processing apparatus and etching method
US11361947B2 (en) * 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
EP3994716A4 (en) * 2019-07-02 2023-06-28 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (en) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 High voltage pulsing circuit
CN113035677B (en) * 2019-12-09 2023-01-24 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and plasma processing method
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
CN113571403A (en) * 2020-04-28 2021-10-29 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR102599027B1 (en) 2021-09-17 2023-11-06 한국원자력연구원 System for controlling plasma uniformity using multi-pulsing and method thereof
US20230130829A1 (en) * 2021-10-21 2023-04-27 Applied Materials, Inc. Plasma processing chambers configured for tunable substrate and edge sheath control
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444259A (en) * 1991-08-05 1995-08-22 Ohmi; Tadahiro Plasma processing apparatus
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6016131A (en) * 1995-08-16 2000-01-18 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6524432B1 (en) * 1996-02-02 2003-02-25 Applied Materials Inc. Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20050034816A1 (en) * 2003-08-15 2005-02-17 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US20050205212A1 (en) * 2004-03-22 2005-09-22 Varian Semiconductor Equipment RF Plasma Source With Conductive Top Section
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5005066A (en) * 1987-06-02 1991-04-02 Texas Instruments Incorporated Self-aligned NPN bipolar transistor built in a double polysilicon CMOS technology
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
JP3201223B2 (en) * 1995-07-17 2001-08-20 株式会社日立製作所 Plasma processing method and apparatus
US6253704B1 (en) * 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH104085A (en) * 1996-06-18 1998-01-06 Sony Corp Dry etching and apparatus therefor
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH10150025A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Plasma reactor
JPH10312899A (en) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing device
JPH11224796A (en) * 1998-02-05 1999-08-17 Matsushita Electron Corp Apparatus and method for plasma treatment
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
DE60041341D1 (en) 1999-08-17 2009-02-26 Tokyo Electron Ltd PULSE PLASMA TREATMENT METHOD AND DEVICE
CN1158403C (en) * 1999-12-23 2004-07-21 西南交通大学 Process for modifying surface of artificial organ
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
KR100842947B1 (en) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 Plasma processing method and plasma processor
US7316764B2 (en) * 2001-03-16 2008-01-08 4 Wave, Inc. System and method for performing sputter etching using independent ion and electron sources and a substrate biased with an a-symmetric bi-polar DC pulse signal
JP2003073814A (en) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd Film forming apparatus
DE10309711A1 (en) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Method for etching structures in an etching body with a plasma
US6985697B2 (en) * 2003-09-22 2006-01-10 Nokia, Inc. Method and system for wirelessly managing the operation of a network appliance over a limited distance
TWI405242B (en) * 2004-04-28 2013-08-11 Semiconductor Energy Lab Wiring over substrate, semiconductor device, and methods for manufacturing thereof
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100632948B1 (en) * 2004-08-06 2006-10-11 삼성전자주식회사 Sputtering method for forming a chalcogen compound and method for fabricating phase-changeable memory device using the same
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US7453059B2 (en) * 2006-03-10 2008-11-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US7567061B2 (en) * 2007-01-12 2009-07-28 Ford Global Technologies, Llc Battery equalization using a plug-in charger in a hybrid electric vehicle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20080230008A1 (en) 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090227096A1 (en) * 2008-03-07 2009-09-10 Varian Semiconductor Equipment Associates, Inc. Method Of Forming A Retrograde Material Profile Using Ion Implantation
JP5319150B2 (en) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and computer-readable storage medium

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444259A (en) * 1991-08-05 1995-08-22 Ohmi; Tadahiro Plasma processing apparatus
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US6016131A (en) * 1995-08-16 2000-01-18 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6524432B1 (en) * 1996-02-02 2003-02-25 Applied Materials Inc. Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US20050034816A1 (en) * 2003-08-15 2005-02-17 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US20050205212A1 (en) * 2004-03-22 2005-09-22 Varian Semiconductor Equipment RF Plasma Source With Conductive Top Section
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090001890A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Apparatus for Plasma Processing a Substrate and a Method Thereof
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US8926850B2 (en) 2007-06-29 2015-01-06 Varian Semiconductor Equipment Associates, Inc. Plasma processing with enhanced charge neutralization and process control
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US20110201185A1 (en) * 2010-02-17 2011-08-18 Tech Semiconductor Singapore Pte Ltd Method to improve transistor performance matching for plasma-assisted source/drain formation
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20120145918A1 (en) * 2010-12-10 2012-06-14 Varian Semiconductor Equipment Associates, Inc. Method of ionization
US8742373B2 (en) * 2010-12-10 2014-06-03 Varian Semiconductor Equipment Associates, Inc. Method of ionization
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US20140097487A1 (en) * 2012-10-09 2014-04-10 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
JP2016503558A (en) * 2012-10-30 2016-02-04 エムケーエス インストゥルメンツ,インコーポレイテッド RF pulse edge shaping
US20150206716A1 (en) * 2014-01-22 2015-07-23 Samsung Electronics Co., Ltd. Plasma generating apparatus
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US10410873B2 (en) * 2016-01-20 2019-09-10 Tokyo Electron Limited Power modulation for etching high aspect ratio features
WO2021011039A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
US11581170B2 (en) * 2019-09-02 2023-02-14 Tokyo Electron Limited Plasma processing apparatus and processing method
CN113543446A (en) * 2020-04-13 2021-10-22 台达电子工业股份有限公司 Ignition method of power generator
WO2022260834A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber

Also Published As

Publication number Publication date
KR101465542B1 (en) 2014-11-26
TW200908099A (en) 2009-02-16
TWI443715B (en) 2014-07-01
US20090004836A1 (en) 2009-01-01
CN101689498A (en) 2010-03-31
WO2009005991A1 (en) 2009-01-08
TW200912990A (en) 2009-03-16
TWI460761B (en) 2014-11-11
US8926850B2 (en) 2015-01-06
JP2010532549A (en) 2010-10-07
JP5745843B2 (en) 2015-07-08
KR20100028104A (en) 2010-03-11
US20130092529A1 (en) 2013-04-18
US20090001890A1 (en) 2009-01-01
CN101689498B (en) 2011-09-14

Similar Documents

Publication Publication Date Title
US8926850B2 (en) Plasma processing with enhanced charge neutralization and process control
US9123509B2 (en) Techniques for plasma processing a substrate
US20080169183A1 (en) Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) Multi-step plasma doping with improved dose control
US20070170867A1 (en) Plasma Immersion Ion Source With Low Effective Antenna Voltage
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
US20050205212A1 (en) RF Plasma Source With Conductive Top Section
EP1018139A1 (en) Adjustment of deposition uniformity in an inductively coupled plasma source
TWI428965B (en) Plasma doping apparatus and method of conformal plasma doping

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION