US20080311760A1 - Film formation method and apparatus for semiconductor process - Google Patents

Film formation method and apparatus for semiconductor process Download PDF

Info

Publication number
US20080311760A1
US20080311760A1 US12/155,678 US15567808A US2008311760A1 US 20080311760 A1 US20080311760 A1 US 20080311760A1 US 15567808 A US15567808 A US 15567808A US 2008311760 A1 US2008311760 A1 US 2008311760A1
Authority
US
United States
Prior art keywords
gas
supply
cycles
process gas
exciting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/155,678
Inventor
Nobutake Nodera
Masanobu Matsunaga
Kazuhide Hasebe
Kota Umezawa
Pao-Hwa Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOU, PAO-HWA, HASEBE, KAZUHIDE, MATSUNAGA, MASANOBU, Nodera, Nobutake, UMEZAWA, KOTA
Publication of US20080311760A1 publication Critical patent/US20080311760A1/en
Priority to US12/852,094 priority Critical patent/US8178448B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • the present invention relates to a film formation method and apparatus for a semiconductor process for forming a silicon nitride film on a target substrate, such as a semiconductor wafer.
  • semiconductor process used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an FPD (Flat Panel Display), e.g., an LCD (Liquid Crystal Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • FPD Fluor Panel Display
  • LCD Liquid Crystal Display
  • a target substrate such as a semiconductor wafer
  • various processes such as film formation, etching, oxidation, diffusion, reformation, annealing, and natural oxide film removal.
  • US 2006/0286817 A1 discloses a semiconductor processing method of this kind performed in a vertical heat-processing apparatus (of the so-called batch type).
  • semiconductor wafers are first transferred from a wafer cassette onto a vertical wafer boat and supported thereon at intervals in the vertical direction.
  • the wafer cassette can store, e.g., 25 wafers, while the wafer boat can support 30 to 150 wafers.
  • the wafer boat is loaded into a process container from below, and the process container is airtightly closed.
  • a predetermined heat process is performed, while the process conditions, such as process gas flow rate, process pressure, and process temperature, are controlled.
  • Semiconductor devices include insulating films made of materials, such as SiO 2 , PSG (Phospho Silicate Glass), P—SiO (formed by plasma CVD), P—Si—N (formed by plasma CVD), and SOG (Spin On Glass), Si 3 N 4 (silicon nitride).
  • materials such as SiO 2 , PSG (Phospho Silicate Glass), P—SiO (formed by plasma CVD), P—Si—N (formed by plasma CVD), and SOG (Spin On Glass), Si 3 N 4 (silicon nitride).
  • silicon nitride films are widely used, because they have better insulation properties as compared to silicon oxide films, and they can sufficiently serve as etching stopper films or inter-level insulating films. Further, for the same reason, carbon nitride films doped with boron are sometimes used.
  • a silane family gas such as monosilane (SiH 4 ), dichlorosilane (DCS: SiH 2 Cl 2 ), hexachlorodisilane (HCD: Si 2 Cl 6 ), bistertialbutylaminosilane (BTBAS: SiH 2 (NH(C 4 H 9 )) 2 ), or (t-C 4 H 9 NH) 2 SiH 2 , is used as a silicon source gas.
  • a silicon nitride film is formed by thermal CVD using a gas combination of SiH 2 Cl 2 +NH 3 (see U.S. Pat. No. 5,874,368 A) or Si 2 Cl 6 +NH 3 . Further, there is also proposed a method for doping a silicon nitride film with an impurity, such as boron (B), to decrease the dielectric constant.
  • an impurity such as boron (B)
  • CVD Chemical Vapor Deposition
  • MLD Molecular Layer Deposition
  • DCS and NH 3 are supplied as a silane family gas and a nitriding gas, respectively, to form a silicon nitride film (SiN)
  • the process is performed, as follows. Specifically, DCS and NH 3 gas are alternately and intermittently supplied into a process container with purge periods interposed therebetween.
  • an RF radio frequency
  • DCS is supplied into the process container
  • a layer with a thickness of one molecule or more of DCS is adsorbed onto the surface of wafers.
  • the superfluous DCS is removed during the purge period.
  • NH 3 is supplied and plasma is generated, thereby performing low temperature nitridation to form a silicon nitride film.
  • An object of the present invention is to provide a film formation method and apparatus for a semiconductor process, which can form a silicon nitride film of high quality at a high film formation rate while preventing particle generation.
  • a film formation method for a semiconductor process for forming a silicon nitride film on a target substrate in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising: a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field, wherein the method
  • a film formation apparatus for a semiconductor process comprising: a process container having a process field configured to accommodate a target substrate; a support member configured to support the target substrate inside the process field; a heater configured to heat the target substrate inside the process field; an exhaust system configured to exhaust gas from the process field; a first process gas supply circuit configured to supply a first process gas containing a silane family gas to the process field; a second process gas supply circuit configured to supply a second process gas containing a nitriding gas to the process field; an exciting mechanism configured to excite the second process gas to be supplied; and a control section configured to control an operation of the apparatus, wherein the control section is preset to execute a film formation method for a semiconductor process for forming a silicon nitride film on the target substrate, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate,
  • a computer readable medium containing program instructions for execution on a processor, which is used for a film formation apparatus for a semiconductor process for forming a silicon nitride film on a target substrate, in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, wherein the program instructions, when executed by the processor, cause the film formation apparatus to conduct a film formation method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising: a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field
  • the method before forming the silicon nitride film on the target substrate, may further comprise a pre-coating process arranged to perform a plurality of pre-cycles in the process container with no target substrate placed therein to form a pre-coating film inside the process container, each of the pre-cycles comprising: a first pre-step of performing supply of the first process gas into the process container while maintaining a shut-off state of supply of the second process gas into the process container; and a second pre-step of performing supply of the second process gas into the process container while maintaining a shut-off state of supply of the first process gas into the process container, wherein the second pre-step comprises no period of exciting the second process gas by the exciting mechanism.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to an embodiment of the present invention
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1 ;
  • FIG. 3 is a timing chart showing the gas supply and RF (radio frequency) application of a film formation method according to an embodiment of the present invention
  • FIG. 4 is a view showing a modification concerning the ON-state of an RF power supply in the NH 3 gas supply step
  • FIG. 5 is a sectional view showing the laminated state of a silicon nitride film formed by use of the timing chart shown in FIG. 3 ;
  • FIG. 6 is a diagram showing combinations of cycle sets performed with plasma and cycle sets performed without plasma, according to present examples and comparative examples used in an experiment;
  • FIG. 7 is a graph showing particle generation, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 ;
  • FIG. 8 is a graph showing the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 ;
  • FIG. 9 is a graph showing the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 ;
  • FIG. 10 is a graph showing the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 ;
  • FIGS. 11A and 11B are timing charts each showing the gas supply and RF (radio frequency) application of a film formation method according to a modification of the present invention.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to an embodiment of the present invention.
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1 .
  • the film formation apparatus 2 has a process field configured to be selectively supplied with a first process gas containing dichlorosilane (DCS) gas as a silane family gas, and a second process gas containing ammonia (NH 3 ) gas as a nitriding gas.
  • the film formation apparatus 2 is configured to form a silicon nitride film on target substrates in the process field.
  • DCS dichlorosilane
  • NH 3 ammonia
  • the apparatus 2 includes a process container 4 shaped as a cylindrical column with a ceiling and an opened bottom, in which a process field 5 is defined to accommodate and process a plurality of semiconductor wafers (target substrates) stacked at intervals in the vertical direction.
  • the entirety of the process container 4 is made of, e.g., quartz.
  • the top of the process container 4 is provided with a quartz ceiling plate 6 to airtightly seal the top.
  • the bottom of the process container 4 is connected through a seal member 10 , such as an O-ring, to a cylindrical manifold 8 .
  • the process container may be entirely formed of a cylindrical quartz column without a manifold 8 separately formed.
  • the manifold 8 is made of, e.g., stainless steel, and supports the bottom of the process container 4 .
  • a wafer boat 12 made of quartz is moved up and down through the bottom port of the manifold 8 , so that the wafer boat 12 is loaded/unloaded into and from the process container 4 .
  • a number of target substrates or semiconductor wafers W are stacked on a wafer boat 12 .
  • the wafer boat 12 has struts 12 A that can support, e.g., about 50 to 100 wafers having a diameter of 300 mm at essentially regular intervals in the vertical direction.
  • the wafer boat 12 is placed on a table 16 through a heat-insulating cylinder 14 made of quartz.
  • the table 16 is supported by a rotary shaft 20 , which penetrates a lid 18 made of, e.g., stainless steel, and is used for opening/closing the bottom port of the manifold 8 .
  • the portion of the lid 18 where the rotary shaft 20 penetrates is provided with, e.g., a magnetic-fluid seal 22 , so that the rotary shaft 20 is rotatably supported in an airtightly sealed state.
  • a seal member 24 such as an O-ring, is interposed between the periphery of the lid 18 and the bottom of the manifold 8 , so that the interior of the process container 4 can be kept sealed.
  • the rotary shaft 20 is attached at the distal end of an arm 26 supported by an elevating mechanism 25 , such as a boat elevator.
  • the elevating mechanism 25 moves the wafer boat 12 and lid 18 up and down integratedly.
  • the table 16 may be fixed to the lid 18 , so that wafers W are processed without rotation of the wafer boat 12 .
  • a gas supply section is connected to the side of the manifold 8 to supply predetermined process gases to the process field 5 within the process container 4 .
  • the gas supply section includes a second process gas supply circuit 28 , a first process gas supply circuit 30 , and a purge gas supply circuit 36 .
  • the first process gas supply circuit 30 is arranged to supply a first process gas containing a silane family gas, such as DCS (dichlorosilane) gas.
  • the second process gas supply circuit 28 is arranged to supply a second process gas containing a nitriding gas, such as ammonia (NH 3 ) gas.
  • the purge gas supply circuit 36 is arranged to supply an inactive gas, such as N 2 gas, as a purge gas.
  • Each of the first and second process gases is mixed with a suitable amount of carrier gas, as needed. However, such a carrier gas will not be mentioned, hereinafter, for the sake of simplicity of explanation.
  • the second and first process gas supply circuits 28 and 30 include gas distribution nozzles 38 and 40 , respectively, each of which is formed of a quartz pipe which penetrates the sidewall of the manifold 8 from the outside and then turns and extends upward (see FIG. 1 ).
  • the gas distribution nozzles 38 and 40 respectively have a plurality of gas spouting holes 38 A and 40 A, each set of holes being formed at predetermined intervals in the longitudinal direction (the vertical direction) over all the wafers W on the wafer boat 12 .
  • Each of the gas spouting holes 38 A and 40 A delivers the corresponding process gas almost uniformly in the horizontal direction, so as to form gas flows parallel with the wafers W on the wafer boat 12 .
  • the purge gas supply circuit 36 includes a short gas nozzle 46 , which penetrates the sidewall of the manifold 8 from the outside.
  • the nozzles 38 , 40 , and 46 are connected to gas sources 28 S, 30 S, and 36 S of NH 3 gas, DCS gas, and N 2 gas, respectively, through gas supply lines (gas passages) 48 , 50 , and 56 , respectively.
  • the gas supply lines 48 , 50 , and 56 are provided with switching valves 48 A, 50 A, and 56 A and flow rate controllers 48 B, 50 B, and 56 B, such as mass flow controllers, respectively.
  • a gas exciting section 66 is formed at the sidewall of the process container 4 in the vertical direction.
  • a long and thin exhaust port 68 for vacuum-exhausting the inner atmosphere is formed by cutting the sidewall of the process container 4 in, e.g., the vertical direction.
  • the gas exciting section 66 has a vertically long and thin opening 70 formed by cutting a predetermined width of the sidewall of the process container 4 , in the vertical direction.
  • the opening 70 is covered with a quartz cover 72 airtightly connected to the outer surface of the process container 4 by welding.
  • the cover 72 has a vertical long and thin shape with a concave cross-section, so that it projects outward from the process container 4 .
  • the gas exciting section 66 is formed such that it projects outward from the sidewall of the process container 4 and is opened on the other side to the interior of the process container 4 .
  • the inner space of the gas exciting section 66 communicates with the process field 5 within the process container 4 .
  • the opening 70 has a vertical length sufficient to cover all the wafers W on the wafer boat 12 in the vertical direction.
  • a pair of long and thin electrodes 74 are disposed on the opposite outer surfaces of the cover 72 , and face each other in the longitudinal direction (the vertical direction).
  • the electrodes 74 are connected to an RF (Radio Frequency) power supply 76 for plasma generation, through feed lines 78 .
  • An RF voltage of, e.g., 13.56 MHz is applied to the electrodes 74 to form an RF electric field for exciting plasma between the electrodes 74 .
  • the frequency of the RF voltage is not limited to 13.56 MHz, and it may be set at another frequency, e.g., 400 kHz.
  • the gas distribution nozzle 38 of the second process gas is bent outward in the radial direction of the process container 4 , at a position lower than the lowermost wafer W on the wafer boat 12 . Then, the gas distribution nozzle 38 vertically extends at the deepest position (the farthest position from the center of the process container 4 ) in the gas exciting section 66 . As shown also in FIG. 2 , the gas distribution nozzle 38 is separated outward from an area sandwiched between the pair of electrodes 74 (a position where the RF electric field is most intense), i.e., a plasma generation area PS where the main plasma is actually generated.
  • the second process gas containing NH 3 gas is spouted from the gas spouting holes 38 A of the gas distribution nozzle 38 toward the plasma generation area PS. Then, the second process gas is selectively excited (decomposed or activated) in the plasma generation area PS, and is supplied in this state onto the wafers W on the wafer boat 12 .
  • An insulating protection cover 80 made of, e.g., quartz is attached on and covers the outer surface of the cover 72 .
  • a cooling mechanism (not shown) is disposed in the insulating protection cover 80 and comprises coolant passages respectively facing the electrodes 74 .
  • the coolant passages are supplied with a coolant, such as cooled nitrogen gas, to cool the electrodes 74 .
  • the insulating protection cover 80 is covered with a shield (not shown) disposed on the outer surface to prevent RF leakage.
  • the gas distribution nozzle 40 of the first process gas is disposed. Specifically, the gas distribution nozzle 40 extends upward on one side of the outside of the opening 70 (in the process container 4 ).
  • the first process gas containing DCS gas is spouted from the gas spouting holes 40 A of the gas distribution nozzle 40 toward the center of the process container 4 .
  • the exhaust port 68 which is formed opposite the gas exciting section 66 , is covered with an exhaust port cover member 82 .
  • the exhaust port cover member 82 is made of quartz with a U-shape cross-section, and attached by welding.
  • the exhaust cover member 82 extends upward along the sidewall of the process container 4 , and has a gas outlet 84 at the top of the process container 4 .
  • the gas outlet 84 is connected to a vacuum-exhaust system GE including a vacuum pump and so forth.
  • the process container 4 is surrounded by a heater 86 , which is used for heating the atmosphere within the process container 4 and the wafers W.
  • a thermocouple (not shown) is disposed near the exhaust port 68 in the process container 4 to control the heater 86 .
  • the film formation apparatus 2 further includes a main control section 60 formed of, e.g., a computer, to control the entire apparatus.
  • the main control section 60 can control the film formation process and pre-coating process described below in accordance with process recipes stored in the storage section 62 thereof in advance, with reference to the film thickness and composition of a film to be formed.
  • the storage section 62 the relationship between the process gas flow rates and the thickness and composition of the film is also stored as control data in advance. Accordingly, the main control section 60 can control the elevating mechanism 25 , gas supply circuits 28 , 30 , and 36 , exhaust system GE, gas exciting section 66 , heater 86 , and so forth, based on the stored process recipes and control data.
  • Examples of a storage medium are a magnetic disk (flexible disk, hard disk (a representative of which is a hard disk included in the storage section 62 ), etc.), an optical disk (CD, DVD, etc.), a magneto-optical disk (MO, etc.), and a semiconductor memory.
  • a film formation method (so called ALD or MLD film formation) performed in the apparatus shown in FIG. 1 .
  • a silicon nitride film is formed on semiconductor wafers by ALD or MLD.
  • a first process gas containing dichlorosilane (DCS) gas as a silane family gas and a second process gas containing ammonia (NH 3 ) gas as a nitriding gas are selectively supplied into the process field 5 accommodating wafers W.
  • DCS dichlorosilane
  • NH 3 ammonia
  • the wafer boat 12 at room temperature which supports a number of, e.g., 50 to 100, wafers having a diameter of 300 mm, is loaded into the process container 4 heated at a predetermined temperature, and the process container 4 is airtightly closed. Then, the interior of the process container 4 is vacuum-exhausted and kept at a predetermined process pressure, and the wafer temperature is increased to a process temperature for film formation. At this time, the apparatus is in a waiting state until the temperature becomes stable. Then, while the wafer boat 12 is rotated, the first and second process gases are intermittently supplied from the respective gas distribution nozzles 40 and 38 at controlled flow rates.
  • the first process gas containing DCS gas is supplied from the gas spouting holes 40 A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12 . While being supplied, the DCS gas is activated by the heating temperature to the process field 5 , and molecules of the DCS gas and molecules and atoms of decomposition products generated by decomposition are adsorbed on the wafers W.
  • the second process gas containing NH 3 gas is supplied from the gas spouting holes 38 A of the gas distribution nozzle 38 to form gas flows parallel with the wafers W on the wafer boat 12 .
  • the gas exciting section 66 is set in the ON-state or OFF-state, depending on the cycle sets, as described later.
  • the second process gas When the gas exciting section 66 is set in the ON-state, the second process gas is excited and partly turned into plasma when it passes through the plasma generation area PS between the pair of electrodes 74 . At this time, for example, radicals (activated species), such as N*, NH*, NH 2 *, and NH 3 *, are produced (the symbol ⁇ * ⁇ denotes that it is a radical).
  • the gas exciting section 66 when the gas exciting section 66 is set in the OFF-state, the second process gas passes, mainly as gas molecules, through the gas exciting section 66 .
  • the radicals or gas molecules flow out from the opening 70 of the gas exciting section 66 toward the center of the process container 4 , and are supplied into gaps between the wafers W in a laminar flow state.
  • Radicals derived from the NH 3 gas excited by plasma or molecules of the NH 3 gas and molecules and atoms of decomposition products generated by decomposition due to activation by the heating temperature to the process field 5 react with molecules and so forth of DCS gas adsorbed on the surface of the wafers W, so that a thin film is formed on the wafers W.
  • the DCS gas flows onto radicals derived from the NH 3 gas or molecules and atoms of decomposition products derived from the NH 3 gas and adsorbed on the surface of the wafers W, the same reaction is caused, so a silicon nitride film is formed on the wafers W.
  • the gas exciting section 66 is set in the ON-state, the film formation is developed at an increased reaction rate.
  • the gas exciting section 66 is set in the OFF-state, the film formation is developed at a decreased reaction rate.
  • FIG. 3 is a timing chart showing the gas supply and RF (radio frequency) application of a film formation method according to an embodiment of the present invention.
  • the film formation method according to this embodiment repeats a first cycle set SC 1 and a second cycle set SC 2 mixedly, such as alternately as in this example, a plurality of times.
  • the first cycle set SC 1 is composed of a cycle or cycles in which the second process gas containing NH 3 gas is excited by the gas exciting section 66 .
  • the second cycle set SC 2 is composed of a cycle or cycles in which the second process gas is not excited by the gas exciting section 66 .
  • Each of the first and second cycle sets SC 1 and SC 2 is formed of a set of three cycles, and each of the cycles is formed of first to fourth steps T 1 to T 4 . Accordingly, a cycle comprising the first to fourth steps T 1 to T 4 is repeated a number of times, and thin films of silicon nitride formed by respective cycles are laminated, thereby arriving at a silicon nitride film having a target thickness.
  • the first step T 1 is arranged to perform supply of the first process gas (denoted as DCS in FIG. 3 ) to the process field 5 , while maintaining the shut-off state of supply of the second process gas (denoted as NH 3 in FIG. 3 ) to the process field 5 .
  • the second step T 2 is arranged to maintain the shut-off state of supply of the first and second process gases to the process field 5 .
  • the third step T 3 is arranged to perform supply of the second process gas to the process field 5 , while maintaining the shut-off state of supply of the first process gas to the process field 5 .
  • the fourth step T 4 is arranged to maintain the shut-off state of supply of the first and second process gases to the process field 5 .
  • Each of the second and fourth steps T 2 and T 4 is used as a purge step to remove the residual gas within the process container 4 .
  • the term “purge” means removal of the residual gas within the process container 4 by vacuum-exhausting the interior of the process container 4 while supplying an inactive gas, such as N 2 gas, into the process container 4 , or by vacuum-exhausting the interior of the process container 4 while maintaining the shut-off state of supply of all the gases.
  • the second and fourth steps T 2 and T 4 may be arranged such that the first half utilizes only vacuum-exhaust and the second half utilizes both vacuum-exhaust and inactive gas supply.
  • first and third steps T 1 and T 3 may be arranged to stop vacuum-exhausting the process container 4 while supplying each of the first and second process gases.
  • the interior of the process container 4 can be continuously vacuum-exhausted over the entirety of the first to fourth steps T 1 to T 4 .
  • the RF power supply 76 is set in the ON-state to turn the second process gas into plasma by the gas exciting section 66 , so as to supply the second process gas in an activated state to the process field 5 .
  • the RF power supply 76 is set in the OFF-state not to turn the second process gas into plasma by the gas exciting section 66 , while supplying the second process gas to the process field 5 .
  • the heating temperature set by the heater 86 to the process field 5 remains the same in the first and second cycle sets SC 1 and SC 2 , i.e., it is essentially not changed depending on the cycle sets.
  • the first step T 1 is set to be within a range of about 2 to 10 seconds
  • the second step T 2 is set to be within a range of about 5 to 15 seconds
  • the third step T 3 is set to be within a range of about 10 to 20 seconds
  • the fourth step T 4 is set to be within a range of about 5 to 15 seconds.
  • these values of time and thickness are merely examples and thus are not limiting.
  • FIG. 4 is a view showing a modification concerning the ON-state of an RF power supply in the NH 3 gas supply step.
  • the RF power supply 76 is set in the ON-state to supply the second process gas in an activated state to the process field 5 during a sub-step T 3 b .
  • the RF power supply 76 is turned on after a predetermined time ⁇ t passes, to turn the second process gas into plasma by the gas exciting section 66 , so as to supply the second process gas in an activated state to the process field 5 during the sub-step T 3 b .
  • the predetermined time ⁇ t is defined as the time necessary for stabilizing the flow rate of NH 3 gas, which is set at, e.g., about 5 seconds. Since the RF power supply is turned on to generate plasma after the flow rate of the second process gas is stabilized, the uniformity of radical concentration among the wafers W (uniformity in the vertical direction) is improved.
  • FIG. 5 is a sectional view showing the laminated state of a silicon nitride film formed by use of the timing chart shown in FIG. 3 .
  • SiN regions 90 A formed by use of no plasma and SiN regions 90 B formed by use of plasma are alternately laminated on the surface of a wafer W.
  • each of the SiN regions 90 A and 90 B is formed of three unit thin films corresponding to three cycles.
  • the film formation temperature is set at, e.g., 550° C., which is lower than the conventional film formation temperature of, e.g., about 760° C.
  • the film formation temperature is set at, e.g., 550° C., which is lower than the conventional film formation temperature of, e.g., about 760° C.
  • the film is not excessively etched by cleaning, and thus the cleaning process is performed with high controllability in the film thickness.
  • the film can sufficiently serve as an etching stopper film or inter-level insulating film.
  • the process conditions of the film formation process are as follows.
  • the flow rate of DCS gas is set to be within a range of 50 to 2,000 sccm, e.g., at 1,000 sccm (1 slm).
  • the flow rate of NH 3 gas is set to be within a range of 500 to 5,000 sccm, e.g., at 1,000 sccm.
  • the process temperature is lower than ordinary CVD processes, and is set to be within a range of 300 to 700° C., and preferably of 450 to 630° C. If the process temperature is lower than 300° C., essentially no film is deposited because hardly any reaction is caused.
  • the process temperature is higher than 700° C., a low quality CVD film is deposited, and existing films, such as a metal film, suffer thermal damage.
  • the temperature of the process field 5 may be changed to some extent depending on the presence and absence of plasma in the first and second cycle sets SC 1 and SC 2 . However, the heating temperature set by the heater 86 to the process field 5 remains essentially the same in the first and second cycle sets SC 1 and SC 2 .
  • the process pressure is set to be within a range of 13 Pa (0.1 Torr) to 13,300 Pa (100 Torr), preferably of 40 Pa (0.3 Torr) to 266 Pa (2 Torr), and more preferably of 93 P (0.7 Torr) to 107 P (0.8 Torr).
  • the process pressure is set at 1 Torr during the first step (DCS supply step) T 1 , and at 0.3 Torr during the third step (NH 3 supply step) T 3 . If the process pressure is lower than 13 Pa, the film formation rate becomes lower than the practical level.
  • the reaction mode on the wafers W is mainly of an adsorption reaction, and thus a high quality thin film can be stably deposited at a high film formation rate, thereby attaining a good result.
  • the reaction mode is shifted from the adsorption reaction to a vapor-phase reaction, which then becomes prevailing on the wafers W. This is undesirable, because the inter-substrate uniformity and planar uniformity of the film are deteriorated, and the number of particles due to the vapor-phase reaction suddenly increases.
  • the number of cycles constituting each of the first and second cycle sets SC 1 and SC 2 is not limited to three, and one cycle set may be defined by, e.g., one to ten cycles.
  • the second cycle set SC 2 is first performed, but the first cycle set SC 1 may be first performed.
  • DCS is first supplied in each cycle, but NH 3 gas may be first supplied alternatively.
  • the mixture state of the first and second cycle sets SC 1 and SC 2 does not have to be completely constant, but may be random. However, in light of controllability, this mixture state is preferably set constant (alternate state).
  • the number of cycles constituting the first cycle set SC 1 is preferably set to be larger than the number of cycles constituting the second cycle set SC 2 . If the second cycle set SC 2 utilizing no plasma has an excessively large number of constituting cycles, or the second cycle set SC 2 is performed with an excessively large frequency, the film quality is deteriorated. In reverse, if these factors are excessively small, particle generation is rapidly increased.
  • the first cycle set SC 1 utilizing plasma may be formed of three cycles, four cycles, or a larger number of cycles, while the second cycle set SC 2 utilizing no plasma may be formed of only one cycle or two cycles.
  • FIGS. 11A and 11B are timing charts each showing the gas supply and RF (radio frequency) application of a film formation method according to a modification of the present invention.
  • each of the first and second cycle sets SC 1 and SC 2 is formed of one cycle, and the first and second cycle sets SC 1 and SC 2 are alternately performed.
  • the first cycle set SC 1 is formed of two cycles
  • the second cycle set SC 2 is formed of one cycle
  • the first and second cycle sets SC 1 and SC 2 are alternately performed.
  • a silicon nitride film was formed in the apparatus shown in FIG. 1 by film formation methods respectively using different combinations of cycle sets performed with plasma and cycle sets performed without plasma, and then the film thus formed was examined.
  • the process conditions described above were employed as the reference for the film formation process, while the film formation temperature was set at 550° C. and the target film thickness was set at about 50 nm.
  • FIG. 6 is a diagram showing combinations of cycle sets performed with plasma and cycle sets performed without plasma, according to the present examples and comparative examples used in the experiment.
  • a shaded zone represents a first cycle set SC 1 utilizing plasma in the third step (NH 3 supply step) T 3
  • a blank zone represents a second cycle set SC 2 utilizing no plasma in the third step (NH 3 supply step) T 3 .
  • one cycle set was formed of one cycle.
  • the comparative example CE 1 was arranged such that all the cycle sets were the first cycle set SC 1 utilizing plasma.
  • the comparative example CE 2 was arranged such that all the cycle sets were the second cycle set SC 2 utilizing no plasma.
  • the present example PE 1 was arranged such that the first cycle set SC 1 utilizing plasma and the second cycle set SC 2 utilizing no plasma were alternately performed in the ratio of one to one.
  • the flow chart shown in FIG. 3 corresponds to the present example PE 1 , although the number of cycles constituting one cycle set is different.
  • the present example PE 2 was arranged such that the first cycle set SC 1 utilizing plasma and the second cycle set SC 2 utilizing no plasma were alternately performed in the ratio of two to one.
  • the present example PE 3 was arranged such that the first cycle set SC 1 utilizing plasma and the second cycle set SC 2 utilizing no plasma were alternately performed in the ratio of three to one.
  • the number of generated particles per wafer was measured on each of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 .
  • the same film formation apparatus was used to sequentially perform film formation processes in accordance with the comparative example CE 1 , comparative example CE 2 , present example PE 1 , present example PE 2 , and present example PE 3 , in this order.
  • the size of particles to be measured was set to fall within a range of 0.08 to 1.00 ⁇ m. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 7 is a graph showing particle generation, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 .
  • the comparative example CE 1 unfavorably rendered a lot of particle generation with the number of particles of 300 or more over the entire positions of the wafer boat.
  • the comparative example CE 1 provided the silicon nitride film with fairly good film quality.
  • the comparative example CE 2 rendered a very good result with the number of particles of about 10 to 20 over the entire positions of the wafer boat.
  • the comparative example CE 2 did not provide the silicon nitride film with good film quality.
  • the present examples PE 1 to PE 3 rendered the number of particles gradually increased with an increase in the ratio of use of plasma in the third step (NH 3 supply step) T 3 .
  • the number of particles was favorably still far lower than that of the comparative example CE 1 .
  • the present examples PE 1 to PE 3 provided the silicon nitride film with relatively good film quality.
  • the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 was measured. If this film stress is larger, the silicon nitride film can be easily cracked and peeled, so particle generation may be developed. Wafers placed at TOP (top) and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 8 is a graph showing the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 .
  • the comparative example CE 1 rendered a film stress of about 0.621 GPa, which was far higher than those of the comparative example CE 2 and present examples PE 1 to PE 3 . It is thought that such a high film stress of the comparative example CE 1 was one of the causes that brought about a large number of generated particles in the comparative example CE 1 , as described with reference to FIG. 7 .
  • the film stress was lowest in the comparative example CE, and gradually increased with an increase in the ratio of use of plasma in the third step (NH 3 supply step) T 3 .
  • the maximum was 0.404 GPa in the present example PE 3 , which was still far lower than that of the comparative example CE 1 . It is thought that such a low film stress was one of the causes that brought about a small number of generated particles in the comparative example CE 2 and present examples PE 1 to PE 3 , as described with reference to FIG. 7 .
  • the ratio of use of plasma in the third step (NH 3 supply step) T 3 the film stress was controlled.
  • silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 were examined in terms of the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 9 is a graph showing the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 .
  • bars denote film formation rates
  • lines provided with symbols “ ⁇ ” denote the planar uniformity of the film thickness
  • points defined by symbols “ ⁇ ” denote the inter-substrate uniformity of the film thickness.
  • the comparative example CE 1 rendered a high film formation rate of about 0.126 nm/cycle due to the presence of plasma.
  • the comparative example CE 2 rendered a low film formation rate of about 0.089 nm/cycle due to the absence of plasma.
  • the present examples PE 1 to PE 3 rendered film formation rates lower than that of the comparative example CE 1 but favorably far higher than that of the comparative example CE 2 .
  • the film formation rates were gradually higher with an increase in the ratio of use of plasma in the third step (NH 3 supply step) T 3 , such that the present example PE 1 resulted in about 0.111 nm/cycle, and the present example PE 3 resulted in about 0.119 nm/cycle.
  • the planar uniformity of the film thickness it differed depending on the positions TOP, CTR, and BTM, but the present examples PE 1 to PE 3 brought about relatively good results with the same tendency, as compared to the comparative example CE 2 .
  • the comparative examples CE 1 and CE 2 showed values of less than ⁇ 2%, while the present examples PE 1 to PE 3 favorably showed values of less than +1%.
  • the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 was measured.
  • As an etching liquid 0.5% dilute hydrofluoric acid (0.5%-DHF) was used. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers, but only one wafer placed at CTR (center) was used in the comparative example CE 1 .
  • FIG. 10 is a graph showing the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 .
  • the comparative example CE 2 rendered a relatively large etching rate of about 0.592 nm/min.
  • the present examples PE 1 to PE 3 rendered etching rates of about 0.525 to 0.545 nm/min, which were smaller than that of the comparative example CE 2 and were closer to 0.553 nm/min of the comparative example CE 1 that provided the film with high quality. Hence, it was confirmed that the present examples PE 1 to PE 3 brought about good characteristics with low etching rates.
  • a pre-coating process may be performed to form a pre-coating film inside the process container 4 .
  • the wafer boat 12 set in an empty state with no wafers held thereon, or a state with dummy wafers held thereon in place of product semiconductor wafers W is placed in the process field 5 .
  • the pre-coating process is arranged to repeat a number of times a cycle comprising the first to fourth steps T 1 to T 4 shown in FIG. 3 , as in the film formation process. Consequently, thin films of silicon nitride formed by respective cycles are laminated, thereby arriving at a pre-coating film of silicon nitride having a target thickness.
  • the first step T 1 is arranged to perform supply of the first process gas (denoted as DCS in FIG. 3 ) into the process container 4 , while maintaining the shut-off state of supply of the second process gas (denoted as NH 3 in FIG. 3 ) into the process container 4 .
  • the second step T 2 is arranged to maintain the shut-off state of supply of the first and second process gases into the process container 4 .
  • the third step T 3 is arranged to perform supply of the second process gas into the process container 4 , while maintaining the shut-off state of supply of the first process gas into the process container 4 .
  • the fourth step T 4 is arranged to maintain the shut-off state of supply of the first and second process gases into the process container 4 .
  • Each of the second and fourth steps T 2 and T 4 is used as a purge step to remove the residual gas within the process container 4 .
  • the RF power supply 76 is always set in the OFF-state not to turn the second process gas into plasma by the gas exciting section 66 , while supplying the second process gas into the process container 4 .
  • the second cycle set SC 2 utilizing no plasma is repeated to form a pre-coating film inside the process container 4 .
  • the other process conditions of the pre-coating process such as the process pressure and process temperature, are set to be the same as the process conditions of the film formation process described above.
  • the surface of components inside the process container 4 such as the inner wall of the process container 4 and the wafer boat 12 , are covered with a pre-coating film of silicon nitride formed by use of no plasma.
  • the wafer boat 12 is unloaded from the process container 4 .
  • product wafers W to be subjected to the film formation process are transferred onto this wafer boat 12 within the loading area (not shown), and the film formation process is subsequently performed in the manner described above.
  • the exciting section 66 for generating plasma of the film formation apparatus 2 is integrally combined with the process container 4 .
  • the exciting section 66 may be separately disposed from the process container 4 , so as to excite NH 3 gas outside the process container 4 (so called remote plasma), and then supply the excited NH 3 gas into the process container 4 .
  • the first process gas contains DCS gas as a silane family gas.
  • the silane family gas may contain at least one gas selected from the group consisting of dichlorosilane (DCS), hexachlorodisilane (HCD), monosilane (SiH 4 ), disilane (Si 2 Cl 6 ), hexamethyl-disilazane (HMDS), tetrachlorosilane (TCS), disilylamine (DSA), trisilylamine (TSA), bistertial-butylaminosilane (BTBAS), trimethylsilane (TMS), dimethylsilane (DMS), and monomethylamine (MMA).
  • DCS dichlorosilane
  • HCD hexachlorodisilane
  • H 4 monosilane
  • Si 2 Cl 6 hexamethyl-disilazane
  • HMDS hexamethyl-disilazane
  • TCS tetrachlorosilane
  • the second process gas contains NH 3 gas as a nitriding gas.
  • the nitriding gas may contain at least one gas selected from the group consisting of ammonia (NH 3 ), nitrogen (N 2 ), dinitrogen oxide (N 2 O), and nitrogen oxide (NO).
  • a silicon nitride film to be formed may be provided with components, such as boron (B) and/or carbon (C).
  • each cycle of the film formation process further comprises a step or steps of supplying a doping gas and/or a carbon hydride gas.
  • a boron-containing gas used for doping boron may contain at least one gas selected from the group consisting of BCl 3 , B 2 H 6 , BF 3 , and B(CH 3 ) 3 .
  • a carbon hydride gas used for adding carbon may contain at least one gas selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane.
  • a target substrate is not limited to a semiconductor wafer, and it may be another substrate, such as an LCD substrate or glass substrate.

Abstract

A silicon nitride film is formed on a target substrate by performing a plurality of cycles in a process field configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas. Each of the cycles includes a first supply step of performing supply of the first process gas while maintaining a shut-off state of supply of the second process gas, and a second supply step of performing supply of the second process gas, while maintaining a shut-off state of supply of the first process gas. The method is arranged to repeat a first cycle set with the second supply step including an excitation period of exciting the second process gas and a second cycle set with the second supply step including no period of exciting the second process gas.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a film formation method and apparatus for a semiconductor process for forming a silicon nitride film on a target substrate, such as a semiconductor wafer. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an FPD (Flat Panel Display), e.g., an LCD (Liquid Crystal Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • 2. Description of the Related Art
  • In manufacturing semiconductor devices for constituting semiconductor integrated circuits, a target substrate, such as a semiconductor wafer, is subjected to various processes, such as film formation, etching, oxidation, diffusion, reformation, annealing, and natural oxide film removal. US 2006/0286817 A1 discloses a semiconductor processing method of this kind performed in a vertical heat-processing apparatus (of the so-called batch type). According to this method, semiconductor wafers are first transferred from a wafer cassette onto a vertical wafer boat and supported thereon at intervals in the vertical direction. The wafer cassette can store, e.g., 25 wafers, while the wafer boat can support 30 to 150 wafers. Then, the wafer boat is loaded into a process container from below, and the process container is airtightly closed. Then, a predetermined heat process is performed, while the process conditions, such as process gas flow rate, process pressure, and process temperature, are controlled.
  • In order to improve the performance of semiconductor integrated circuits, it is important to improve properties of insulating films used in semiconductor devices. Semiconductor devices include insulating films made of materials, such as SiO2, PSG (Phospho Silicate Glass), P—SiO (formed by plasma CVD), P—Si—N (formed by plasma CVD), and SOG (Spin On Glass), Si3N4 (silicon nitride). Particularly, silicon nitride films are widely used, because they have better insulation properties as compared to silicon oxide films, and they can sufficiently serve as etching stopper films or inter-level insulating films. Further, for the same reason, carbon nitride films doped with boron are sometimes used.
  • Several methods are known for forming a silicon nitride film on the surface of a semiconductor wafer by thermal CVD (Chemical Vapor Deposition). In such thermal CVD, a silane family gas, such as monosilane (SiH4), dichlorosilane (DCS: SiH2Cl2), hexachlorodisilane (HCD: Si2Cl6), bistertialbutylaminosilane (BTBAS: SiH2(NH(C4H9))2), or (t-C4H9NH)2SiH2, is used as a silicon source gas. For example, a silicon nitride film is formed by thermal CVD using a gas combination of SiH2Cl2+NH3 (see U.S. Pat. No. 5,874,368 A) or Si2Cl6+NH3. Further, there is also proposed a method for doping a silicon nitride film with an impurity, such as boron (B), to decrease the dielectric constant.
  • In recent years, owing to the demands of increased miniaturization and integration of semiconductor integrated circuits, it is required to alleviate the thermal history of semiconductor devices in manufacturing steps, thereby improving the characteristics of the devices. For vertical processing apparatuses, it is also required to improve semiconductor processing methods in accordance with the demands described above. For example, there is a CVD (Chemical Vapor Deposition) method for a film formation process, which performs film formation while intermittently supplying a source gas and so forth to repeatedly form layers each having an atomic or molecular level thickness, one by one, or several by several (for example, Jpn. Pat. Appln. KOKAI Publications No. 2-93071 and No. 6-45256 and U.S. Pat. No. 6,165,916 A). In general, this film formation method is called ALD (Atomic layer Deposition) or MLD (Molecular Layer Deposition), which allows a predetermined process to be performed without exposing wafers to a very high temperature.
  • For example, where dichlorosilane (DCS) and NH3 are supplied as a silane family gas and a nitriding gas, respectively, to form a silicon nitride film (SiN), the process is performed, as follows. Specifically, DCS and NH3 gas are alternately and intermittently supplied into a process container with purge periods interposed therebetween. When NH3 gas is supplied, an RF (radio frequency) is applied to generate plasma within the process container so as to promote a nitridation reaction. More specifically, when DCS is supplied into the process container, a layer with a thickness of one molecule or more of DCS is adsorbed onto the surface of wafers. The superfluous DCS is removed during the purge period. Then, NH3 is supplied and plasma is generated, thereby performing low temperature nitridation to form a silicon nitride film. These sequential steps are repeated to complete a film having a predetermined thickness.
  • BRIEF SUMMARY OF THE INVENTION
  • An object of the present invention is to provide a film formation method and apparatus for a semiconductor process, which can form a silicon nitride film of high quality at a high film formation rate while preventing particle generation.
  • According to a first aspect of the present invention, there is provided a film formation method for a semiconductor process for forming a silicon nitride film on a target substrate, in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising: a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field, wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field: the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
  • According to a second aspect of the present invention, there is provided a film formation apparatus for a semiconductor process, comprising: a process container having a process field configured to accommodate a target substrate; a support member configured to support the target substrate inside the process field; a heater configured to heat the target substrate inside the process field; an exhaust system configured to exhaust gas from the process field; a first process gas supply circuit configured to supply a first process gas containing a silane family gas to the process field; a second process gas supply circuit configured to supply a second process gas containing a nitriding gas to the process field; an exciting mechanism configured to excite the second process gas to be supplied; and a control section configured to control an operation of the apparatus, wherein the control section is preset to execute a film formation method for a semiconductor process for forming a silicon nitride film on the target substrate, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising: a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field, wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field: the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
  • According to a third aspect of the present invention, there is provided a computer readable medium containing program instructions for execution on a processor, which is used for a film formation apparatus for a semiconductor process for forming a silicon nitride film on a target substrate, in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, wherein the program instructions, when executed by the processor, cause the film formation apparatus to conduct a film formation method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising: a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field, wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field: the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
  • In the first to third aspects, before forming the silicon nitride film on the target substrate, the method may further comprise a pre-coating process arranged to perform a plurality of pre-cycles in the process container with no target substrate placed therein to form a pre-coating film inside the process container, each of the pre-cycles comprising: a first pre-step of performing supply of the first process gas into the process container while maintaining a shut-off state of supply of the second process gas into the process container; and a second pre-step of performing supply of the second process gas into the process container while maintaining a shut-off state of supply of the first process gas into the process container, wherein the second pre-step comprises no period of exciting the second process gas by the exciting mechanism.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to an embodiment of the present invention;
  • FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1;
  • FIG. 3 is a timing chart showing the gas supply and RF (radio frequency) application of a film formation method according to an embodiment of the present invention;
  • FIG. 4 is a view showing a modification concerning the ON-state of an RF power supply in the NH3 gas supply step;
  • FIG. 5 is a sectional view showing the laminated state of a silicon nitride film formed by use of the timing chart shown in FIG. 3;
  • FIG. 6 is a diagram showing combinations of cycle sets performed with plasma and cycle sets performed without plasma, according to present examples and comparative examples used in an experiment;
  • FIG. 7 is a graph showing particle generation, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6;
  • FIG. 8 is a graph showing the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6;
  • FIG. 9 is a graph showing the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6;
  • FIG. 10 is a graph showing the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6; and
  • FIGS. 11A and 11B are timing charts each showing the gas supply and RF (radio frequency) application of a film formation method according to a modification of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the process of developing the present invention, the inventors studied problems of conventional techniques for semiconductor processes, in relation to methods for forming a silicon nitride film. As a result, the inventors have arrived at the findings given below.
  • Specifically, as described previously, there is a conventional technique arranged to utilize so-called ALD or MLD film formation and to generate plasma by use of radio frequency (RF) when supplying NH3 gas as a nitriding gas, thereby promoting the nitridation reaction. As compared to a process performed without plasma, this process can improve not only the film formation rate (film formation speed), but also the quality of the deposited silicon nitride film to a large extent. However, it has been confirmed that, where plasma generation is used, particle generation inside the process container is increased due to an increase in the stress of the deposited silicon nitride film and so forth.
  • In this respect, it has been found that, where ALD or MLD film formation is performed such that cycle sets excluding plasma generation in supplying a nitriding gas are mixed with cycle sets including plasma generation in supplying a nitriding gas, the particle generation can be suppressed. Accordingly, where a suitable mixture manner of cycle sets is selected in accordance with this concept, a silicon nitride film of high quality can be formed at a high film formation rate while preventing particle generation. In addition, where a pre-coating process is performed inside the process container by use of cycle sets excluding plasma generation in supplying a nitriding gas, before the film formation process, the effect described above is further improved.
  • An embodiment of the present invention achieved on the basis of the findings given above will now be described with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numerals, and a repetitive description will be made only when necessary.
  • FIG. 1 is a sectional view showing a film formation apparatus (vertical CVD apparatus) according to an embodiment of the present invention. FIG. 2 is a sectional plan view showing part of the apparatus shown in FIG. 1. The film formation apparatus 2 has a process field configured to be selectively supplied with a first process gas containing dichlorosilane (DCS) gas as a silane family gas, and a second process gas containing ammonia (NH3) gas as a nitriding gas. The film formation apparatus 2 is configured to form a silicon nitride film on target substrates in the process field.
  • The apparatus 2 includes a process container 4 shaped as a cylindrical column with a ceiling and an opened bottom, in which a process field 5 is defined to accommodate and process a plurality of semiconductor wafers (target substrates) stacked at intervals in the vertical direction. The entirety of the process container 4 is made of, e.g., quartz. The top of the process container 4 is provided with a quartz ceiling plate 6 to airtightly seal the top. The bottom of the process container 4 is connected through a seal member 10, such as an O-ring, to a cylindrical manifold 8. The process container may be entirely formed of a cylindrical quartz column without a manifold 8 separately formed.
  • The manifold 8 is made of, e.g., stainless steel, and supports the bottom of the process container 4. A wafer boat 12 made of quartz is moved up and down through the bottom port of the manifold 8, so that the wafer boat 12 is loaded/unloaded into and from the process container 4. A number of target substrates or semiconductor wafers W are stacked on a wafer boat 12. For example, in this embodiment, the wafer boat 12 has struts 12A that can support, e.g., about 50 to 100 wafers having a diameter of 300 mm at essentially regular intervals in the vertical direction.
  • The wafer boat 12 is placed on a table 16 through a heat-insulating cylinder 14 made of quartz. The table 16 is supported by a rotary shaft 20, which penetrates a lid 18 made of, e.g., stainless steel, and is used for opening/closing the bottom port of the manifold 8.
  • The portion of the lid 18 where the rotary shaft 20 penetrates is provided with, e.g., a magnetic-fluid seal 22, so that the rotary shaft 20 is rotatably supported in an airtightly sealed state. A seal member 24, such as an O-ring, is interposed between the periphery of the lid 18 and the bottom of the manifold 8, so that the interior of the process container 4 can be kept sealed.
  • The rotary shaft 20 is attached at the distal end of an arm 26 supported by an elevating mechanism 25, such as a boat elevator. The elevating mechanism 25 moves the wafer boat 12 and lid 18 up and down integratedly. The table 16 may be fixed to the lid 18, so that wafers W are processed without rotation of the wafer boat 12.
  • A gas supply section is connected to the side of the manifold 8 to supply predetermined process gases to the process field 5 within the process container 4. Specifically, the gas supply section includes a second process gas supply circuit 28, a first process gas supply circuit 30, and a purge gas supply circuit 36. The first process gas supply circuit 30 is arranged to supply a first process gas containing a silane family gas, such as DCS (dichlorosilane) gas. The second process gas supply circuit 28 is arranged to supply a second process gas containing a nitriding gas, such as ammonia (NH3) gas. The purge gas supply circuit 36 is arranged to supply an inactive gas, such as N2 gas, as a purge gas. Each of the first and second process gases is mixed with a suitable amount of carrier gas, as needed. However, such a carrier gas will not be mentioned, hereinafter, for the sake of simplicity of explanation.
  • More specifically, the second and first process gas supply circuits 28 and 30 include gas distribution nozzles 38 and 40, respectively, each of which is formed of a quartz pipe which penetrates the sidewall of the manifold 8 from the outside and then turns and extends upward (see FIG. 1). The gas distribution nozzles 38 and 40 respectively have a plurality of gas spouting holes 38A and 40A, each set of holes being formed at predetermined intervals in the longitudinal direction (the vertical direction) over all the wafers W on the wafer boat 12. Each of the gas spouting holes 38A and 40A delivers the corresponding process gas almost uniformly in the horizontal direction, so as to form gas flows parallel with the wafers W on the wafer boat 12. The purge gas supply circuit 36 includes a short gas nozzle 46, which penetrates the sidewall of the manifold 8 from the outside.
  • The nozzles 38, 40, and 46 are connected to gas sources 28S, 30S, and 36S of NH3 gas, DCS gas, and N2 gas, respectively, through gas supply lines (gas passages) 48, 50, and 56, respectively. The gas supply lines 48, 50, and 56 are provided with switching valves 48A, 50A, and 56A and flow rate controllers 48B, 50B, and 56B, such as mass flow controllers, respectively. With this arrangement, NH3 gas, DCS gas, and N2 gas can be supplied at controlled flow rates.
  • A gas exciting section 66 is formed at the sidewall of the process container 4 in the vertical direction. On the side of the process container 4 opposite to the gas exciting section 66, a long and thin exhaust port 68 for vacuum-exhausting the inner atmosphere is formed by cutting the sidewall of the process container 4 in, e.g., the vertical direction.
  • Specifically, the gas exciting section 66 has a vertically long and thin opening 70 formed by cutting a predetermined width of the sidewall of the process container 4, in the vertical direction. The opening 70 is covered with a quartz cover 72 airtightly connected to the outer surface of the process container 4 by welding. The cover 72 has a vertical long and thin shape with a concave cross-section, so that it projects outward from the process container 4.
  • With this arrangement, the gas exciting section 66 is formed such that it projects outward from the sidewall of the process container 4 and is opened on the other side to the interior of the process container 4. In other words, the inner space of the gas exciting section 66 communicates with the process field 5 within the process container 4. The opening 70 has a vertical length sufficient to cover all the wafers W on the wafer boat 12 in the vertical direction.
  • A pair of long and thin electrodes 74 are disposed on the opposite outer surfaces of the cover 72, and face each other in the longitudinal direction (the vertical direction). The electrodes 74 are connected to an RF (Radio Frequency) power supply 76 for plasma generation, through feed lines 78. An RF voltage of, e.g., 13.56 MHz is applied to the electrodes 74 to form an RF electric field for exciting plasma between the electrodes 74. The frequency of the RF voltage is not limited to 13.56 MHz, and it may be set at another frequency, e.g., 400 kHz.
  • The gas distribution nozzle 38 of the second process gas is bent outward in the radial direction of the process container 4, at a position lower than the lowermost wafer W on the wafer boat 12. Then, the gas distribution nozzle 38 vertically extends at the deepest position (the farthest position from the center of the process container 4) in the gas exciting section 66. As shown also in FIG. 2, the gas distribution nozzle 38 is separated outward from an area sandwiched between the pair of electrodes 74 (a position where the RF electric field is most intense), i.e., a plasma generation area PS where the main plasma is actually generated. The second process gas containing NH3 gas is spouted from the gas spouting holes 38A of the gas distribution nozzle 38 toward the plasma generation area PS. Then, the second process gas is selectively excited (decomposed or activated) in the plasma generation area PS, and is supplied in this state onto the wafers W on the wafer boat 12.
  • An insulating protection cover 80 made of, e.g., quartz is attached on and covers the outer surface of the cover 72. A cooling mechanism (not shown) is disposed in the insulating protection cover 80 and comprises coolant passages respectively facing the electrodes 74. The coolant passages are supplied with a coolant, such as cooled nitrogen gas, to cool the electrodes 74. The insulating protection cover 80 is covered with a shield (not shown) disposed on the outer surface to prevent RF leakage.
  • At a position near and outside the opening 70 of the gas exciting section 66, the gas distribution nozzle 40 of the first process gas is disposed. Specifically, the gas distribution nozzle 40 extends upward on one side of the outside of the opening 70 (in the process container 4). The first process gas containing DCS gas is spouted from the gas spouting holes 40A of the gas distribution nozzle 40 toward the center of the process container 4.
  • On the other hand, the exhaust port 68, which is formed opposite the gas exciting section 66, is covered with an exhaust port cover member 82. The exhaust port cover member 82 is made of quartz with a U-shape cross-section, and attached by welding. The exhaust cover member 82 extends upward along the sidewall of the process container 4, and has a gas outlet 84 at the top of the process container 4. The gas outlet 84 is connected to a vacuum-exhaust system GE including a vacuum pump and so forth.
  • The process container 4 is surrounded by a heater 86, which is used for heating the atmosphere within the process container 4 and the wafers W. A thermocouple (not shown) is disposed near the exhaust port 68 in the process container 4 to control the heater 86.
  • The film formation apparatus 2 further includes a main control section 60 formed of, e.g., a computer, to control the entire apparatus. The main control section 60 can control the film formation process and pre-coating process described below in accordance with process recipes stored in the storage section 62 thereof in advance, with reference to the film thickness and composition of a film to be formed. In the storage section 62, the relationship between the process gas flow rates and the thickness and composition of the film is also stored as control data in advance. Accordingly, the main control section 60 can control the elevating mechanism 25, gas supply circuits 28, 30, and 36, exhaust system GE, gas exciting section 66, heater 86, and so forth, based on the stored process recipes and control data. Examples of a storage medium are a magnetic disk (flexible disk, hard disk (a representative of which is a hard disk included in the storage section 62), etc.), an optical disk (CD, DVD, etc.), a magneto-optical disk (MO, etc.), and a semiconductor memory.
  • Next, an explanation will be given of a film formation method (so called ALD or MLD film formation) performed in the apparatus shown in FIG. 1. In this film formation method, a silicon nitride film is formed on semiconductor wafers by ALD or MLD. In order to achieve this, a first process gas containing dichlorosilane (DCS) gas as a silane family gas and a second process gas containing ammonia (NH3) gas as a nitriding gas are selectively supplied into the process field 5 accommodating wafers W. Specifically, a film formation process is performed along with the following operations.
  • <Film Formation Process>
  • At first, the wafer boat 12 at room temperature, which supports a number of, e.g., 50 to 100, wafers having a diameter of 300 mm, is loaded into the process container 4 heated at a predetermined temperature, and the process container 4 is airtightly closed. Then, the interior of the process container 4 is vacuum-exhausted and kept at a predetermined process pressure, and the wafer temperature is increased to a process temperature for film formation. At this time, the apparatus is in a waiting state until the temperature becomes stable. Then, while the wafer boat 12 is rotated, the first and second process gases are intermittently supplied from the respective gas distribution nozzles 40 and 38 at controlled flow rates.
  • The first process gas containing DCS gas is supplied from the gas spouting holes 40A of the gas distribution nozzle 40 to form gas flows parallel with the wafers W on the wafer boat 12. While being supplied, the DCS gas is activated by the heating temperature to the process field 5, and molecules of the DCS gas and molecules and atoms of decomposition products generated by decomposition are adsorbed on the wafers W.
  • On the other hand, the second process gas containing NH3 gas is supplied from the gas spouting holes 38A of the gas distribution nozzle 38 to form gas flows parallel with the wafers W on the wafer boat 12. When the second process gas is supplied, the gas exciting section 66 is set in the ON-state or OFF-state, depending on the cycle sets, as described later.
  • When the gas exciting section 66 is set in the ON-state, the second process gas is excited and partly turned into plasma when it passes through the plasma generation area PS between the pair of electrodes 74. At this time, for example, radicals (activated species), such as N*, NH*, NH2*, and NH3*, are produced (the symbol ┌*┘ denotes that it is a radical). On the other hand, when the gas exciting section 66 is set in the OFF-state, the second process gas passes, mainly as gas molecules, through the gas exciting section 66. The radicals or gas molecules flow out from the opening 70 of the gas exciting section 66 toward the center of the process container 4, and are supplied into gaps between the wafers W in a laminar flow state.
  • Radicals derived from the NH3 gas excited by plasma or molecules of the NH3 gas and molecules and atoms of decomposition products generated by decomposition due to activation by the heating temperature to the process field 5 react with molecules and so forth of DCS gas adsorbed on the surface of the wafers W, so that a thin film is formed on the wafers W. Alternatively, when the DCS gas flows onto radicals derived from the NH3 gas or molecules and atoms of decomposition products derived from the NH3 gas and adsorbed on the surface of the wafers W, the same reaction is caused, so a silicon nitride film is formed on the wafers W. When the gas exciting section 66 is set in the ON-state, the film formation is developed at an increased reaction rate. On the other hand, when the gas exciting section 66 is set in the OFF-state, the film formation is developed at a decreased reaction rate.
  • FIG. 3 is a timing chart showing the gas supply and RF (radio frequency) application of a film formation method according to an embodiment of the present invention. As shown in FIG. 3, the film formation method according to this embodiment repeats a first cycle set SC1 and a second cycle set SC2 mixedly, such as alternately as in this example, a plurality of times. The first cycle set SC1 is composed of a cycle or cycles in which the second process gas containing NH3 gas is excited by the gas exciting section 66. The second cycle set SC2 is composed of a cycle or cycles in which the second process gas is not excited by the gas exciting section 66. Each of the first and second cycle sets SC1 and SC2 is formed of a set of three cycles, and each of the cycles is formed of first to fourth steps T1 to T4. Accordingly, a cycle comprising the first to fourth steps T1 to T4 is repeated a number of times, and thin films of silicon nitride formed by respective cycles are laminated, thereby arriving at a silicon nitride film having a target thickness.
  • Specifically, the first step T1 is arranged to perform supply of the first process gas (denoted as DCS in FIG. 3) to the process field 5, while maintaining the shut-off state of supply of the second process gas (denoted as NH3 in FIG. 3) to the process field 5. The second step T2 is arranged to maintain the shut-off state of supply of the first and second process gases to the process field 5. The third step T3 is arranged to perform supply of the second process gas to the process field 5, while maintaining the shut-off state of supply of the first process gas to the process field 5. The fourth step T4 is arranged to maintain the shut-off state of supply of the first and second process gases to the process field 5.
  • Each of the second and fourth steps T2 and T4 is used as a purge step to remove the residual gas within the process container 4. The term “purge” means removal of the residual gas within the process container 4 by vacuum-exhausting the interior of the process container 4 while supplying an inactive gas, such as N2 gas, into the process container 4, or by vacuum-exhausting the interior of the process container 4 while maintaining the shut-off state of supply of all the gases. In this respect, the second and fourth steps T2 and T4 may be arranged such that the first half utilizes only vacuum-exhaust and the second half utilizes both vacuum-exhaust and inactive gas supply. Further, the first and third steps T1 and T3 may be arranged to stop vacuum-exhausting the process container 4 while supplying each of the first and second process gases. However, where supplying each of the first and second process gases is performed along with vacuum-exhausting the process container 4, the interior of the process container 4 can be continuously vacuum-exhausted over the entirety of the first to fourth steps T1 to T4.
  • In the third step T3 of the first cycle set SC1, the RF power supply 76 is set in the ON-state to turn the second process gas into plasma by the gas exciting section 66, so as to supply the second process gas in an activated state to the process field 5. In the third step T3 of the second cycle set SC2, the RF power supply 76 is set in the OFF-state not to turn the second process gas into plasma by the gas exciting section 66, while supplying the second process gas to the process field 5. However, the heating temperature set by the heater 86 to the process field 5 remains the same in the first and second cycle sets SC1 and SC2, i.e., it is essentially not changed depending on the cycle sets.
  • In FIG. 3, the first step T1 is set to be within a range of about 2 to 10 seconds, the second step T2 is set to be within a range of about 5 to 15 seconds, the third step T3 is set to be within a range of about 10 to 20 seconds, and the fourth step T4 is set to be within a range of about 5 to 15 seconds. As an average value provided by the first and second cycle sets SC1 and SC2, the film thickness obtained by one cycle of the first to fourth steps T1 to T4 is about 0.11 to 0.13 nm. Accordingly, for example, where the target film thickness is 50 nm, the cycle is repeated about 450 times (=150 cycle sets). However, these values of time and thickness are merely examples and thus are not limiting.
  • FIG. 4 is a view showing a modification concerning the ON-state of an RF power supply in the NH3 gas supply step. In this modification, halfway through the third step T3, the RF power supply 76 is set in the ON-state to supply the second process gas in an activated state to the process field 5 during a sub-step T3 b. Specifically, in the third step T3, the RF power supply 76 is turned on after a predetermined time Δt passes, to turn the second process gas into plasma by the gas exciting section 66, so as to supply the second process gas in an activated state to the process field 5 during the sub-step T3 b. The predetermined time Δt is defined as the time necessary for stabilizing the flow rate of NH3 gas, which is set at, e.g., about 5 seconds. Since the RF power supply is turned on to generate plasma after the flow rate of the second process gas is stabilized, the uniformity of radical concentration among the wafers W (uniformity in the vertical direction) is improved.
  • FIG. 5 is a sectional view showing the laminated state of a silicon nitride film formed by use of the timing chart shown in FIG. 3. As shown in FIG. 5, SiN regions 90A formed by use of no plasma and SiN regions 90B formed by use of plasma are alternately laminated on the surface of a wafer W. In the case of the timing chart shown in FIG. 3, each of the SiN regions 90A and 90B is formed of three unit thin films corresponding to three cycles.
  • Where the film formation method described above is used, particle generation is decreased to the minimum, and a silicon nitride film thereby formed is provided with film quality, as a whole, equivalent to that of a film formed by use of plasma in all the NH3 gas supply steps. Specifically, it is possible to greatly decrease the dielectric constant of the deposited silicon nitride film, and to greatly improve the etching resistance of the film in dry etching. For example, even where the film formation temperature is set at, e.g., 550° C., which is lower than the conventional film formation temperature of, e.g., about 760° C., it is possible to decrease the etching rate of the film relative to dilute hydrofluoric acid used in a cleaning process or etching process performed on the surface of the film. As a result, the film is not excessively etched by cleaning, and thus the cleaning process is performed with high controllability in the film thickness. Further, the film can sufficiently serve as an etching stopper film or inter-level insulating film.
  • The process conditions of the film formation process are as follows. The flow rate of DCS gas is set to be within a range of 50 to 2,000 sccm, e.g., at 1,000 sccm (1 slm). The flow rate of NH3 gas is set to be within a range of 500 to 5,000 sccm, e.g., at 1,000 sccm. The process temperature is lower than ordinary CVD processes, and is set to be within a range of 300 to 700° C., and preferably of 450 to 630° C. If the process temperature is lower than 300° C., essentially no film is deposited because hardly any reaction is caused. If the process temperature is higher than 700° C., a low quality CVD film is deposited, and existing films, such as a metal film, suffer thermal damage. The temperature of the process field 5 may be changed to some extent depending on the presence and absence of plasma in the first and second cycle sets SC1 and SC2. However, the heating temperature set by the heater 86 to the process field 5 remains essentially the same in the first and second cycle sets SC1 and SC2.
  • The process pressure is set to be within a range of 13 Pa (0.1 Torr) to 13,300 Pa (100 Torr), preferably of 40 Pa (0.3 Torr) to 266 Pa (2 Torr), and more preferably of 93 P (0.7 Torr) to 107 P (0.8 Torr). For example, the process pressure is set at 1 Torr during the first step (DCS supply step) T1, and at 0.3 Torr during the third step (NH3 supply step) T3. If the process pressure is lower than 13 Pa, the film formation rate becomes lower than the practical level. Where the process pressure does not exceed 13,300 Pa, the reaction mode on the wafers W is mainly of an adsorption reaction, and thus a high quality thin film can be stably deposited at a high film formation rate, thereby attaining a good result. However, if the process pressure exceeds 13,300 Pa, the reaction mode is shifted from the adsorption reaction to a vapor-phase reaction, which then becomes prevailing on the wafers W. This is undesirable, because the inter-substrate uniformity and planar uniformity of the film are deteriorated, and the number of particles due to the vapor-phase reaction suddenly increases.
  • The number of cycles constituting each of the first and second cycle sets SC1 and SC2 is not limited to three, and one cycle set may be defined by, e.g., one to ten cycles. In FIG. 3, the second cycle set SC2 is first performed, but the first cycle set SC1 may be first performed. In FIG. 3, DCS is first supplied in each cycle, but NH3 gas may be first supplied alternatively. The mixture state of the first and second cycle sets SC1 and SC2 does not have to be completely constant, but may be random. However, in light of controllability, this mixture state is preferably set constant (alternate state).
  • The number of cycles constituting the first cycle set SC1 is preferably set to be larger than the number of cycles constituting the second cycle set SC2. If the second cycle set SC2 utilizing no plasma has an excessively large number of constituting cycles, or the second cycle set SC2 is performed with an excessively large frequency, the film quality is deteriorated. In reverse, if these factors are excessively small, particle generation is rapidly increased. For example, the first cycle set SC1 utilizing plasma may be formed of three cycles, four cycles, or a larger number of cycles, while the second cycle set SC2 utilizing no plasma may be formed of only one cycle or two cycles.
  • FIGS. 11A and 11B are timing charts each showing the gas supply and RF (radio frequency) application of a film formation method according to a modification of the present invention. In the modification shown in FIG. 11A, each of the first and second cycle sets SC1 and SC2 is formed of one cycle, and the first and second cycle sets SC1 and SC2 are alternately performed. In the modification shown in FIG. 11B, the first cycle set SC1 is formed of two cycles, the second cycle set SC2 is formed of one cycle, and the first and second cycle sets SC1 and SC2 are alternately performed.
  • <Experiment>
  • As present examples PE1, PE2, and PE3 according to the embodiment described above and comparative examples CE1 and CE2, a silicon nitride film was formed in the apparatus shown in FIG. 1 by film formation methods respectively using different combinations of cycle sets performed with plasma and cycle sets performed without plasma, and then the film thus formed was examined. In this experiment, the process conditions described above were employed as the reference for the film formation process, while the film formation temperature was set at 550° C. and the target film thickness was set at about 50 nm.
  • FIG. 6 is a diagram showing combinations of cycle sets performed with plasma and cycle sets performed without plasma, according to the present examples and comparative examples used in the experiment. In FIG. 6, a shaded zone represents a first cycle set SC1 utilizing plasma in the third step (NH3 supply step) T3, while a blank zone represents a second cycle set SC2 utilizing no plasma in the third step (NH3 supply step) T3. In this experiment, one cycle set was formed of one cycle.
  • As shown in FIG. 6, (A) the comparative example CE1 was arranged such that all the cycle sets were the first cycle set SC1 utilizing plasma. (B) The comparative example CE2 was arranged such that all the cycle sets were the second cycle set SC2 utilizing no plasma. (C) The present example PE1 was arranged such that the first cycle set SC1 utilizing plasma and the second cycle set SC2 utilizing no plasma were alternately performed in the ratio of one to one. The flow chart shown in FIG. 3 corresponds to the present example PE1, although the number of cycles constituting one cycle set is different. (D) The present example PE2 was arranged such that the first cycle set SC1 utilizing plasma and the second cycle set SC2 utilizing no plasma were alternately performed in the ratio of two to one. (E) The present example PE3 was arranged such that the first cycle set SC1 utilizing plasma and the second cycle set SC2 utilizing no plasma were alternately performed in the ratio of three to one.
  • The number of generated particles per wafer was measured on each of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6. In this experiment, the same film formation apparatus was used to sequentially perform film formation processes in accordance with the comparative example CE1, comparative example CE2, present example PE1, present example PE2, and present example PE3, in this order. The size of particles to be measured was set to fall within a range of 0.08 to 1.00 μm. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 7 is a graph showing particle generation, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6. As shown in FIG. 7, the comparative example CE1 unfavorably rendered a lot of particle generation with the number of particles of 300 or more over the entire positions of the wafer boat. However, the comparative example CE1 provided the silicon nitride film with fairly good film quality. The comparative example CE2 rendered a very good result with the number of particles of about 10 to 20 over the entire positions of the wafer boat. However, the comparative example CE2 did not provide the silicon nitride film with good film quality.
  • On the other hand, the present examples PE1 to PE3 rendered the number of particles gradually increased with an increase in the ratio of use of plasma in the third step (NH3 supply step) T3. However, the number of particles was favorably still far lower than that of the comparative example CE1. Further, the present examples PE1 to PE3 provided the silicon nitride film with relatively good film quality.
  • Then, the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 was measured. If this film stress is larger, the silicon nitride film can be easily cracked and peeled, so particle generation may be developed. Wafers placed at TOP (top) and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 8 is a graph showing the stress of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6. As shown in FIG. 8, the comparative example CE1 rendered a film stress of about 0.621 GPa, which was far higher than those of the comparative example CE2 and present examples PE1 to PE3. It is thought that such a high film stress of the comparative example CE1 was one of the causes that brought about a large number of generated particles in the comparative example CE1, as described with reference to FIG. 7.
  • The film stress was lowest in the comparative example CE, and gradually increased with an increase in the ratio of use of plasma in the third step (NH3 supply step) T3. However, the maximum was 0.404 GPa in the present example PE3, which was still far lower than that of the comparative example CE1. It is thought that such a low film stress was one of the causes that brought about a small number of generated particles in the comparative example CE2 and present examples PE1 to PE3, as described with reference to FIG. 7. Further, by adjusting the ratio of use of plasma in the third step (NH3 supply step) T3, the film stress was controlled.
  • Then, silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 were examined in terms of the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers.
  • FIG. 9 is a graph showing the film formation rate and the inter-substrate uniformity and planar uniformity of the film thickness, in association with silicon nitride films formed by the present examples and comparative examples shown in FIG. 6. In FIG. 9, bars denote film formation rates, lines provided with symbols “□” denote the planar uniformity of the film thickness, and points defined by symbols “⋄” denote the inter-substrate uniformity of the film thickness.
  • As shown in FIG. 9, the comparative example CE1 rendered a high film formation rate of about 0.126 nm/cycle due to the presence of plasma. The comparative example CE2 rendered a low film formation rate of about 0.089 nm/cycle due to the absence of plasma. On the other hand, the present examples PE1 to PE3 rendered film formation rates lower than that of the comparative example CE1 but favorably far higher than that of the comparative example CE2. Specifically, the film formation rates were gradually higher with an increase in the ratio of use of plasma in the third step (NH3 supply step) T3, such that the present example PE1 resulted in about 0.111 nm/cycle, and the present example PE3 resulted in about 0.119 nm/cycle.
  • As regards the planar uniformity of the film thickness, it differed depending on the positions TOP, CTR, and BTM, but the present examples PE1 to PE3 brought about relatively good results with the same tendency, as compared to the comparative example CE2. As regards the inter-substrate uniformity of the film thickness, the comparative examples CE1 and CE2 showed values of less than ±2%, while the present examples PE1 to PE3 favorably showed values of less than +1%.
  • Then, the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6 was measured. As an etching liquid, 0.5% dilute hydrofluoric acid (0.5%-DHF) was used. Wafers placed at TOP (top), CTR (center), and BTM (bottom) of the wafer boat 12 were used as measurement wafers, but only one wafer placed at CTR (center) was used in the comparative example CE1.
  • FIG. 10 is a graph showing the etching rate of silicon nitride films formed by the present examples and comparative examples shown in FIG. 6. As shown in FIG. 10, the comparative example CE2 rendered a relatively large etching rate of about 0.592 nm/min. On the other hand, the present examples PE1 to PE3 rendered etching rates of about 0.525 to 0.545 nm/min, which were smaller than that of the comparative example CE2 and were closer to 0.553 nm/min of the comparative example CE1 that provided the film with high quality. Hence, it was confirmed that the present examples PE1 to PE3 brought about good characteristics with low etching rates.
  • <Pre-Coating Process>
  • In the film formation method according to the embodiment of the present invention, before a silicon nitride film is formed on target substrates or product semiconductor wafers W by the film formation process described above, a pre-coating process may be performed to form a pre-coating film inside the process container 4. In the pre-coating process, the wafer boat 12 set in an empty state with no wafers held thereon, or a state with dummy wafers held thereon in place of product semiconductor wafers W, is placed in the process field 5. As regards gas supply, the pre-coating process is arranged to repeat a number of times a cycle comprising the first to fourth steps T1 to T4 shown in FIG. 3, as in the film formation process. Consequently, thin films of silicon nitride formed by respective cycles are laminated, thereby arriving at a pre-coating film of silicon nitride having a target thickness.
  • Specifically, the first step T1 is arranged to perform supply of the first process gas (denoted as DCS in FIG. 3) into the process container 4, while maintaining the shut-off state of supply of the second process gas (denoted as NH3 in FIG. 3) into the process container 4. The second step T2 is arranged to maintain the shut-off state of supply of the first and second process gases into the process container 4. The third step T3 is arranged to perform supply of the second process gas into the process container 4, while maintaining the shut-off state of supply of the first process gas into the process container 4. The fourth step T4 is arranged to maintain the shut-off state of supply of the first and second process gases into the process container 4. Each of the second and fourth steps T2 and T4 is used as a purge step to remove the residual gas within the process container 4.
  • However, in the third step T3 of the pre-coating process, the RF power supply 76 is always set in the OFF-state not to turn the second process gas into plasma by the gas exciting section 66, while supplying the second process gas into the process container 4. In other words, the second cycle set SC2 utilizing no plasma is repeated to form a pre-coating film inside the process container 4. The other process conditions of the pre-coating process, such as the process pressure and process temperature, are set to be the same as the process conditions of the film formation process described above.
  • With the pre-coating process, the surface of components inside the process container 4, such as the inner wall of the process container 4 and the wafer boat 12, are covered with a pre-coating film of silicon nitride formed by use of no plasma. After the pre-coating film is formed, the wafer boat 12 is unloaded from the process container 4. Then, product wafers W to be subjected to the film formation process are transferred onto this wafer boat 12 within the loading area (not shown), and the film formation process is subsequently performed in the manner described above.
  • Where the pre-coating process described above is combined with the film formation process, it is possible to minimize particle generation due to by-product films deposited on the inner surface of the process container 4. Consequently, the film quality of a silicon nitride film formed on wafers W by the film formation process is further improved. It was confirmed that, even where only the first cycle set SC1 utilizing plasma was repeated in the film formation process after the pre-coating process, particle generation was decreased.
  • <Other Modifications>
  • In the embodiment described above, for example, the exciting section 66 for generating plasma of the film formation apparatus 2 is integrally combined with the process container 4. Alternatively, the exciting section 66 may be separately disposed from the process container 4, so as to excite NH3 gas outside the process container 4 (so called remote plasma), and then supply the excited NH3 gas into the process container 4.
  • In the embodiment described above, for example, the first process gas contains DCS gas as a silane family gas. In this respect, the silane family gas may contain at least one gas selected from the group consisting of dichlorosilane (DCS), hexachlorodisilane (HCD), monosilane (SiH4), disilane (Si2Cl6), hexamethyl-disilazane (HMDS), tetrachlorosilane (TCS), disilylamine (DSA), trisilylamine (TSA), bistertial-butylaminosilane (BTBAS), trimethylsilane (TMS), dimethylsilane (DMS), and monomethylamine (MMA).
  • In the embodiment described above, for example, the second process gas contains NH3 gas as a nitriding gas. In this respect, the nitriding gas may contain at least one gas selected from the group consisting of ammonia (NH3), nitrogen (N2), dinitrogen oxide (N2O), and nitrogen oxide (NO).
  • In the embodiment described above, a silicon nitride film to be formed may be provided with components, such as boron (B) and/or carbon (C). In this case, each cycle of the film formation process further comprises a step or steps of supplying a doping gas and/or a carbon hydride gas. A boron-containing gas used for doping boron may contain at least one gas selected from the group consisting of BCl3, B2H6, BF3, and B(CH3)3. A carbon hydride gas used for adding carbon may contain at least one gas selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane.
  • A target substrate is not limited to a semiconductor wafer, and it may be another substrate, such as an LCD substrate or glass substrate.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (20)

1. A film formation method for a semiconductor process for forming a silicon nitride film on a target substrate, in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising:
a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and
a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field,
wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field:
the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and
the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
2. The method according to claim 1, wherein the method is arranged to repeat the first cycle set and the second cycle set alternately a plurality of times.
3. The method according to claim 1, wherein the first cycle set is formed of a plurality of cycles.
4. The method according to claim 3, wherein the number of cycles forming the first cycle set is larger than the number of cycles forming the second cycle set.
5. The method according to claim 1, wherein each of the cycles further comprises first and second intermediate steps of exhausting gas from the process field while maintaining a shut-off state of supply of the first and second process gases to the process field, between the first and second supply steps and after the second supply step, respectively.
6. The method according to claim 5, wherein each of the cycles is arranged to continuously exhaust gas from the process field through the first supply step, the first intermediate step, the second supply step, and the second intermediate step.
7. The method according to claim 5, wherein each of the first and second intermediate steps comprises a period of supplying a purge gas to the process field.
8. The method according to claim 1, wherein the second supply step of the first cycle set further comprises a period of supplying the second process gas to the process field while not exciting the second process gas by the exciting mechanism, before the excitation period.
9. The method according to claim 1, wherein, before forming the silicon nitride film on the target substrate, the method further comprises a pre-coating process arranged to perform a plurality of pre-cycles in the process container with no target substrate placed therein to form a pre-coating film inside the process container, each of the pre-cycles comprising:
a first pre-step of performing supply of the first process gas into the process container while maintaining a shut-off state of supply of the second process gas into the process container; and
a second pre-step of performing supply of the second process gas into the process container while maintaining a shut-off state of supply of the first process gas into the process container,
wherein the second pre-step comprises no period of exciting the second process gas by the exciting mechanism.
10. The method according to claim 9, wherein the pre-coating process is executed while a support member for supporting the target substrate is set in an empty state or in a state with a dummy substrate supported thereon in place of the target substrate and is placed in the process field.
11. The method according to claim 9, wherein each of the pre-cycles further comprises steps of exhausting gas from the process container while maintaining a shut-off state of supply of the first and second process gases into the process container, between the first and second pre-steps and after the second pre-step, respectively.
12. The method according to claim 1, wherein the first and second supply steps are arranged to set the process field at a temperature of 300 to 700° C.
13. The method according to claim 1, wherein the first and second supply steps are arranged to set the process field at a pressure of 13 Pa (0.1 Torr) to 13,300 Pa (100 Torr).
14. The method according to claim 1, wherein the silane family gas contains at least one gas selected from the group consisting of dichlorosilane, hexachlorodisilane, monosilane, disilane, hexamethyldisilazane, tetrachlorosilane, disilylamine, trisilylamine, and bistertialbutylaminosilane, trimethylsilane, dimethylsilane, and monomethylamine, and the nitriding gas contains at least one gas selected from the group consisting of ammonia, nitrogen, dinitrogen oxide, and nitrogen oxide.
15. The method according to claim 14, wherein each of the cycles of the film formation process further comprises a step or steps of supplying at least one gas selected from the group consisting of a doping gas and a carbon hydride gas.
16. The method according to claim 1, wherein the process field is configured to accommodate a plurality of target substrates supported at intervals in a vertical direction on a support member.
17. A film formation apparatus for a semiconductor process, comprising:
a process container having a process field configured to accommodate a target substrate;
a support member configured to support the target substrate inside the process field;
a heater configured to heat the target substrate inside the process field;
an exhaust system configured to exhaust gas from the process field;
a first process gas supply circuit configured to supply a first process gas containing a silane family gas to the process field;
a second process gas supply circuit configured to supply a second process gas containing a nitriding gas to the process field;
an exciting mechanism configured to excite the second process gas to be supplied; and
a control section configured to control an operation of the apparatus,
wherein the control section is preset to execute a film formation method for a semiconductor process for forming a silicon nitride film on the target substrate, the method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising:
a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and
a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field,
wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field:
the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and
the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
18. The apparatus according to claim 17, wherein, before forming the silicon nitride film on the target substrate, the film formation method executed by the control section further comprises a pre-coating process arranged to perform a plurality of pre-cycles in the process container with no target substrate placed therein to form a pre-coating film inside the process container, each of the pre-cycles comprising:
a first pre-step of performing supply of the first process gas into the process container while maintaining a shut-off state of supply of the second process gas into the process container; and
a second pre-step of performing supply of the second process gas into the process container while maintaining a shut-off state of supply of the first process gas into the process container,
wherein the second pre-step comprises no period of exciting the second process gas by the exciting mechanism.
19. A computer readable medium containing program instructions for execution on a processor, which is used for a film formation apparatus for a semiconductor process for forming a silicon nitride film on a target substrate, in a process field inside a process container configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas, and communicating with an exciting mechanism for exciting the second process gas to be supplied, wherein the program instructions, when executed by the processor, cause the film formation apparatus to conduct a film formation method comprising a film formation process arranged to perform a plurality of cycles in the process field with the target substrate placed therein to laminate thin films respectively formed by the cycles on the target substrate, thereby forming a silicon nitride film with a predetermined thickness, each of the cycles comprising:
a first supply step of performing supply of the first process gas to the process field while maintaining a shut-off state of supply of the second process gas to the process field; and
a second supply step of performing supply of the second process gas to the process field while maintaining a shut-off state of supply of the first process gas to the process field,
wherein the method is arranged to repeat a first cycle set and a second cycle set mixedly a plurality of times without an essential change in a heating temperature set to the process field:
the first cycle set being composed of a cycle or cycles in which the second supply step comprises an excitation period of supplying the second process gas to the process field while exciting the second process gas by the exciting mechanism; and
the second cycle set being composed of a cycle or cycles in which the second supply step comprises no period of exciting the second process gas by the exciting mechanism.
20. The medium according to claim 19, wherein, before forming the silicon nitride film on the target substrate, the film formation method executed in accordance with program instructions further comprises a pre-coating process arranged to perform a plurality of pre-cycles in the process container with no target substrate placed therein to form a pre-coating film inside the process container, each of the pre-cycles comprising:
a first pre-step of performing supply of the first process gas into the process container while maintaining a shut-off state of supply of the second process gas into the process container; and
a second pre-step of performing supply of the second process gas into the process container while maintaining a shut-off state of supply of the first process gas into the process container,
wherein the second pre-step comprises no period of exciting the second process gas by the exciting mechanism.
US12/155,678 2007-06-11 2008-06-06 Film formation method and apparatus for semiconductor process Abandoned US20080311760A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/852,094 US8178448B2 (en) 2007-06-11 2010-08-06 Film formation method and apparatus for semiconductor process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007153735A JP5151260B2 (en) 2007-06-11 2007-06-11 Film forming method and film forming apparatus
JP2007-153735 2007-06-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/852,094 Continuation US8178448B2 (en) 2007-06-11 2010-08-06 Film formation method and apparatus for semiconductor process

Publications (1)

Publication Number Publication Date
US20080311760A1 true US20080311760A1 (en) 2008-12-18

Family

ID=40132751

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/155,678 Abandoned US20080311760A1 (en) 2007-06-11 2008-06-06 Film formation method and apparatus for semiconductor process
US12/852,094 Active 2028-09-23 US8178448B2 (en) 2007-06-11 2010-08-06 Film formation method and apparatus for semiconductor process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/852,094 Active 2028-09-23 US8178448B2 (en) 2007-06-11 2010-08-06 Film formation method and apparatus for semiconductor process

Country Status (5)

Country Link
US (2) US20080311760A1 (en)
JP (1) JP5151260B2 (en)
KR (1) KR101086588B1 (en)
CN (1) CN101325160B (en)
TW (1) TWI478238B (en)

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090053900A1 (en) * 2006-04-07 2009-02-26 Tokyo Electron Limited Processing Apparatus and Processing Method
US20110129619A1 (en) * 2009-11-27 2011-06-02 Tokyo Electron Limited Film formation method and apparatus
US8563096B2 (en) 2009-11-27 2013-10-22 Tokyo Electron Limited Vertical film formation apparatus and method for using same
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140295676A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Method of operating vertical heat treatment apparatus, vertical heat treatment apparatus and non-transitory recording medium
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20150197855A1 (en) * 2010-10-26 2015-07-16 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9230800B2 (en) 2010-04-15 2016-01-05 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9869019B2 (en) 2012-01-04 2018-01-16 Eugene Technology Co., Ltd. Substrate processing apparatus including processing unit
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
CN110416061A (en) * 2018-04-26 2019-11-05 三星电子株式会社 The method for forming the method for low k layer and forming semiconductor device
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11542601B2 (en) * 2016-02-09 2023-01-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5008957B2 (en) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 Silicon nitride film forming method, forming apparatus, forming apparatus processing method, and program
JP2010183069A (en) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
JP5158068B2 (en) * 2009-02-20 2013-03-06 東京エレクトロン株式会社 Vertical heat treatment apparatus and heat treatment method
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
CN103620745B (en) * 2011-08-25 2016-09-21 株式会社日立国际电气 The manufacture method of semiconductor device, Method of processing a substrate, lining processor and record medium
KR101380240B1 (en) 2011-11-17 2014-04-03 주식회사 유진테크 Apparatus for processing substrate including heat-shield plate
CN102394222B (en) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 Prevent the method for solid particle formation on wafer surface
JP5842750B2 (en) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI654336B (en) * 2013-12-30 2019-03-21 美商蘭姆研究公司 Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6635839B2 (en) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 Method of forming nitride film
JP6333302B2 (en) * 2016-03-30 2018-05-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN108570656B (en) * 2018-05-16 2020-02-18 深圳市硅光半导体科技有限公司 Silicon nitride film and manufacturing method thereof
JP6852040B2 (en) * 2018-11-16 2021-03-31 大陽日酸株式会社 Cleaning equipment for semiconductor manufacturing equipment parts, cleaning method for semiconductor manufacturing equipment parts, and cleaning system for semiconductor manufacturing equipment parts
JP7209568B2 (en) 2019-03-27 2023-01-20 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (en) * 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JPH0645256A (en) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho Method for supplying gas pulse and method forming for film using the same
JP3529989B2 (en) 1997-09-12 2004-05-24 株式会社東芝 Film forming method and semiconductor device manufacturing method
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP4393071B2 (en) * 2002-07-12 2010-01-06 東京エレクトロン株式会社 Deposition method
JP2004281853A (en) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc Substrate processing system
JP4403824B2 (en) 2003-05-26 2010-01-27 東京エレクトロン株式会社 Method for forming silicon nitride film
JP4396547B2 (en) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4179311B2 (en) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4541864B2 (en) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 Method, apparatus and program for forming silicon oxynitride film
JP4546519B2 (en) * 2005-02-17 2010-09-15 株式会社日立国際電気 Manufacturing method of semiconductor device
JP4258518B2 (en) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4506677B2 (en) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device

Cited By (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8366869B2 (en) * 2006-04-07 2013-02-05 Tokyo Electron Limited Processing apparatus and processing method
US8545711B2 (en) 2006-04-07 2013-10-01 Tokyo Electron Limited Processing method
US20090053900A1 (en) * 2006-04-07 2009-02-26 Tokyo Electron Limited Processing Apparatus and Processing Method
TWI500083B (en) * 2009-11-27 2015-09-11 Tokyo Electron Ltd Film formation method and apparatus
US20110129619A1 (en) * 2009-11-27 2011-06-02 Tokyo Electron Limited Film formation method and apparatus
CN102097302A (en) * 2009-11-27 2011-06-15 东京毅力科创株式会社 Film formation method and apparatus
US8216648B2 (en) * 2009-11-27 2012-07-10 Tokyo Electron Limited Film formation method and apparatus
US8563096B2 (en) 2009-11-27 2013-10-22 Tokyo Electron Limited Vertical film formation apparatus and method for using same
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8999859B2 (en) 2010-04-15 2015-04-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9230800B2 (en) 2010-04-15 2016-01-05 Novellus Systems, Inc. Plasma activated conformal film deposition
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9963785B2 (en) * 2010-10-26 2018-05-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US20150197854A1 (en) * 2010-10-26 2015-07-16 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US20150197855A1 (en) * 2010-10-26 2015-07-16 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US9593422B2 (en) * 2010-10-26 2017-03-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9869019B2 (en) 2012-01-04 2018-01-16 Eugene Technology Co., Ltd. Substrate processing apparatus including processing unit
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9670579B2 (en) 2012-01-20 2017-06-06 Novellus Systems, Inc. Method for depositing a chlorine-free conformal SiN film
US9070555B2 (en) 2012-01-20 2015-06-30 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9373498B2 (en) * 2013-03-29 2016-06-21 Tokyo Electron Limited Method of operating vertical heat treatment apparatus, vertical heat treatment apparatus and non-transitory recording medium
US20140295676A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Method of operating vertical heat treatment apparatus, vertical heat treatment apparatus and non-transitory recording medium
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9905423B2 (en) 2013-11-07 2018-02-27 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11479856B2 (en) 2015-07-09 2022-10-25 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10141505B2 (en) 2015-09-24 2018-11-27 Lam Research Corporation Bromine containing silicon precursors for encapsulation layers
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9865815B2 (en) 2015-09-24 2018-01-09 Lam Research Coporation Bromine containing silicon precursors for encapsulation layers
US11952664B2 (en) 2016-02-09 2024-04-09 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11542601B2 (en) * 2016-02-09 2023-01-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN110416061A (en) * 2018-04-26 2019-11-05 三星电子株式会社 The method for forming the method for low k layer and forming semiconductor device

Also Published As

Publication number Publication date
KR20080108912A (en) 2008-12-16
CN101325160B (en) 2012-04-25
CN101325160A (en) 2008-12-17
US8178448B2 (en) 2012-05-15
KR101086588B1 (en) 2011-11-23
TW200915426A (en) 2009-04-01
TWI478238B (en) 2015-03-21
US20100304574A1 (en) 2010-12-02
JP5151260B2 (en) 2013-02-27
JP2008306093A (en) 2008-12-18

Similar Documents

Publication Publication Date Title
US8178448B2 (en) Film formation method and apparatus for semiconductor process
US8119544B2 (en) Film formation method and apparatus for semiconductor process
US8080290B2 (en) Film formation method and apparatus for semiconductor process
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US7964241B2 (en) Film formation method and apparatus for semiconductor process
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
US7507676B2 (en) Film formation method and apparatus for semiconductor process
US8343594B2 (en) Film formation method and apparatus for semiconductor process
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
US7300885B2 (en) Film formation apparatus and method for semiconductor process
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
US8034673B2 (en) Film formation method and apparatus for forming silicon-containing insulating film doped with metal
KR101503725B1 (en) Film forming method and film forming apparatus
US10796934B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and electrode fixing part
US20060068606A1 (en) Method and apparatus for forming silicon nitride film
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NODERA, NOBUTAKE;MATSUNAGA, MASANOBU;HASEBE, KAZUHIDE;AND OTHERS;REEL/FRAME:021447/0457

Effective date: 20080520

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION