US20080122057A1 - Silicon carrier having increased flexibility - Google Patents

Silicon carrier having increased flexibility Download PDF

Info

Publication number
US20080122057A1
US20080122057A1 US11/932,891 US93289107A US2008122057A1 US 20080122057 A1 US20080122057 A1 US 20080122057A1 US 93289107 A US93289107 A US 93289107A US 2008122057 A1 US2008122057 A1 US 2008122057A1
Authority
US
United States
Prior art keywords
chip carrier
reduced
silicon
carrier
interconnections
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/932,891
Inventor
Bucknell C. Webb
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AGCO SA
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/932,891 priority Critical patent/US20080122057A1/en
Assigned to AGCO SA reassignment AGCO SA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAILLET, THIBAUT JEAN-ROBERT
Publication of US20080122057A1 publication Critical patent/US20080122057A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01068Erbium [Er]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1433Application-specific integrated circuit [ASIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Definitions

  • the present invention relates generally to packaging technology for electronic components, and more particularly relates to the field of silicon based carriers for microprocessors.
  • Silicon based packages are known to have significant potential affect upon packaging technology. As explained in Development of Next-Generation System-On-Package (SOP) technology Based on Silicon Carriers with Fine-Pitch Chip Interconnection, Knickerbocker et al., IBM J. Res. & Dev. Vol. 49, No.
  • SOP Next-Generation System-On-Package
  • some useful features of silicon based packages include: dense wiring using back-end-of-line (BEOL) processing; increased chip package interface reliability; the use of interconnections like advanced solder microbumps or permanent copper interconnections; the ability to embed active devices into the carrier itself; and for carriers having through-vias the support of heterogeneous semiconductor technologies, passive or active circuits and high-density I/O wiring interconnections with electro-optic technology, as well as the support of three-dimensional circuit integration.
  • BEOL back-end-of-line
  • a silicon based package is comprised of various components including, chips, carriers having various bumps and underfills, and substrates, all of which may be made of the same or different materials and have different thermal and mechanical stresses placed upon them.
  • any of several sources may be relied upon, including G. W. Doerre and D. E. Lackey, “The IBM ASIC/SoC Methodology—A Recipe for First-Time Success,” IBM J. Res. & Dev. 46, 649 (2002), and D. J. Bodendorf, K. T. Olson, J. P. Trinko, and J. R. Winnard, “Active Silicon Chip Carrier,” IBM Tech. Disclosure Bull. 7, 656 (1972).
  • the useable size of a chip can be limited by the thermal mismatch between a silicon chip and substrate. If a chip is too large, the stresses at the largest distances from the thermal neutral point on the underfill, solder balls, and chip can exceed the material strengths and cause failures and/or package warpage such that the useable limits for attachment or cooling solutions are exceeded.
  • silicon carriers where multiple chips are attached to a silicon carrier interposer which is added between the chips and package or circuit board.
  • a silicon carrier can be made thinner to increase its flexibility; however, this limits the robustness of the carrier relative to the forces placed on it during bonding and thermal cycling due to the bumps above and below the carrier which exert loads on the carrier.
  • an apparatus providing reduced thermal-mechanical stresses to a silicon carrier through the creation of localized regions in which the silicon carrier is thinned.
  • an apparatus providing reduced thermal-mechanical stresses to an electronic device comprising a plurality of chips electrically connectable to a silicon chip carrier having thinned regions between adjacent chips, wherein the silicon chip carrier includes bumps and underfill.
  • the thickness of said silicon carrier is reduced locally, for example in the parts of the carrier where chips are not attached, to introduce greater flexibility where the loads due to bumps are not present.
  • the silicon carrier can be of varied thickness and, thus, rigid where bumps are located and flexible in other locations where bumps are not present.
  • one aspect of the invention provides a chip carrying apparatus for use in electronic devices, said apparatus comprising: a plurality of chips; a chip carrier including interconnection regions allowing electrical interconnections between chips and chip carrier, wherein said localized areas of said chip carrier are thinned; and a substrate.
  • FIG. 1 schematically illustrates a silicon carrier in accordance with at least one embodiment of the present invention, including a locally thinned region of the silicon carrier.
  • FIG. 2 schematically illustrates the thermal mismatch induced bending and shear stress for the right side of the structure illustrated in FIG. 1 .
  • FIG. 3 schematically illustrates the thermal mismatch induced bending and shear stress for the right side of a structure equivalent to that as shown in FIG. 1 except that no region of the silicon carrier is thinned.
  • FIG. 1 a silicon-carrier-based package 100 is shown in accordance with a presently preferred embodiment of the invention.
  • the silicon-based package 100 includes: chips 110 ; a silicon carrier 120 ; bumps and underfill 130 associated with the top and bottom of the silicon carrier 120 ; substrate 140 ; and a localized thinned region 150 of the silicon carrier 120 .
  • the substrate 140 is 1 mm thick Al 2 O 3
  • the silicon carrier is 200 ⁇ m thick
  • the chips are 720 ⁇ m thick and 5 mm wide. While the presently preferred dimensions are set forth in the preceding sentence, any appropriate thickness may be used.
  • wafer thickness standard is about 700 um
  • the silicon carrier thickness is likely to be 25 um to 300 um
  • the present invention may not be especially useful if the silicon carrier thickness is below about 20 um as the structure is then very flexible anyway. In any event, it is unlikely the thinness can go below 5 um-10 um as this is about what the wiring thickness is.
  • FIG. 2 provides a measure of the of the thermal mismatch induced bending and shear stress on the right side of the silicon-based package 100 when the temperature is reduced from a stress free state at 100° C. to 25° C.
  • the value 100° C. is about the midpoint between solder solidification and room temperature and is thus roughly about the stress free point after multiple temperature cycles.
  • the range is fairly typical of a chip-on chip-off temperature cycle although wider ranges are required for reliability testing. (For illustrative purposes the bending is exaggerated by a factor of 5.)
  • the bending for the silicon-based package 100 having a thinned region 150 as shown in FIG.
  • FIG. 3 provides a measure of the thermal mismatch induced bending and shear stress on the right side of the silicon-based package 100 where the temperature is again reduced from 100° C. to 25° C. but where the silicon carrier does not have any thinned silicon regions.
  • the bending for the silicon-based package 100 without a thinned region 150 is equal to ⁇ 31 ⁇ m.
  • a silicon carrier 120 having a thinned region 150 is more flexible allowing for increased bending to occur, thereby reducing package warpage, as compared to a silicon carrier 120 having no thinned regions, i.e, the thinned regions of the silicon carrier 120 , having increased flexibility, provide increased stability to the silicon carrier 120 , as well as the entire silicon based package 100 .
  • the use of the locally thinned silicon carrier apparatus as described in at least one of the presently preferred embodiments reduces the negative effects of thermal mismatch, package warpage, and overall package failure. It should also be noted, however, that the carrier thickness and substrate composition will impact the desirability of thinning.
  • the silicon of the carrier is removed entirely in the thinned regions, so the remaining carrier is made up of only the wiring layer or layers, generally consisting of silicon dioxide and copper or other conducting metals. Since a significant increase in carrier flexibility is required for thinning to be useful, the preferred embodiment contemplates thinning by at least a factor of 0.6 which would reduce the carrier stiffness by about a factor of two.
  • the apparatus of the present invention can be constructed using a subset of, and coincident with, process steps used to make silicon through a number of different processes.
  • a preferred process comprises: (a) patterning and DRIE etch to etches holes or grooves for the through-via walls, wherein the etching of the walls of the regions where the silicon carrier is to be locally thinned can also be achieved; (b) thermal oxide and CVD polysilicon fill of grooves; (c) completion of all front end line processes (FEOL) including devices (d) metal or other material being used for the etch stop; however, it should be noted that unlike the through-via process, the etch stop does not require electrical conduction or connection; (e) back-end-of-line (BEOL) pads, wiring and insulation, wherein these layers can remain in the flexible regions (generally BEOL and wiring layers are thin enough to sustain the contemplated bending of the present invention); (f) lamination to support glass and carrier thinning; (g) backside patterning to expose

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

An apparatus and method providing flexibility to a silicon chip carrier which, in at least one embodiment, comprises multiple chips and a silicon chip carrier having thinned regions between some adjacent chips, thus, allowing for increased flexibility and reduced package warpage.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of copending U.S. patent application Ser. No. 11/323,568 filed on Dec. 30, 2005, the contents of which are hereby incorporated by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates generally to packaging technology for electronic components, and more particularly relates to the field of silicon based carriers for microprocessors.
  • BACKGROUND OF THE INVENTION
  • Silicon based packages are known to have significant potential affect upon packaging technology. As explained in Development of Next-Generation System-On-Package (SOP) technology Based on Silicon Carriers with Fine-Pitch Chip Interconnection, Knickerbocker et al., IBM J. Res. & Dev. Vol. 49, No. 4/5 July/September 2005, which is hereby incorporated by reference, some useful features of silicon based packages include: dense wiring using back-end-of-line (BEOL) processing; increased chip package interface reliability; the use of interconnections like advanced solder microbumps or permanent copper interconnections; the ability to embed active devices into the carrier itself; and for carriers having through-vias the support of heterogeneous semiconductor technologies, passive or active circuits and high-density I/O wiring interconnections with electro-optic technology, as well as the support of three-dimensional circuit integration.
  • The full potential of silicon carriers cannot be fully realized, however, until problems related to thermal mismatches between various components of silicon based packages are overcome. Broadly speaking, a silicon based package is comprised of various components including, chips, carriers having various bumps and underfills, and substrates, all of which may be made of the same or different materials and have different thermal and mechanical stresses placed upon them. For a more in-depth discussion of silicon based packages, any of several sources may be relied upon, including G. W. Doerre and D. E. Lackey, “The IBM ASIC/SoC Methodology—A Recipe for First-Time Success,” IBM J. Res. & Dev. 46, 649 (2002), and D. J. Bodendorf, K. T. Olson, J. P. Trinko, and J. R. Winnard, “Active Silicon Chip Carrier,” IBM Tech. Disclosure Bull. 7, 656 (1972).
  • For example, the useable size of a chip can be limited by the thermal mismatch between a silicon chip and substrate. If a chip is too large, the stresses at the largest distances from the thermal neutral point on the underfill, solder balls, and chip can exceed the material strengths and cause failures and/or package warpage such that the useable limits for attachment or cooling solutions are exceeded. There is a similar issue with silicon carriers where multiple chips are attached to a silicon carrier interposer which is added between the chips and package or circuit board. A silicon carrier can be made thinner to increase its flexibility; however, this limits the robustness of the carrier relative to the forces placed on it during bonding and thermal cycling due to the bumps above and below the carrier which exert loads on the carrier.
  • A need has therefore been recognized in connection with providing an effective means for reducing the thermo-mechanical stresses created by thermal mismatches between chip, carrier, and substrate.
  • SUMMARY OF THE INVENTION
  • There is broadly contemplated, in accordance with at least one presently preferred embodiment of the present invention, an apparatus providing reduced thermal-mechanical stresses to a silicon carrier through the creation of localized regions in which the silicon carrier is thinned.
  • In accordance with one embodiment of the present invention, an apparatus providing reduced thermal-mechanical stresses to an electronic device is provided, the apparatus comprising a plurality of chips electrically connectable to a silicon chip carrier having thinned regions between adjacent chips, wherein the silicon chip carrier includes bumps and underfill. The thickness of said silicon carrier is reduced locally, for example in the parts of the carrier where chips are not attached, to introduce greater flexibility where the loads due to bumps are not present. The silicon carrier can be of varied thickness and, thus, rigid where bumps are located and flexible in other locations where bumps are not present.
  • In summary, one aspect of the invention provides a chip carrying apparatus for use in electronic devices, said apparatus comprising: a plurality of chips; a chip carrier including interconnection regions allowing electrical interconnections between chips and chip carrier, wherein said localized areas of said chip carrier are thinned; and a substrate.
  • For a better understanding of the present invention, together with other and further features and advantages thereof, reference is made to the following description, taken in conjunction with the accompanying drawings, and the scope of the invention will be pointed out in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically illustrates a silicon carrier in accordance with at least one embodiment of the present invention, including a locally thinned region of the silicon carrier.
  • FIG. 2 schematically illustrates the thermal mismatch induced bending and shear stress for the right side of the structure illustrated in FIG. 1.
  • FIG. 3 schematically illustrates the thermal mismatch induced bending and shear stress for the right side of a structure equivalent to that as shown in FIG. 1 except that no region of the silicon carrier is thinned.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring to the drawings, in FIG. 1 a silicon-carrier-based package 100 is shown in accordance with a presently preferred embodiment of the invention. The silicon-based package 100 includes: chips 110; a silicon carrier 120; bumps and underfill 130 associated with the top and bottom of the silicon carrier 120; substrate 140; and a localized thinned region 150 of the silicon carrier 120. In this embodiment it is preferred the substrate 140 is 1 mm thick Al2O3, the silicon carrier is 200 μm thick, and the chips are 720 μm thick and 5 mm wide. While the presently preferred dimensions are set forth in the preceding sentence, any appropriate thickness may be used. It should be recognized, however, that wafer thickness standard is about 700 um, the silicon carrier thickness is likely to be 25 um to 300 um, and the present invention may not be especially useful if the silicon carrier thickness is below about 20 um as the structure is then very flexible anyway. In any event, it is unlikely the thinness can go below 5 um-10 um as this is about what the wiring thickness is.
  • FIG. 2 provides a measure of the of the thermal mismatch induced bending and shear stress on the right side of the silicon-based package 100 when the temperature is reduced from a stress free state at 100° C. to 25° C. As recognized by one of skill in the art, the value 100° C. is about the midpoint between solder solidification and room temperature and is thus roughly about the stress free point after multiple temperature cycles. The range is fairly typical of a chip-on chip-off temperature cycle although wider ranges are required for reliability testing. (For illustrative purposes the bending is exaggerated by a factor of 5.) The bending for the silicon-based package 100 having a thinned region 150, as shown in FIG. 2, is equal to −23 μm at midpoint deflection off chord. In comparison, FIG. 3 provides a measure of the thermal mismatch induced bending and shear stress on the right side of the silicon-based package 100 where the temperature is again reduced from 100° C. to 25° C. but where the silicon carrier does not have any thinned silicon regions. The bending for the silicon-based package 100 without a thinned region 150, as shown in FIG. 3, is equal to −31 μm.
  • Thus, it should be appreciated that a silicon carrier 120 having a thinned region 150 is more flexible allowing for increased bending to occur, thereby reducing package warpage, as compared to a silicon carrier 120 having no thinned regions, i.e, the thinned regions of the silicon carrier 120, having increased flexibility, provide increased stability to the silicon carrier 120, as well as the entire silicon based package 100. Thus, the use of the locally thinned silicon carrier apparatus as described in at least one of the presently preferred embodiments reduces the negative effects of thermal mismatch, package warpage, and overall package failure. It should also be noted, however, that the carrier thickness and substrate composition will impact the desirability of thinning. Thus, for example, where a carrier is already relatively thin further thinning may not be advantageous. In one preferred embodiment, the silicon of the carrier is removed entirely in the thinned regions, so the remaining carrier is made up of only the wiring layer or layers, generally consisting of silicon dioxide and copper or other conducting metals. Since a significant increase in carrier flexibility is required for thinning to be useful, the preferred embodiment contemplates thinning by at least a factor of 0.6 which would reduce the carrier stiffness by about a factor of two.
  • As appreciated by one skilled in the art, the apparatus of the present invention can be constructed using a subset of, and coincident with, process steps used to make silicon through a number of different processes. A preferred process comprises: (a) patterning and DRIE etch to etches holes or grooves for the through-via walls, wherein the etching of the walls of the regions where the silicon carrier is to be locally thinned can also be achieved; (b) thermal oxide and CVD polysilicon fill of grooves; (c) completion of all front end line processes (FEOL) including devices (d) metal or other material being used for the etch stop; however, it should be noted that unlike the through-via process, the etch stop does not require electrical conduction or connection; (e) back-end-of-line (BEOL) pads, wiring and insulation, wherein these layers can remain in the flexible regions (generally BEOL and wiring layers are thin enough to sustain the contemplated bending of the present invention); (f) lamination to support glass and carrier thinning; (g) backside patterning to expose via cores and regions to be further thinned; (h) wet chemical etch to remove via cores and regions to be thinned; and (i) any further process steps related to finishing the carrier.
  • If not otherwise stated herein, it is to be assumed that all patents, patent applications, patent publications and other publications (including web-based publications) mentioned and cited herein are hereby fully incorporated by reference herein as if set forth in their entirety herein.
  • Although illustrative embodiments of the present invention have been described herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be affected therein by one skilled in the art without departing from the scope or spirit of the invention.

Claims (24)

1. A chip carrying apparatus for use in electronic devices, said apparatus comprising:
a plurality of chips;
a chip carrier including interconnection regions allowing electrical interconnections between said chips and said chip carrier,
wherein the thickness of at least one localized area of said chip carrier is reduced compared to at least one other localized area of said chip carrier; and
a substrate.
2. The apparatus according to claim 1, wherein said chip carrier is a silicon chip carrier.
3. The apparatus according to claim 2, wherein said interconnections are solder microbumps.
4. The apparatus according to claim 2, wherein said interconnections are permanent copper interconnections.
5. The apparatus according to claim 2, wherein said silicon chip carrier further comprises through-vias.
6. The apparatus according to claim 2, wherein the reduced region is reduced to no more than about sixty percent of the original thickness.
7. The apparatus according to claim 2, wherein the thickness of any wiring layers is not reduced.
8. The apparatus according to claim 2, wherein walls to said reduced regions are formed by etching.
9. The apparatus according to claim 8 wherein said reduced regions are formed by a chemical etching process.
10. The apparatus according to claim 8, wherein said etching comprises DRIE etching.
11. The apparatus of according to claim 2, further comprising BEOL layers.
12. The apparatus according to claim 11, wherein said reduced region comprises said BEOL layer.
13. A method for manufacturing a chip carrying apparatus for use in electronic devices, said method comprising the steps of:
creating interconnection regions in a chip carrier which allow electrical interconnections between said chip carrier and chips placed on said chip carrier,
reducing the thickness of at least one localized area of said chip carrier compared to at least one other localized are of said chip carrier
14. The method according to claim 13, wherein said chip carrier is a silicon chip carrier.
15. The method according to claim 14, wherein said interconnections are solder microbumps.
16. The method according to claim 14, wherein said interconnections are permanent copper interconnections.
17. The method according to claim 14, wherein said silicon chip carrier comprises through-vias.
18. The method according to claim 14, wherein the reduced region is reduced to no more than about sixty percent of the original thickness.
19. The method according to claim 14, wherein the thickness of any wiring layers is not reduced.
20. The method according to claim 14, wherein walls to said reduced regions are formed by etching.
21. The method according to claim 20, wherein said reduced regions are formed by a chemical etching process.
22. The method according to claim 20, wherein said etching comprises DRIE etching.
23. The method according to claim 14, wherein BEOL layers are used.
24. The method according to claim 23, wherein said reduced region comprises said BEOL layer.
US11/932,891 2005-12-30 2007-10-31 Silicon carrier having increased flexibility Abandoned US20080122057A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/932,891 US20080122057A1 (en) 2005-12-30 2007-10-31 Silicon carrier having increased flexibility

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/323,568 US7345353B2 (en) 2005-12-30 2005-12-30 Silicon carrier having increased flexibility
US11/932,891 US20080122057A1 (en) 2005-12-30 2007-10-31 Silicon carrier having increased flexibility

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/323,568 Continuation US7345353B2 (en) 2005-12-30 2005-12-30 Silicon carrier having increased flexibility

Publications (1)

Publication Number Publication Date
US20080122057A1 true US20080122057A1 (en) 2008-05-29

Family

ID=37761928

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/323,568 Expired - Fee Related US7345353B2 (en) 2005-12-30 2005-12-30 Silicon carrier having increased flexibility
US11/932,891 Abandoned US20080122057A1 (en) 2005-12-30 2007-10-31 Silicon carrier having increased flexibility

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/323,568 Expired - Fee Related US7345353B2 (en) 2005-12-30 2005-12-30 Silicon carrier having increased flexibility

Country Status (2)

Country Link
US (2) US7345353B2 (en)
WO (1) WO2007077109A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8677613B2 (en) 2010-05-20 2014-03-25 International Business Machines Corporation Enhanced modularity in heterogeneous 3D stacks

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7345353B2 (en) * 2005-12-30 2008-03-18 International Business Machines Corporation Silicon carrier having increased flexibility
US20080036084A1 (en) * 2006-01-30 2008-02-14 International Business Machines Corporation Laser release process for very thin Si-carrier build

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4943468A (en) * 1988-10-31 1990-07-24 Texas Instruments Incorporated Ceramic based substrate for electronic circuit system modules
US6437990B1 (en) * 2000-03-20 2002-08-20 Agere Systems Guardian Corp. Multi-chip ball grid array IC packages
US6849843B2 (en) * 2000-02-04 2005-02-01 Astrium Gmbh Focal surface and detector for opto-electronic imaging systems, manufacturing method and opto-electronic imaging system
US20050130383A1 (en) * 2003-12-10 2005-06-16 International Business Machines Corporation Silicide resistor in beol layer of semiconductor device and method
US20060079072A1 (en) * 2004-10-08 2006-04-13 Ludwig David Method for precision integrated circuit die singulation using differential etch rates
US20070048896A1 (en) * 2005-08-30 2007-03-01 International Business Machines Corporation Conductive through via structure and process for electronic device carriers
US7345353B2 (en) * 2005-12-30 2008-03-18 International Business Machines Corporation Silicon carrier having increased flexibility

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274929B1 (en) * 1998-09-01 2001-08-14 Texas Instruments Incorporated Stacked double sided integrated circuit package
JPH11340394A (en) * 1998-05-28 1999-12-10 Hitachi Ltd Semiconductor device
US6294840B1 (en) * 1999-11-18 2001-09-25 Lsi Logic Corporation Dual-thickness solder mask in integrated circuit package
JP2002029891A (en) * 2000-07-14 2002-01-29 Wacker Nsce Corp Silicon semiconductor substrate and method of producing the same
US6710457B1 (en) * 2000-10-20 2004-03-23 Silverbrook Research Pty Ltd Integrated circuit carrier
TW574752B (en) * 2000-12-25 2004-02-01 Hitachi Ltd Semiconductor module
DE10214847A1 (en) 2002-04-04 2003-10-23 Diehl Munitionssysteme Gmbh Flexible thin circuit construction
TWI236122B (en) * 2004-02-27 2005-07-11 Via Tech Inc A low thermal expansion build-up layer packaging and a method to package a die using the same
US7453157B2 (en) * 2004-06-25 2008-11-18 Tessera, Inc. Microelectronic packages and methods therefor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4943468A (en) * 1988-10-31 1990-07-24 Texas Instruments Incorporated Ceramic based substrate for electronic circuit system modules
US6849843B2 (en) * 2000-02-04 2005-02-01 Astrium Gmbh Focal surface and detector for opto-electronic imaging systems, manufacturing method and opto-electronic imaging system
US6437990B1 (en) * 2000-03-20 2002-08-20 Agere Systems Guardian Corp. Multi-chip ball grid array IC packages
US20050130383A1 (en) * 2003-12-10 2005-06-16 International Business Machines Corporation Silicide resistor in beol layer of semiconductor device and method
US20060079072A1 (en) * 2004-10-08 2006-04-13 Ludwig David Method for precision integrated circuit die singulation using differential etch rates
US20070048896A1 (en) * 2005-08-30 2007-03-01 International Business Machines Corporation Conductive through via structure and process for electronic device carriers
US7345353B2 (en) * 2005-12-30 2008-03-18 International Business Machines Corporation Silicon carrier having increased flexibility

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8677613B2 (en) 2010-05-20 2014-03-25 International Business Machines Corporation Enhanced modularity in heterogeneous 3D stacks
US9373557B2 (en) 2010-05-20 2016-06-21 Globalfoundries Inc. Enhanced modularity in heterogeneous 3D stacks
US9390989B2 (en) 2010-05-20 2016-07-12 Globalfoundries Inc. Enhanced modularity in heterogeneous 3D stacks

Also Published As

Publication number Publication date
WO2007077109A2 (en) 2007-07-12
US7345353B2 (en) 2008-03-18
WO2007077109A3 (en) 2007-08-30
US20070152346A1 (en) 2007-07-05

Similar Documents

Publication Publication Date Title
US7473577B2 (en) Integrated chip carrier with compliant interconnect
US20080119029A1 (en) Wafer scale thin film package
US7038316B2 (en) Bumpless die and heat spreader lid module bonded to bumped die carrier
US11817410B2 (en) Integrated circuit package and method
KR102647008B1 (en) Fan-out packages and methods of forming the same
TWI550796B (en) Method for handling very thin device wafers
US9443815B2 (en) Embedded die redistribution layers for active device
US11532582B2 (en) Semiconductor device package and method of manufacture
TW201421631A (en) Semiconductor package and method of forming same
CN115831955A (en) Integrated circuit package with inverted chip stack
US7345353B2 (en) Silicon carrier having increased flexibility
CN103420322A (en) Chip package and method for forming the same
US8035220B2 (en) Semiconductor packaging device
TW200527626A (en) Semiconductor device, method of manufacturing thereof, circuit board and electronic apparatus
US10157839B1 (en) Interconnect structure and manufacturing method thereof
EP4156260A1 (en) Topological crack stop (tcs) passivation layer
US20230085196A1 (en) Organic passivation for fine pitch architectures
US20230197664A1 (en) Solid component coupled to dies in multi-chip package using dielectric-to-dielectric bonding
US20230076148A1 (en) Silicon nitride liner for promotion of mold adhesion in integrated circuits
US20230074181A1 (en) Low cost embedded integrated circuit dies
CN116314125A (en) Semiconductor device and method of forming the same
Poupon et al. From the Single Chip to the Wafer Integration

Legal Events

Date Code Title Description
AS Assignment

Owner name: AGCO SA, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MAILLET, THIBAUT JEAN-ROBERT;REEL/FRAME:020138/0986

Effective date: 20071001

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION