US20070052026A1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US20070052026A1
US20070052026A1 US11/593,532 US59353206A US2007052026A1 US 20070052026 A1 US20070052026 A1 US 20070052026A1 US 59353206 A US59353206 A US 59353206A US 2007052026 A1 US2007052026 A1 US 2007052026A1
Authority
US
United States
Prior art keywords
insulating film
film
gate electrode
sige
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/593,532
Inventor
Kiyotaka Miyano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/593,532 priority Critical patent/US20070052026A1/en
Publication of US20070052026A1 publication Critical patent/US20070052026A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Definitions

  • This invention relates generally to a semiconductor device and a method of manufacturing the same, and more specifically to a structure of a MOS transistor using two types of semiconductors as conductive layer materials, such as Si and Ge (SiGe).
  • SiGe As a conductive layer material for high performance MOS transistors has been a great deal of attention.
  • SiGe is used as a gate electrode material to improve the activation efficiency of a PMOS transistor and to thereby suppress depletion.
  • an oxide film (sidewall oxide film) of about several nm to 10 nm is formed on sidewalls of a gate electrode.
  • the sidewall oxide film of the gate electrode is formed to eliminate damage introduced to gate edge regions of the semiconductor substrate side through mainly RIE (reactive ion etching) in the gate electrode processing.
  • the sidewall oxide film of the gate electrode concurrently serves as a barrier layer that suppresses dopants being diffused out from the gate electrode (“out-diffusion” of dopants) during a heat treatment such as activation annealing in a later performed process.
  • the gate electrode In a MOS transistor in the generation that uses SiGe for a gate electrode, the gate electrode is required to contain carriers at a high-concentration to suppress the gate depletion. As such, the prevention of out-diffusion of dopant from the gate electrode becomes more important.
  • a gate-electrode sidewall oxide film is used as a spacer for providing offsets between the gate electrode and an ion-implantation region when performing ion implantation to suppress a short channel effect.
  • a SiGe film for a gate electrode is described in Jpn. Pat. Appln. KOKAI Publication No. 2002-26318 (FIG. 1, pp. 2 to 3). Meanwhile, SiGe is not a compound, but is a mixed crystal; and it is formerly represented as “Si 1-x Ge x ”.
  • the sidewall oxide film is formed by oxidizing a SiGe gate electrode (SiGe), the sidewall oxide film contains SiO 2 and GeO 2 .
  • SiO 2 and GeO 2 formed by oxidizing SiGe are compared with each other, GeO 2 is lower than SiO 2 in chemical resistance to H 2 O 2 , H 2 SO 4 , and HF, for example, and is higher in volatility than SiO 2 . Because of these characteristics, the sidewall oxide film is damaged by processes such as chemical and heat treatments performed after formation of the gate oxide film, and the film becomes a coarse film.
  • the resistance to the out-diffusion of dopants from the gate electrode is significantly reduced, when the film undergoes a heat treatment such as activation annealing in a later performed process. Further, in the sidewall oxide film, thickness uniformity is also reduced, so that the function as the offset spacer between the gate electrode and the ion-implanted region is deteriorated.
  • a SiGe film is epitaxially grown to have a SiGe channel layer to enhance the carrier mobility in the channel region.
  • the SiGe channel layer is formed, the surface (SiGe) of the SiGe channel layer is oxidized to form a gate oxide film. Since the gate oxide film is thus formed through oxidation of SiGe. then the gate oxide film contains SiO 2 and GeO 2 .
  • a semiconductor device comprising:
  • the gate electrode is made of SiGe
  • the sidewall insulating film is an insulating film obtained by oxidizing the sidewall surface of the gate electrode
  • the sidewall insulating film contains silicon oxide as a main component.
  • a semiconductor device comprising:
  • channel region is formed of the SiGe monocrystal layer
  • the gate insulating film is an insulating film obtained by oxidizing a surface of the SiGe monocrystal layer
  • the gate insulating film is made of silicon oxide as a main component.
  • a method of manufacturing a semiconductor device comprising:
  • thermal-oxidizing the conductive film in an atmosphere that contains an oxidant for oxidizing the first semiconductor and the second semiconductor and a reductant for reducing the first semiconductor and the second semiconductor, to form an oxide film made of the first semiconductor on the conductive film.
  • a semiconductor device comprising:
  • thermal-oxidizing the gate electrode in an atmosphere that contains an oxidant for oxidizing Si and a reductant for reducing Ge to form a sidewall insulating film on a sidewall surface of the gate electrode.
  • a method of manufacturing a semiconductor device comprising:
  • a method of manufacturing a semiconductor device comprising:
  • the gate insulating film is formed on a surface of the SiGe monocrystal layer by thermal-oxidizing the SiGe monocrystal layer in an atmosphere that contains an oxidant for oxidizing Si, and
  • the gate insulating film is made of substantially silicon oxide.
  • a method of manufacturing a semiconductor device comprising:
  • FIG. 1 is a cross sectional view of a complimentary metal oxide semiconductor field effect transistor (CMOSFET) in a step of a manufacturing method according to a first embodiment of the present invention
  • CMOSFET complimentary metal oxide semiconductor field effect transistor
  • FIG. 2 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 1 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 3 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 2 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 4 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 3 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 5 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 4 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 6 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 5 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 7 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 6 of the manufacturing method according to the first embodiment of the present invention.
  • FIG. 8 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 7 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 9 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 8 of the manufacturing method according to the first embodiment of the present invention.
  • FIG. 10 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 9 of the manufacturing method according to the first embodiment of the present invention.
  • FIG. 11 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 10 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 12 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 11 of the manufacturing method according to the first embodiment of the present invention
  • FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide;
  • FIG. 14 is a cross sectional view of a p-type MOS field effect transistor (PMOSFET) in a step of a manufacturing method according to a second embodiment of the present invention.
  • PMOSFET p-type MOS field effect transistor
  • FIG. 15 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 14 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 16 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 15 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 17 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 16 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 18 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 17 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 19 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 18 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 20 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 19 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 21 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 20 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 22 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 21 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 23 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 22 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 24 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 23 of the manufacturing method according to the second embodiment of the present invention.
  • FIG. 25 is a cross sectional view of a MOSFET and is used to explain a SiGe gate electrode and a sidewall oxide film;
  • FIG. 26 is a cross-sectional view of a MOSFET and is used to explain a SiGe channel and a gate oxide film.
  • a conductive film including a first semiconductor and a second semi-conductor is formed over an insulating film formed over a semiconductor substrate.
  • the conductive film then undergoes a heat treatment in an atmosphere that permits the first semiconductor to be oxidized but does not permit the second semiconductor to be oxidized. Consequently, a finely composed oxide film is formed of substantially only the first semiconductor and does not include an oxide of the second semiconductor.
  • FIGS. 1 to 12 are cross-sectional views of a complimentary metal oxide semiconductor field effect transistor (CMOSFET) in individual steps of a manufacturing method according to a first embodiment of the present invention.
  • FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide.
  • the vertical axis represents a partial pressure ratio (P H2O /P H2 ) of H 2 O to H 2
  • the horizontal axis represents a heat treatment temperature (absolute temperature) (° K).
  • the diagram depicts a characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and a characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • a desired resist pattern (not shown) is formed by photolithography in a desired region on a silicon semiconductor substrate 101 . Then, using the pattern as a mask, B, Ga, or In is implanted by ion implantation into the semiconductor substrate 101 . After the resist pattern is removed, a resist pattern (not shown) is similarly formed by photolithography in a desired region on the silicon semiconductor substrate 101 , and then using the pattern as a mask, As, P, or Sb is implanted by ion implantation into the silicon semiconductor substrate 101 .
  • P-well P-type dopant impurity diffused region
  • N-well N-type impurity dopant diffused region
  • a trench is formed in a predetermined region (the boundary region between the P-type dopant impurity diffused region 102 and the N-type dopant impurity diffused region 103 ) on the surface of the semi-conductor substrate 101 .
  • a silicon oxide film having a thickness of about 400 nm is embedded by a technique such as CVD (chemical vapor deposition) in that region, and the region is formed to serve as a device isolation region 104 having an STI (shallow trench isolation) structure ( FIG. 2 ).
  • a heat treatment is performed, and a silicon oxide film 105 having a thickness of about 10 nm is formed on the overall surface of the substrate. Thereafter, ion implantation is performed into the substrate via the protective oxide film (silicon oxide film) 105 to define a threshold value of the transistor ( FIG. 3 ).
  • the silicon oxide film 105 is removed. Then, for use as a gate insulating film, an insulating film 106 of several nanometers (nm) is formed overall on the substrate surface ( FIG. 4 ).
  • the insulating film 106 is not limited to the silicon oxide (SiO 2 ) film, but may be any one of other high-k films, i.e., other high dielectric constant films, such as, an oxyniteride film, a tantalum oxide (TaO x ) film, a zirconia oxide (ZrO x ) film, a hafnium oxide film (HfO x ), and films of silicates these elements. These insulating films are formed by selective oxidation.
  • SiGe film 107 is not a compound, but is an alloy, and it is restrictly represented as “Si 1-x Ge x ”. Generally, a Ge fraction of SiGe is about 2 to 50 atomic %.
  • a photoresist 108 is coated onto the SiGe film 107 , and the photoresist 108 is then patterned. Then, using the patterned photoresist 108 as a mask, the SiGe film 107 is etched, and thus gate electrodes 107 each formed of the SiGe film 107 pattern are formed ( FIG. 6 ).
  • the photoresist 108 is removed. Thereafter, selective oxidation using H 2 O to H 2 is performed to form a sidewall oxide film 109 having a thickness of about 2 nm on the sidewalls of the SiGe film 107 .
  • the oxide film 109 is thus formed of SiO 2 not to contain GeO 2 .
  • the processing uses an atmosphere containing a reductant and an oxidant. For example, the processing uses an atmosphere containing H 2 and H 2 O or an atmosphere containing CO and CO 2 .
  • the partial pressure ratio of the oxidant and the reductant is set to a range in which Si is oxidized and Ge is reduced (P H2O /P H2 or P CO2 /P CO ), and the selective oxidation is performed at a partial pressure ratio in that range.
  • the range of partial pressure ratios can be obtained from the results of calculations of standard free-energies of formation of SiO 2 and GeO 2 .
  • FIG. 13 is a characteristic diagram showing the relationship between the partial pressure ratio (equilibrium vapor-hydrogen partial pressure ratio) and the temperature.
  • the vertical axis represents the partial pressure ratio (P H2O /P H2 ) of H 2 O to H 2
  • the horizontal axis represents the heat treatment temperature (absolute temperature) (° K)
  • a partial pressure ratio (P H2O /P H2 ) and a temperature (° K) are selected. Specifically, as shown in FIG.
  • the ratio and the temperature are selected from the range between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • This enables the formation of the sidewall oxide film 109 made of SiO 2 and not containing GeO 2 .
  • the partial pressure ratio (P H2O /P H2 ) is selected from the range of 10 ⁇ 1 to 10 ⁇ 21 between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • the temperature (° K) is selected from the range of from 0° K to 2,500° K between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • the sidewall oxide film 109 not containing GeO 2 and formed of SiO 2 can be formed ( FIG. 7 ).
  • the surface of the semiconductor substrate 101 including the gate electrode 107 is coated overall with a photoresist 110 , and the photoresist 110 is patterned.
  • the surface of the semiconductor substrate 101 is ion-implanted overall by using As at an energy of about 1 keV and a dose of about 1e15 cm ⁇ 2 .
  • a shallow N-type dopant impurity diffused region 111 to be used as an LDD (lightly doped drain) region is formed in a surface region of the P-type dopant impurity diffused region 102 (P-well) ( FIG. 8 ).
  • a patterned photoresist 110 ′ is formed. Then, using the photoresist 110 ′ to mask the P-type impurity dopant diffused region 102 , the surface of the semiconductor substrate 101 is ion-implanted overall by using BF 2 at an energy of about 1.5 keV, and a dose of about 1e15 cm ⁇ 2 . Thereby, a shallow P-type dopant impurity diffused region 112 to be used as an LDD region is formed in a surface region of the N-type impurity dopant diffused region (N-well) 103 ( FIG. 9 ).
  • a silicon oxide (SiO 2 ) film 113 having a thickness of about 20 nm is deposited with good coverage to the overall surface of the semiconductor substrate 101 , which is inclusive of the gate electrode 107 , by using CVD (chemical vapor deposition) using TEOS (tetraethoxysilane).
  • CVD chemical vapor deposition
  • TEOS tetraethoxysilane
  • SiN silicon nitride
  • the deposited silicon oxide film 113 and silicon nitride film 114 are anisotropically etched by a process such as RIE (reactive ion etching).
  • RIE reactive ion etching
  • the etching is performed so that the multilayer film of the silicon oxide film 113 and the silicon nitride film 114 remains on the side wall of the gate electrodes.
  • This consequently enables obtaining a SiGe gate electrode coated with the oxide film (SiO 2 film) 109 , the silicon oxide film 113 and the silicon nitride film 114 ( FIG. 11 ).
  • the P-type dopant impurity diffused region 102 is ion-implanted using P (phosphorus) at an energy of about 10 keV and a dose of about 3e15 cm ⁇ 2 .
  • the N-type dopant impurity diffused region 103 is ion-implanted using B at an energy of about 5 keV and a dose of about 3e15 cm ⁇ 2 .
  • the ions are implanted not only into the dopant impurity diffused regions but also into the gate electrodes.
  • activation annealing is performed at about 1,050° C. for about 10 seconds in an N 2 atmosphere.
  • an N-type high concentration dopant impurity diffused region 115 is formed below the shallow N-type dopant impurity diffused region 111
  • a P-type high concentration dopant impurity diffused region 116 is formed below the shallow P-type dopant impurity diffused region 112 .
  • the shallow N-type dopant impurity diffused region 111 and the N-type high concentration dopant impurity diffused region 115 are used to form source/drain regions of the transistor that is to be formed in the P-type dopant impurity diffused region (P-well) 102 .
  • the shallow P-type dopant impurity diffused region 112 and the P-type high concentration dopant impurity diffused region 116 are used to form source/drain regions of the transistor that is to be formed in the N-type dopant impurity diffused region (N-well) 103 ( FIG. 12 ).
  • the sidewall oxide film formed by the conventional sidewalls oxidation technique non-selective oxidation
  • the out-diffusion of the dopant from the SiGe gate electrodes cannot be sufficiently suppressed in the activation annealing. This makes it difficult to implement a desired carrier concentration with the SiGe gate electrode.
  • the sidewall oxide film 109 is formed by the selective oxidation that forms SiO 2 but does not form GeO 2
  • the sidewall oxide film 109 containing no GeO 2 and made of SiO 2 is formed.
  • the sidewall oxide film 109 is formed to have finely composed film property. This improves out-diffusion suppression effects for the dopant from the SiGe gate electrode by the sidewall oxide film 109 . Accordingly, carriers can be maintained at high-concentration with the gate electrode, and consequently, depletion of the gate can be prevented.
  • contacts, wirings, and the like components are formed using well-known processes to complete the semiconductor device.
  • salicides of, for example, Ni or Pt, and/or elevated source/drain regions and the like may be formed.
  • FIGS. 13 to 24 A second embodiment of the present invention will be described with reference to FIGS. 13 to 24 .
  • FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide.
  • the vertical axis represents a partial pressure ratio (P H2O /P H2 ) of H 2 O to H 2
  • the horizontal axis represents a heat treatment temperature (absolute temperature) (° K)
  • the diagram depicts a characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and a characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • FIGS. 14 to 24 are cross-sectional views of a p-type MOS field effect transistor (PMOSFET) in individual steps of a manufacturing method according to the second embodiment of the invention.
  • PMOSFET p-type MOS field effect transistor
  • a SiGe epitaxial layer 202 is epitaxially grown over the surface of a silicon semiconductor substrate 201 .
  • a desired resist pattern (not shown) is formed by photolithography in a desired region on the silicon semiconductor substrate 101 , specifically, the SiGe epitaxial layer 202 .
  • As, P, or Sb is implanted by ion implantation into the SiGe epitaxial layer 202 .
  • thermal diffusion is performed to form in the SiGe epitaxial layer 202 an N-type dopant impurity diffused region 203 having a depth of about 1 ⁇ m from the surface of the substrate ( FIG. 14 ).
  • a trench is formed in a predetermined region of the surface of the semiconductor substrate thus formed.
  • a silicon oxide film having a thickness of about 400 nm is embedded by a technique such as CVD (chemical vapor deposition) in that region, and the region is formed to serve as a device isolation region 204 having an STI structure ( FIG. 15 ).
  • a heat treatment is performed, and a silicon oxide film 205 having a thickness of about 10 nm is formed on the overall surface of the N-type dopant impurity diffused region 203 .
  • ion implantation is performed into the N-type dopant impurity diffused region 203 via the protective oxide film (silicon oxide film) 205 to define a threshold value of the transistor ( FIG. 16 ).
  • a gate insulating film 206 of several nanometers (nm) formed of SiO 2 not to contain GeO 2 is formed overall on the N-type dopant impurity diffused region 203 , by selective-oxidizing the surface of the N-type dopant impurity diffused region 203 ( FIG. 17 ).
  • the processing uses an atmosphere containing a reductant and an oxidant.
  • the processing uses an atmosphere containing H 2 and H 2 O or an atmosphere containing CO and CO 2 .
  • the partial pressure ratio of the oxidant and the reductant is set to a range in which Si is oxidized and Ge is reduced (P H2O /P H2 or P CO2 /P CO ), and the selective oxidation is performed at a partial pressure ratio in that range.
  • the range of partial pressure ratios can be obtained from the results of calculations of standard free-energies of formation of SiO 2 and GeO 2 .
  • the characteristic diagram of FIG. 13 shows the relationship between the partial pressure ratio (equilibrium vapor-hydrogen partial pressure ratio) and the temperature.
  • the vertical axis represents the partial pressure ratio (P H2O /P H2 ) of H 2 O to H 2
  • the horizontal axis represents the heat treatment temperature (absolute temperature) (° K).
  • the ratio and the temperature are selected from the range between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • This enables the formation of the gate insulating film 206 made of SiO 2 and not containing GeO 2 .
  • the selective oxidation is to be performed for the surface of the N-type dopant impurity diffused region 203 to form the gate insulating film 206 .
  • the partial pressure ratio (P H2O /P H2 ) is selected from the range of 10 ⁇ 1 to 10 ⁇ 21 between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • the temperature (° K) is selected from the range of from 0° K to 2,500° K between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO 2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO 2 .
  • the gate insulating film 206 is not limited to the silicon oxide (SiO 2 ) film, but may be any one of other high-k films i.e., other high dielectric constant films, such as, an oxyniteride film, a tantalum oxide (TaO x ) film, a zirconia oxide (ZrO x ) film, a hafnium oxide film (HfO x ), and films of silicates these elements. These insulating films are formed by selective oxidation.
  • a polycrystalline Si film 207 having a thickness of about 150 nm is deposited by a process such as CVD over the overall surface of the silicon semiconductor substrate, specifically, the overall surface of an N-type dopant impurity diffused region 203 . Then, the polycrystalline Si film 207 is doped with B, BF 2 , or the like dopant element ( FIG. 18 ).
  • a photoresist 208 is formed by photolithography in a desired region of the surface of the Si film 207 , and the Si film 207 is etched using the photoresist 208 as a mask. Thereby, a poly-crystalline Si gate electrode 207 is formed. Subsequently, the photoresist 208 is removed using H 2 O 2 or H 2 SO 4 . GeO 2 is soluble in H 2 O 2 and H 2 SO 4 . However, since the gate insulating film 206 is formed through the selective oxidation and thus does not contain GeO 2 , then even with H 2 O 2 or H 2 SO 4 being used, no instance occurs in which the gate insulating film 206 becomes solubilized. Consequently, the gate insulating film 206 is maintained in a finely composed state, and hence electrical characteristics are maintained stabilized ( FIG. 19 ).
  • the gate insulating film 206 is formed through ordinary thermal oxidation (non-selective oxidation).
  • the gate insulating film 206 would contain GeO 2 . Since GeO 2 is soluble in H 2 O and H 2 SO 4 , the gate insulating film 206 would be formed to be sparsely composed film not satisfying required electrical characteristics.
  • a gate film 209 having a thickness of about 2 nm is formed on the sidewalls of the gate electrode 207 ( FIG. 20 ).
  • the silicon semiconductor substrate 201 is ion-implanted by using BF 2 at an energy of about 1.5 keV and a dose of about 1e15 cm ⁇ 2 .
  • a shallow P-type dopant impurity diffused region 210 to be used as an LDD (lightly doped drain) region is formed in the surface region of the N-type impurity dopant diffused region 203 ( FIG. 21 ).
  • a silicon oxide (SiO 2 ) film 211 having a thickness of about 20 nm is deposited with good coverage to the overall surface of the N-type impurity dopant diffused region 203 including the gate electrode 207 , by using CVD (chemical vapor deposition) using TEOS (tetraethoxysilane).
  • a silicon nitride (SiN) film 212 having a thickness of about 20 nm is deposited by CVD with good coverage over the silicon nitride (SiN) film 212 ( FIG. 22 ).
  • the deposited silicon oxide film 211 and silicon nitride film 212 are anisotropically etched by a process such as RIE (reactive ion etching).
  • RIE reactive ion etching
  • the etching is performed so that the multilayer film of the silicon oxide film 211 and the silicon nitride film 212 remains on the side wall of the gate electrode 207 .
  • This consequently enables obtaining a Si gate electrode coated with the oxide film (SiO 2 film) 209 , the silicon oxide film 211 and the silicon nitride film 212 ( FIG. 23 ).
  • the substrate thus formed is ion-implanted using B (boron) at an energy of about 5 keV and a dose of about 3e15 cm ⁇ 2 .
  • B boron
  • the ions are implanted not only into the substrate but also into the gate electrode 207 .
  • activation annealing is performed at about 1,050° C. for about 10 seconds in an N 2 atmosphere. Thereby, a P-type high concentration dopant impurity diffused region 213 is formed below the shallow P-type dopant impurity diffused region 210 .
  • the shallow P-type dopant impurity diffused region 211 and the P-type high concentration dopant impurity diffused region 213 are used to form source/drain regions of the MOS transistor that is to be formed in the N-type impurity dopant diffused region 203 ( FIG. 24 ).
  • the gate insulating film 206 is formed, as described above, through selective oxidation, the gate insulating film 206 is maintained to have high heat treatment resistance, hence not permitting damage to be caused by heat treatments. Consequently, even in the case where the gate oxide film is required to be further reduced, the film is maintained in a finely composed state satisfying electrical characteristics required for gate breakdown voltage and the like.
  • the gate insulating film 206 is formed through ordinary thermal oxidation (non-selective oxidation), as in the conventional case.
  • the-gate insulating film 206 is formed to be an SiO 2 insulating film containing GeO 2 , whereby the insulating film 206 is significantly damaged through activation annealing to be in a sparsely composed state. This disables obtaining the required electrical characteristics.
  • contacts, wirings, and the like components are formed using well-known processes to complete the semiconductor device.
  • salicides of, for example, Ni or Pt, and/or elevated source/drain regions and the like may be formed.
  • the finely composed oxide film not containing the second semiconductor oxide (GeO 2 ) film and made of the first semiconductor oxide (SiO 2 ) film is formed over the conductive film, such as the SiGe film, formed of the first and second semiconductors.
  • SiGe is selectively oxidized to form the sidewall oxide film not containing GeO 2 and made of SiO 2 , thereby enabling forming the sidewall oxide film that has high heat treatment resistance to processes such as chemical treatment and heat treatments performed after formation of the sidewall oxide film. Consequently, out-diffusion of dopants in the conductive films can be effectively suppressed.
  • SiGe is selectively oxidized to form the gate oxide film not containing GeO 2 and made of SiO 2 , thereby enabling forming the gate oxide film that has high heat treatment resistance to processes such as chemical and heat treatments performed after formation of the gate oxide film.
  • the gate insulating film is formed to be in the finely composed state through the selective oxidation in which the first semiconductor is oxidized and the second semiconductor is reduced in the atmosphere containing the reductant and the oxidant as the oxidation seeds for the monocrystal layer. Therefore, the gate insulating film is-formed to be the insulating film having high heat treatment resistance to processes such as chemical and heat treatments performed after the formation of the gate insulating film. This consequently enables obtaining desired electrical characteristics, such as high gate dielectric strength. Furthermore, diffusion of dopants from the gate electrode to the channel region can be effectively suppressed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

A semiconductor device is disclosed, which comprises a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulating film formed on a channel region between the source/drain regions, a gate electrode formed on the gate insulating film, and a sidewall insulating film formed on a sidewall surface of the gate electrode, wherein the gate electrode is made of SiGe, the sidewall insulating film is an insulating film obtained by oxidizing the sidewall surface of the gate electrode, and the sidewall insulating film contains silicon oxide as a main component.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2002-330399, filed Nov. 14, 2002, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention This invention relates generally to a semiconductor device and a method of manufacturing the same, and more specifically to a structure of a MOS transistor using two types of semiconductors as conductive layer materials, such as Si and Ge (SiGe).
  • 2. Description of the Related Art
  • Using SiGe as a conductive layer material for high performance MOS transistors has been a great deal of attention.
  • For example, as shown in FIG. 25, SiGe is used as a gate electrode material to improve the activation efficiency of a PMOS transistor and to thereby suppress depletion. Generally, an oxide film (sidewall oxide film) of about several nm to 10 nm is formed on sidewalls of a gate electrode. Principally, the sidewall oxide film of the gate electrode is formed to eliminate damage introduced to gate edge regions of the semiconductor substrate side through mainly RIE (reactive ion etching) in the gate electrode processing. The sidewall oxide film of the gate electrode concurrently serves as a barrier layer that suppresses dopants being diffused out from the gate electrode (“out-diffusion” of dopants) during a heat treatment such as activation annealing in a later performed process.
  • In a MOS transistor in the generation that uses SiGe for a gate electrode, the gate electrode is required to contain carriers at a high-concentration to suppress the gate depletion. As such, the prevention of out-diffusion of dopant from the gate electrode becomes more important.
  • In addition, in a small-size MOS transistor, a gate-electrode sidewall oxide film is used as a spacer for providing offsets between the gate electrode and an ion-implantation region when performing ion implantation to suppress a short channel effect. Use of a SiGe film for a gate electrode is described in Jpn. Pat. Appln. KOKAI Publication No. 2002-26318 (FIG. 1, pp. 2 to 3). Meanwhile, SiGe is not a compound, but is a mixed crystal; and it is formerly represented as “Si1-xGex”.
  • Since the SiGe-gate sidewall oxide film is formed by oxidizing a SiGe gate electrode (SiGe), the sidewall oxide film contains SiO2 and GeO2. However, when SiO2 and GeO2 formed by oxidizing SiGe are compared with each other, GeO2 is lower than SiO2 in chemical resistance to H2O2, H2SO4, and HF, for example, and is higher in volatility than SiO2. Because of these characteristics, the sidewall oxide film is damaged by processes such as chemical and heat treatments performed after formation of the gate oxide film, and the film becomes a coarse film. As a result, the resistance to the out-diffusion of dopants from the gate electrode is significantly reduced, when the film undergoes a heat treatment such as activation annealing in a later performed process. Further, in the sidewall oxide film, thickness uniformity is also reduced, so that the function as the offset spacer between the gate electrode and the ion-implanted region is deteriorated.
  • As shown in FIG. 26, in the field of MOS transistors, there is a promising technique in which a SiGe film is epitaxially grown to have a SiGe channel layer to enhance the carrier mobility in the channel region. In this case, after the SiGe channel layer is formed, the surface (SiGe) of the SiGe channel layer is oxidized to form a gate oxide film. Since the gate oxide film is thus formed through oxidation of SiGe. then the gate oxide film contains SiO2 and GeO2. However, as described above in connection with the sidewall oxide film of the SiGe gate electrode, when SiO2 and GeO2 formed through oxidation of SiGe are compared with each other, GeO2 is found lower to SiO2 in the chemical resistance to H2O2, H2SO4, and HF, for example. Concurrently, GeO2 is found higher than SiO2 in volatility. Accordingly, the gate oxide film thus produced is damaged during processes such as chemical and heat treatments performed after the formation of the gate oxide film. This makes the problem more prominent in that the thickness of the gate oxide film is further reduced.
  • BRIEF SUMMARY OF THE INVENTION
  • According to an aspect of the present invention, there is provided a semiconductor device comprising:
  • a semiconductor substrate;
  • source/drain regions formed in the semiconductor substrate;
  • a gate insulating film formed on a channel region between the source/drain regions;
  • a gate electrode formed on the gate insulating film; and
  • a sidewall insulating film formed on a sidewall surface of the gate electrode,
  • wherein the gate electrode is made of SiGe,
  • the sidewall insulating film is an insulating film obtained by oxidizing the sidewall surface of the gate electrode, and
  • the sidewall insulating film contains silicon oxide as a main component.
  • According to another aspect of the present invention, there is provided a semiconductor device comprising:
  • a semiconductor substrate in which a SiGe monocrystal layer is formed;
  • source/drain regions formed in the semiconductor substrate;
  • a gate insulating film formed on a channel region between the source/drain regions; and
  • a gate electrode formed on the gate insulating film,
  • wherein the channel region is formed of the SiGe monocrystal layer,
  • the gate insulating film is an insulating film obtained by oxidizing a surface of the SiGe monocrystal layer, and
  • the gate insulating film is made of silicon oxide as a main component.
  • According to a further aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising:
  • forming an insulating film on a semiconductor substrate;
  • forming a conductive film made of a first semiconductor and a second semiconductor on-the insulating film; and
  • thermal-oxidizing the conductive film in an atmosphere that contains an oxidant for oxidizing the first semiconductor and the second semiconductor and a reductant for reducing the first semiconductor and the second semiconductor, to form an oxide film made of the first semiconductor on the conductive film.
  • According to a further aspect of the present invention, there is provided a semiconductor device comprising:
  • forming source/drain regions formed in a semiconductor substrate;
  • forming a gate insulating film on a channel region between the source/drain regions;
  • forming a gate electrode made of SiGe on the gate insulating film; and
  • thermal-oxidizing the gate electrode in an atmosphere that contains an oxidant for oxidizing Si and a reductant for reducing Ge to form a sidewall insulating film on a sidewall surface of the gate electrode.
  • According to a further aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising:
  • forming a monocrystal layer made of at least two kinds of semiconductors on a semiconductor substrate; and
  • thermal-oxidizing the monocrystal layer in an atmosphere that contains an oxidant and a reductant as an oxidation seed to form an oxide film made of one of the at least two kinds of semiconductors on a surface of the monocrystal layer.
  • According to a further aspect of the present invention, there is provided a method of manufacturing a semiconductor device comprising:
  • forming an SiGe monocrystal layer including a channel region on a semiconductor substrate;
  • forming source/drain regions in the SiGe monocrystal layer formed on the semiconductor substrate;
  • forming a gate insulating film on the channel region between the source/drain regions; and
  • forming a gate electrode on the gate insulating film,
  • wherein the gate insulating film is formed on a surface of the SiGe monocrystal layer by thermal-oxidizing the SiGe monocrystal layer in an atmosphere that contains an oxidant for oxidizing Si, and
  • a reductant for reducing Ge, and the gate insulating film is made of substantially silicon oxide.
  • According to a further aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising:
  • forming a conductive film made of a first semiconductor and a second semiconductor on an insulating film formed on a semiconductor substrate; and
  • thermal-oxidizing the conductive film in an atmosphere in which the first semiconductor is oxidized and the second semiconductor is not oxidized, to form an oxide film made of the first semiconductor on the conductive film.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • FIG. 1 is a cross sectional view of a complimentary metal oxide semiconductor field effect transistor (CMOSFET) in a step of a manufacturing method according to a first embodiment of the present invention;
  • FIG. 2 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 1 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 3 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 2 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 4 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 3 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 5 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 4 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 6 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 5 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 7 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 6 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 8 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 7 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 9 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 8 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 10 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 9 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 11 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 10 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 12 is a cross sectional view of the complimentary metal oxide semiconductor field effect transistor in a step following the step of FIG. 11 of the manufacturing method according to the first embodiment of the present invention;
  • FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide;
  • FIG. 14 is a cross sectional view of a p-type MOS field effect transistor (PMOSFET) in a step of a manufacturing method according to a second embodiment of the present invention;
  • FIG. 15 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 14 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 16 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 15 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 17 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 16 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 18 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 17 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 19 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 18 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 20 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 19 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 21 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 20 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 22 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 21 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 23 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 22 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 24 is a cross sectional view of the p-type MOS field effect transistor in a step following the step of FIG. 23 of the manufacturing method according to the second embodiment of the present invention;
  • FIG. 25 is a cross sectional view of a MOSFET and is used to explain a SiGe gate electrode and a sidewall oxide film; and
  • FIG. 26 is a cross-sectional view of a MOSFET and is used to explain a SiGe channel and a gate oxide film.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the present invention will be described hereinbelow with reference to the accompanying drawings. (First Embodiment)
  • First, a first embodiment of the invention will be described with reference to FIGS. 1 to 13.
  • In the first embodiment, a conductive film including a first semiconductor and a second semi-conductor is formed over an insulating film formed over a semiconductor substrate. The conductive film then undergoes a heat treatment in an atmosphere that permits the first semiconductor to be oxidized but does not permit the second semiconductor to be oxidized. Consequently, a finely composed oxide film is formed of substantially only the first semiconductor and does not include an oxide of the second semiconductor.
  • FIGS. 1 to 12 are cross-sectional views of a complimentary metal oxide semiconductor field effect transistor (CMOSFET) in individual steps of a manufacturing method according to a first embodiment of the present invention. FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide. In this diagram, the vertical axis represents a partial pressure ratio (PH2O/PH2) of H2O to H2, and the horizontal axis represents a heat treatment temperature (absolute temperature) (° K). The diagram depicts a characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and a characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2.
  • A desired resist pattern (not shown) is formed by photolithography in a desired region on a silicon semiconductor substrate 101. Then, using the pattern as a mask, B, Ga, or In is implanted by ion implantation into the semiconductor substrate 101. After the resist pattern is removed, a resist pattern (not shown) is similarly formed by photolithography in a desired region on the silicon semiconductor substrate 101, and then using the pattern as a mask, As, P, or Sb is implanted by ion implantation into the silicon semiconductor substrate 101. Subsequently, thermal diffusion is performed to form a P-type dopant impurity diffused region (P-well) 102 and an N-type impurity dopant diffused region (N-well) 103 both having a depth of about 1 μm from the surface of the substrate (FIG. 1).
  • Subsequently, using a well-known technique, a trench is formed in a predetermined region (the boundary region between the P-type dopant impurity diffused region 102 and the N-type dopant impurity diffused region 103) on the surface of the semi-conductor substrate 101. Then, a silicon oxide film having a thickness of about 400 nm is embedded by a technique such as CVD (chemical vapor deposition) in that region, and the region is formed to serve as a device isolation region 104 having an STI (shallow trench isolation) structure (FIG. 2).
  • Next, a heat treatment is performed, and a silicon oxide film 105 having a thickness of about 10 nm is formed on the overall surface of the substrate. Thereafter, ion implantation is performed into the substrate via the protective oxide film (silicon oxide film) 105 to define a threshold value of the transistor (FIG. 3).
  • Subsequently, the silicon oxide film 105 is removed. Then, for use as a gate insulating film, an insulating film 106 of several nanometers (nm) is formed overall on the substrate surface (FIG. 4). The insulating film 106 is not limited to the silicon oxide (SiO2) film, but may be any one of other high-k films, i.e., other high dielectric constant films, such as, an oxyniteride film, a tantalum oxide (TaOx) film, a zirconia oxide (ZrOx) film, a hafnium oxide film (HfOx), and films of silicates these elements. These insulating films are formed by selective oxidation.
  • Then, CVD or the like is used to deposit a SiGe film 107 over the gate insulating film 106 to a thickness of about 150 nm. Subsequently, the surface of the P-type impurity dopant diffused region 102 and the surface of the N-type impurity dopant diffused region 103 are doped with, for example, As and B, respectively (FIG. 5). SiGe is not a compound, but is an alloy, and it is restrictly represented as “Si1-xGex”. Generally, a Ge fraction of SiGe is about 2 to 50 atomic %.
  • Subsequently, a photoresist 108 is coated onto the SiGe film 107, and the photoresist 108 is then patterned. Then, using the patterned photoresist 108 as a mask, the SiGe film 107 is etched, and thus gate electrodes 107 each formed of the SiGe film 107 pattern are formed (FIG. 6).
  • Subsequently, the photoresist 108 is removed. Thereafter, selective oxidation using H2O to H2 is performed to form a sidewall oxide film 109 having a thickness of about 2 nm on the sidewalls of the SiGe film 107. The oxide film 109 is thus formed of SiO2 not to contain GeO2. In order to achieve the selective oxidation of the sidewalls of the gate electrode 107 to form the sidewall oxide film 109, the processing uses an atmosphere containing a reductant and an oxidant. For example, the processing uses an atmosphere containing H2 and H2O or an atmosphere containing CO and CO2. The partial pressure ratio of the oxidant and the reductant is set to a range in which Si is oxidized and Ge is reduced (PH2O/PH2 or PCO2/PCO), and the selective oxidation is performed at a partial pressure ratio in that range. The range of partial pressure ratios can be obtained from the results of calculations of standard free-energies of formation of SiO2 and GeO2.
  • FIG. 13 is a characteristic diagram showing the relationship between the partial pressure ratio (equilibrium vapor-hydrogen partial pressure ratio) and the temperature. In this diagram, the vertical axis represents the partial pressure ratio (PH2O/PH2) of H2O to H2, and the horizontal axis represents the heat treatment temperature (absolute temperature) (° K) When performing the selective oxidation for the sidewalls of the gate electrode 107 to form the sidewall oxide film 109, a partial pressure ratio (PH2O/PH2) and a temperature (° K) are selected. Specifically, as shown in FIG. 13, the ratio and the temperature are selected from the range between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. This enables the formation of the sidewall oxide film 109 made of SiO2 and not containing GeO2. In more detail, suppose that the selective oxidation is to be performed for the sidewalls of the gate electrode 107 to form the sidewall oxide film 109. In this case, the partial pressure ratio (PH2O/PH2) is selected from the range of 10−1 to 10−21 between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. Concurrently, the temperature (° K) is selected from the range of from 0° K to 2,500° K between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. Thereby, the sidewall oxide film 109 not containing GeO2 and formed of SiO2 can be formed (FIG. 7).
  • As described above, after the sidewall oxide film 109 is formed, the surface of the semiconductor substrate 101 including the gate electrode 107 is coated overall with a photoresist 110, and the photoresist 110 is patterned. Using the patterned photoresist 110 to mask the N-type impurity dopant diffused region 103, the surface of the semiconductor substrate 101 is ion-implanted overall by using As at an energy of about 1 keV and a dose of about 1e15 cm−2. Thereby, a shallow N-type dopant impurity diffused region 111 to be used as an LDD (lightly doped drain) region is formed in a surface region of the P-type dopant impurity diffused region 102 (P-well) (FIG. 8).
  • Similarly, after the photoresist 110 is removed, a patterned photoresist 110′ is formed. Then, using the photoresist 110′ to mask the P-type impurity dopant diffused region 102, the surface of the semiconductor substrate 101 is ion-implanted overall by using BF2 at an energy of about 1.5 keV, and a dose of about 1e15 cm−2. Thereby, a shallow P-type dopant impurity diffused region 112 to be used as an LDD region is formed in a surface region of the N-type impurity dopant diffused region (N-well) 103 (FIG. 9).
  • After removal of the photoresist 110′, a silicon oxide (SiO2) film 113 having a thickness of about 20 nm is deposited with good coverage to the overall surface of the semiconductor substrate 101, which is inclusive of the gate electrode 107, by using CVD (chemical vapor deposition) using TEOS (tetraethoxysilane). Subsequently, a silicon nitride (SiN) film 114 having a thickness of about 20 nm is deposited by CVD with good coverage over the silicon oxide (SiO2) film 113 (FIG. 10).
  • Subsequently, the deposited silicon oxide film 113 and silicon nitride film 114 are anisotropically etched by a process such as RIE (reactive ion etching). In this case, the etching is performed so that the multilayer film of the silicon oxide film 113 and the silicon nitride film 114 remains on the side wall of the gate electrodes. This consequently enables obtaining a SiGe gate electrode coated with the oxide film (SiO2 film) 109, the silicon oxide film 113 and the silicon nitride film 114 (FIG. 11).
  • Subsequently, using a photoresist (not shown) to mask the N-type dopant impurity diffused region 103, the P-type dopant impurity diffused region 102 is ion-implanted using P (phosphorus) at an energy of about 10 keV and a dose of about 3e15 cm−2. Similarly, using a photoresist (not shown) to mask the P-type dopant impurity diffused region 102, the N-type dopant impurity diffused region 103 is ion-implanted using B at an energy of about 5 keV and a dose of about 3e15 cm−2. In these ion implantations, the ions are implanted not only into the dopant impurity diffused regions but also into the gate electrodes. Subsequently, activation annealing is performed at about 1,050° C. for about 10 seconds in an N2 atmosphere. Thereby, an N-type high concentration dopant impurity diffused region 115 is formed below the shallow N-type dopant impurity diffused region 111, and a P-type high concentration dopant impurity diffused region 116 is formed below the shallow P-type dopant impurity diffused region 112. The shallow N-type dopant impurity diffused region 111 and the N-type high concentration dopant impurity diffused region 115 are used to form source/drain regions of the transistor that is to be formed in the P-type dopant impurity diffused region (P-well) 102. Similarly, the shallow P-type dopant impurity diffused region 112 and the P-type high concentration dopant impurity diffused region 116 are used to form source/drain regions of the transistor that is to be formed in the N-type dopant impurity diffused region (N-well) 103 (FIG. 12).
  • In the case of the sidewall oxide film formed by the conventional sidewalls oxidation technique (non-selective oxidation), the out-diffusion of the dopant from the SiGe gate electrodes cannot be sufficiently suppressed in the activation annealing. This makes it difficult to implement a desired carrier concentration with the SiGe gate electrode. However, according to the embodiment, since the sidewall oxide film 109 is formed by the selective oxidation that forms SiO2 but does not form GeO2, the sidewall oxide film 109 containing no GeO2 and made of SiO2 is formed. As such, the sidewall oxide film 109 is formed to have finely composed film property. This improves out-diffusion suppression effects for the dopant from the SiGe gate electrode by the sidewall oxide film 109. Accordingly, carriers can be maintained at high-concentration with the gate electrode, and consequently, depletion of the gate can be prevented.
  • In subsequent steps, contacts, wirings, and the like components are formed using well-known processes to complete the semiconductor device. In these steps, salicides of, for example, Ni or Pt, and/or elevated source/drain regions and the like may be formed.
  • (Second Embodiment)
  • A second embodiment of the present invention will be described with reference to FIGS. 13 to 24.
  • As referred to in the first embodiment, FIG. 13 is a characteristic diagram showing temperature dependency of equilibrium vapor-hydrogen partial pressures of silicon (Si) oxide and germanium (Ge) oxide. In this diagram, the vertical axis represents a partial pressure ratio (PH2O/PH2) of H2O to H2, and the horizontal axis represents a heat treatment temperature (absolute temperature) (° K) The diagram depicts a characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and a characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2.
  • FIGS. 14 to 24 are cross-sectional views of a p-type MOS field effect transistor (PMOSFET) in individual steps of a manufacturing method according to the second embodiment of the invention.
  • First, a SiGe epitaxial layer 202 is epitaxially grown over the surface of a silicon semiconductor substrate 201. A desired resist pattern (not shown) is formed by photolithography in a desired region on the silicon semiconductor substrate 101, specifically, the SiGe epitaxial layer 202. Then, using the pattern as a mask, As, P, or Sb is implanted by ion implantation into the SiGe epitaxial layer 202. Subsequently, thermal diffusion is performed to form in the SiGe epitaxial layer 202 an N-type dopant impurity diffused region 203 having a depth of about 1 μm from the surface of the substrate (FIG. 14).
  • Subsequently, using a well-known technique, a trench is formed in a predetermined region of the surface of the semiconductor substrate thus formed. Then, a silicon oxide film having a thickness of about 400 nm is embedded by a technique such as CVD (chemical vapor deposition) in that region, and the region is formed to serve as a device isolation region 204 having an STI structure (FIG. 15).
  • Next, a heat treatment is performed, and a silicon oxide film 205 having a thickness of about 10 nm is formed on the overall surface of the N-type dopant impurity diffused region 203. Thereafter, ion implantation is performed into the N-type dopant impurity diffused region 203 via the protective oxide film (silicon oxide film) 205 to define a threshold value of the transistor (FIG. 16).
  • Subsequently, the silicon oxide film 205 is removed. Then, a gate insulating film 206 of several nanometers (nm) formed of SiO2 not to contain GeO2 is formed overall on the N-type dopant impurity diffused region 203, by selective-oxidizing the surface of the N-type dopant impurity diffused region 203 (FIG. 17).
  • In order to achieve the selective oxidation of the surface of the N-type dopant impurity diffused region 203 to form the gate insulating film 206, the processing uses an atmosphere containing a reductant and an oxidant. For example, the processing uses an atmosphere containing H2 and H2O or an atmosphere containing CO and CO2. The partial pressure ratio of the oxidant and the reductant is set to a range in which Si is oxidized and Ge is reduced (PH2O/PH2 or PCO2/PCO), and the selective oxidation is performed at a partial pressure ratio in that range. The range of partial pressure ratios can be obtained from the results of calculations of standard free-energies of formation of SiO2 and GeO2.
  • The characteristic diagram of FIG. 13 shows the relationship between the partial pressure ratio (equilibrium vapor-hydrogen partial pressure ratio) and the temperature. In this diagram, the vertical axis represents the partial pressure ratio (PH2O/PH2) of H2O to H2, and the horizontal axis represents the heat treatment temperature (absolute temperature) (° K). When performing the selective oxidation for the N-type dopant impurity diffused region 203 to form the gate insulating film 206, a partial pressure ratio (PH2O/PH2) and a temperature (° K) are selected. Specifically, as shown in FIG. 13, the ratio and the temperature are selected from the range between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. This enables the formation of the gate insulating film 206 made of SiO2 and not containing GeO2. In more detail, suppose that the selective oxidation is to be performed for the surface of the N-type dopant impurity diffused region 203 to form the gate insulating film 206. In this case, the partial pressure ratio (PH2O/PH2) is selected from the range of 10−1 to 10−21 between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. Concurrently, the temperature (° K) is selected from the range of from 0° K to 2,500° K between the characteristic curve A of equilibrium vapor-hydrogen partial pressure characteristics of GeO2 and the characteristic curve B of equilibrium vapor-hydrogen partial pressure characteristics of SiO2. Thereby, the gate insulating film 206 not containing GeO2 and formed of SiO2 can be formed. The gate insulating film 206 is not limited to the silicon oxide (SiO2) film, but may be any one of other high-k films i.e., other high dielectric constant films, such as, an oxyniteride film, a tantalum oxide (TaOx) film, a zirconia oxide (ZrOx) film, a hafnium oxide film (HfOx), and films of silicates these elements. These insulating films are formed by selective oxidation.
  • As described above, after the gate insulating film 206 is formed through selective oxidation, a polycrystalline Si film 207 having a thickness of about 150 nm is deposited by a process such as CVD over the overall surface of the silicon semiconductor substrate, specifically, the overall surface of an N-type dopant impurity diffused region 203. Then, the polycrystalline Si film 207 is doped with B, BF2, or the like dopant element (FIG. 18).
  • Subsequently, a photoresist 208 is formed by photolithography in a desired region of the surface of the Si film 207, and the Si film 207 is etched using the photoresist 208 as a mask. Thereby, a poly-crystalline Si gate electrode 207 is formed. Subsequently, the photoresist 208 is removed using H2O2 or H2SO4. GeO2 is soluble in H2O2 and H2SO4. However, since the gate insulating film 206 is formed through the selective oxidation and thus does not contain GeO2, then even with H2O2 or H2SO4 being used, no instance occurs in which the gate insulating film 206 becomes solubilized. Consequently, the gate insulating film 206 is maintained in a finely composed state, and hence electrical characteristics are maintained stabilized (FIG. 19).
  • In comparison, however, as in the conventional case, suppose that the gate insulating film 206 is formed through ordinary thermal oxidation (non-selective oxidation). In this case, the gate insulating film 206 would contain GeO2. Since GeO2 is soluble in H2O and H2SO4, the gate insulating film 206 would be formed to be sparsely composed film not satisfying required electrical characteristics.
  • Subsequently, a gate film 209 having a thickness of about 2 nm is formed on the sidewalls of the gate electrode 207 (FIG. 20).
  • Next, the silicon semiconductor substrate 201 is ion-implanted by using BF2 at an energy of about 1.5 keV and a dose of about 1e15 cm−2. Thereby, a shallow P-type dopant impurity diffused region 210 to be used as an LDD (lightly doped drain) region is formed in the surface region of the N-type impurity dopant diffused region 203 (FIG. 21).
  • Thereafter, a silicon oxide (SiO2) film 211 having a thickness of about 20 nm is deposited with good coverage to the overall surface of the N-type impurity dopant diffused region 203 including the gate electrode 207, by using CVD (chemical vapor deposition) using TEOS (tetraethoxysilane). Subsequently, a silicon nitride (SiN) film 212 having a thickness of about 20 nm is deposited by CVD with good coverage over the silicon nitride (SiN) film 212 (FIG. 22).
  • Subsequently, the deposited silicon oxide film 211 and silicon nitride film 212 are anisotropically etched by a process such as RIE (reactive ion etching). In this case, the etching is performed so that the multilayer film of the silicon oxide film 211 and the silicon nitride film 212 remains on the side wall of the gate electrode 207. This consequently enables obtaining a Si gate electrode coated with the oxide film (SiO2 film) 209, the silicon oxide film 211 and the silicon nitride film 212 (FIG. 23).
  • Subsequently, the substrate thus formed is ion-implanted using B (boron) at an energy of about 5 keV and a dose of about 3e15 cm−2. In the ion implantation, the ions are implanted not only into the substrate but also into the gate electrode 207. Subsequently, activation annealing is performed at about 1,050° C. for about 10 seconds in an N2 atmosphere. Thereby, a P-type high concentration dopant impurity diffused region 213 is formed below the shallow P-type dopant impurity diffused region 210. The shallow P-type dopant impurity diffused region 211 and the P-type high concentration dopant impurity diffused region 213 are used to form source/drain regions of the MOS transistor that is to be formed in the N-type impurity dopant diffused region 203 (FIG. 24).
  • Also in these steps according to the present embodiment, since the gate insulating film 206 is formed, as described above, through selective oxidation, the gate insulating film 206 is maintained to have high heat treatment resistance, hence not permitting damage to be caused by heat treatments. Consequently, even in the case where the gate oxide film is required to be further reduced, the film is maintained in a finely composed state satisfying electrical characteristics required for gate breakdown voltage and the like.
  • In comparison, however, suppose that the gate insulating film 206 is formed through ordinary thermal oxidation (non-selective oxidation), as in the conventional case. In this case, the-gate insulating film 206 is formed to be an SiO2 insulating film containing GeO2, whereby the insulating film 206 is significantly damaged through activation annealing to be in a sparsely composed state. This disables obtaining the required electrical characteristics.
  • In subsequent steps, contacts, wirings, and the like components are formed using well-known processes to complete the semiconductor device. In these steps, salicides of, for example, Ni or Pt, and/or elevated source/drain regions and the like may be formed.
  • As described above, according to the first embodiment, the finely composed oxide film not containing the second semiconductor oxide (GeO2) film and made of the first semiconductor oxide (SiO2) film is formed over the conductive film, such as the SiGe film, formed of the first and second semiconductors. In addition, for example, SiGe is selectively oxidized to form the sidewall oxide film not containing GeO2 and made of SiO2, thereby enabling forming the sidewall oxide film that has high heat treatment resistance to processes such as chemical treatment and heat treatments performed after formation of the sidewall oxide film. Consequently, out-diffusion of dopants in the conductive films can be effectively suppressed.
  • Further, for example, SiGe is selectively oxidized to form the gate oxide film not containing GeO2 and made of SiO2, thereby enabling forming the gate oxide film that has high heat treatment resistance to processes such as chemical and heat treatments performed after formation of the gate oxide film.
  • According to the second embodiment, on the surface of the monocrystal layer made of the at-least two types of semiconductors, the gate insulating film is formed to be in the finely composed state through the selective oxidation in which the first semiconductor is oxidized and the second semiconductor is reduced in the atmosphere containing the reductant and the oxidant as the oxidation seeds for the monocrystal layer. Therefore, the gate insulating film is-formed to be the insulating film having high heat treatment resistance to processes such as chemical and heat treatments performed after the formation of the gate insulating film. This consequently enables obtaining desired electrical characteristics, such as high gate dielectric strength. Furthermore, diffusion of dopants from the gate electrode to the channel region can be effectively suppressed.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (5)

1. A semiconductor device comprising:
a semiconductor substrate;
source/drain regions formed in the semiconductor substrate;
a gate insulating film formed on a channel region between the source/drain regions;
a gate electrode formed on the gate insulating film; and
a sidewall insulating film formed on a sidewall surface of the gate electrode,
wherein the gate electrode is made of SiGe, the sidewall insulating film is an insulating film obtained by oxidizing the sidewall surface of the gate electrode, and the sidewall insulating film contains silicon oxide as a main component.
2. The semiconductor device according to claim 1, wherein a composition ratio of Ge/Si of the sidewall insulating film is lower than a composition ratio of Ge/Si of the gate electrode.
3. A semiconductor device comprising:
a semiconductor substrate in which a SiGe monocrystal layer is formed;
source/drain regions formed in the semiconductor substrate;
a gate insulating film formed on a channel region between the source/drain regions; and
a gate electrode formed on the gate insulating film,
wherein the channel region is formed of the SiGe monocrystal layer, the gate insulating film is an insulating film obtained by oxidizing a surface of the SiGe monocrystal layer, and the gate insulating film is made of silicon oxide as a main component.
4. The semiconductor device according to claim 3, wherein a composition ratio of Ge/Si of the gate insulating film is lower than a composition ratio of Ge/Si of the SiGe monocrystal layer.
5-20. (canceled)
US11/593,532 2002-11-14 2006-11-07 Semiconductor device and method of manufacturing the same Abandoned US20070052026A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/593,532 US20070052026A1 (en) 2002-11-14 2006-11-07 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002-330399 2002-11-14
JP2002330399A JP3874716B2 (en) 2002-11-14 2002-11-14 Manufacturing method of semiconductor device
US10/706,034 US7148130B2 (en) 2002-11-14 2003-11-13 Semiconductor device and method of manufacturing the same
US11/593,532 US20070052026A1 (en) 2002-11-14 2006-11-07 Semiconductor device and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/706,034 Division US7148130B2 (en) 2002-11-14 2003-11-13 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
US20070052026A1 true US20070052026A1 (en) 2007-03-08

Family

ID=32652553

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/706,034 Expired - Fee Related US7148130B2 (en) 2002-11-14 2003-11-13 Semiconductor device and method of manufacturing the same
US11/593,532 Abandoned US20070052026A1 (en) 2002-11-14 2006-11-07 Semiconductor device and method of manufacturing the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/706,034 Expired - Fee Related US7148130B2 (en) 2002-11-14 2003-11-13 Semiconductor device and method of manufacturing the same

Country Status (2)

Country Link
US (2) US7148130B2 (en)
JP (1) JP3874716B2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
JP4040602B2 (en) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 Semiconductor device
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
JP4945072B2 (en) * 2004-11-09 2012-06-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7790561B2 (en) * 2005-07-01 2010-09-07 Texas Instruments Incorporated Gate sidewall spacer and method of manufacture therefor
US20080160707A1 (en) * 2006-12-27 2008-07-03 Jin Hyo Jung Method for fabricating sesmiconductor device
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
DE102009010883B4 (en) * 2009-02-27 2011-05-26 Amd Fab 36 Limited Liability Company & Co. Kg Adjusting a non-silicon content in a semiconductor alloy during FET transistor fabrication by an intermediate oxidation process
US8883598B2 (en) * 2012-03-05 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US5516724A (en) * 1994-11-30 1996-05-14 Cornell Research Foundation, Inc. Oxidizing methods for making low resistance source/drain germanium contacts
US6130144A (en) * 1997-01-02 2000-10-10 Texas Instruments Incorporated Method for making very shallow junctions in silicon devices
US6133150A (en) * 1995-08-25 2000-10-17 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6518197B2 (en) * 2001-04-03 2003-02-11 Mitsubishi Heavy Industries, Ltd. Method for manufacturing semiconductor device
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6624483B2 (en) * 2000-07-12 2003-09-23 Fujitsu Limited Semiconductor device having an insulated gate and a fabrication process thereof
US6762468B2 (en) * 2001-12-26 2004-07-13 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6876045B2 (en) * 2002-04-17 2005-04-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and process for manufacturing the same
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0945903A (en) 1995-07-28 1997-02-14 Matsushita Electric Ind Co Ltd Semiconductor element, its wire forming method, and gate electrode forming method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US5516724A (en) * 1994-11-30 1996-05-14 Cornell Research Foundation, Inc. Oxidizing methods for making low resistance source/drain germanium contacts
US6133150A (en) * 1995-08-25 2000-10-17 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6130144A (en) * 1997-01-02 2000-10-10 Texas Instruments Incorporated Method for making very shallow junctions in silicon devices
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6624483B2 (en) * 2000-07-12 2003-09-23 Fujitsu Limited Semiconductor device having an insulated gate and a fabrication process thereof
US6518197B2 (en) * 2001-04-03 2003-02-11 Mitsubishi Heavy Industries, Ltd. Method for manufacturing semiconductor device
US6762468B2 (en) * 2001-12-26 2004-07-13 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6876045B2 (en) * 2002-04-17 2005-04-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and process for manufacturing the same
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures

Also Published As

Publication number Publication date
JP3874716B2 (en) 2007-01-31
JP2004165470A (en) 2004-06-10
US7148130B2 (en) 2006-12-12
US20040124476A1 (en) 2004-07-01

Similar Documents

Publication Publication Date Title
US6707062B2 (en) Transistor in a semiconductor device with an elevated channel and a source drain
KR100487525B1 (en) Semiconductor device using silicon-germanium gate and method for fabricating the same
US8390080B2 (en) Transistor with dopant-bearing metal in source and drain
US7132322B1 (en) Method for forming a SiGe or SiGeC gate selectively in a complementary MIS/MOS FET device
US20070052026A1 (en) Semiconductor device and method of manufacturing the same
US7582934B2 (en) Isolation spacer for thin SOI devices
US8766369B2 (en) Semiconductor device and method of manufacturing semiconductor device
US8563382B2 (en) Semiconductor device
WO2009053327A1 (en) Method for fabricating super-steep retrograde well mosfet on soi or bulk silicon substrate, and device fabricated in accordance with the method
US20030082863A1 (en) CMOS of semiconductor device and method for manufacturing the same
US6743704B2 (en) Method of manufacturing a semiconductor device
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US6830980B2 (en) Semiconductor device fabrication methods for inhibiting carbon out-diffusion in wafers having carbon-containing regions
US8816448B2 (en) Semiconductor device and manufacturing method thereof
KR100861835B1 (en) Method for fabricating semiconductor for a dual gate cmos
JP4005055B2 (en) Semiconductor device and manufacturing method thereof
US20050236667A1 (en) Manufacture of semiconductor device with selective amorphousizing
US7994591B2 (en) Semiconductor device and method for manufacturing the same
US6905923B1 (en) Offset spacer process for forming N-type transistors
US20100032813A1 (en) Ic formed with densified chemical oxide layer
JP3166911B2 (en) Method for manufacturing semiconductor device
US20080054370A1 (en) Semiconductor device and method of fabricating the same
US20050247976A1 (en) Notched spacer for CMOS transistors
JP2004095766A (en) Method for manufacturing semiconductor device
JP2005294549A (en) Mos transistor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION