US20050009336A1 - Metal deposition apparatus used in fabrication of semiconductor devices and methods of forming metal layers using the same - Google Patents
Metal deposition apparatus used in fabrication of semiconductor devices and methods of forming metal layers using the same Download PDFInfo
- Publication number
- US20050009336A1 US20050009336A1 US10/812,768 US81276804A US2005009336A1 US 20050009336 A1 US20050009336 A1 US 20050009336A1 US 81276804 A US81276804 A US 81276804A US 2005009336 A1 US2005009336 A1 US 2005009336A1
- Authority
- US
- United States
- Prior art keywords
- chamber
- semiconductor substrate
- processing chamber
- metal layer
- transfer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 151
- 239000002184 metal Substances 0.000 title claims abstract description 151
- 239000004065 semiconductor Substances 0.000 title claims abstract description 101
- 238000001465 metallisation Methods 0.000 title claims abstract description 36
- 238000000034 method Methods 0.000 title claims description 86
- 238000004519 manufacturing process Methods 0.000 title description 3
- 239000000758 substrate Substances 0.000 claims abstract description 111
- 230000004888 barrier function Effects 0.000 claims description 92
- 239000007789 gas Substances 0.000 claims description 75
- 238000011010 flushing procedure Methods 0.000 claims description 50
- 238000005229 chemical vapour deposition Methods 0.000 claims description 23
- 238000005240 physical vapour deposition Methods 0.000 claims description 16
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 11
- 238000001816 cooling Methods 0.000 claims description 11
- 239000001301 oxygen Substances 0.000 claims description 11
- 229910052760 oxygen Inorganic materials 0.000 claims description 11
- 238000000231 atomic layer deposition Methods 0.000 claims description 10
- 125000005843 halogen group Chemical group 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 9
- 229910052723 transition metal Inorganic materials 0.000 claims description 5
- 150000003624 transition metals Chemical class 0.000 claims description 5
- 239000002243 precursor Substances 0.000 claims description 4
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 claims description 3
- 230000003287 optical effect Effects 0.000 claims description 2
- 229910003074 TiCl4 Inorganic materials 0.000 claims 1
- 239000002826 coolant Substances 0.000 claims 1
- 239000012159 carrier gas Substances 0.000 description 9
- 238000011065 in-situ storage Methods 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 229910001873 dinitrogen Inorganic materials 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 239000000498 cooling water Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 239000003921 oil Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- VJDVOZLYDLHLSM-UHFFFAOYSA-N diethylazanide;titanium(4+) Chemical compound [Ti+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VJDVOZLYDLHLSM-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 230000003449 preventive effect Effects 0.000 description 1
- 238000012421 spiking Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
Definitions
- the present invention relates to apparatuses used in the fabrication of semiconductor devices and, more particularly, to metal deposition apparatuses used in the fabrication of semiconductor devices and methods of forming metal layers.
- conductive material layers may be employed in semiconductor devices.
- the conductive material layers may be formed using known techniques, such as a physical vapor deposition (PVD) technique or a chemical vapor deposition (CVD) technique.
- PVD physical vapor deposition
- CVD chemical vapor deposition
- the conductive material layers may be patterned to form interconnection lines for connecting discrete devices with each other on a semiconductor substrate.
- the interconnection lines may be formed of metal layer(s) having low resistivity in order to enhance the transmission speed of the electrical signals.
- the interconnection lines may also include additional conductive material layers called “barrier metal layers,” which can reduce junction spiking phenomenon.
- the barrier metal layer can be formed in a gap region such as a contact hole or a via hole or in another area having a high aspect ratio.
- the barrier metal layer may be conformably formed in the gap region.
- the barrier metal layer can be formed after the formation of transistors or capacitors.
- the barrier metal layer may be formed at a lower temperature than the melting point of the aluminum to reduce degradation of the aluminum. It may also be advantageous to form the barrier metal layer at a low temperature with good step coverage.
- the barrier metal layer may be formed using the PVD technique, which may also be referred to as a sputtering technique.
- the PVD technique may exhibit poor step coverage.
- a barrier metal layer formed by the PVD technique may not adequately conform to a gap region having a high aspect ratio. Therefore, a chemical vapor deposition (CVD) technique has been proposed to form a conformal barrier metal layer.
- the CVD technique may require deposition temperatures that can be high compared to the temperatures used in the PVD technique.
- a metal organic chemical vapor deposition (MOCVD) technique can also be used in the formation of the barrier metal layer because the MOCVD technique may provide good step coverage at a low processing temperature.
- FIG. 1 is a flow chart illustrating operations according to a conventional MOCVD method of forming a metal interconnection layer.
- a semiconductor substrate can be loaded into a first chamber (step S 1 ).
- a barrier metal layer can be formed on the semiconductor substrate in the first chamber (step S 2 ).
- the barrier metal layer can be formed using a metal organic precursor.
- the barrier metal layer may contain carbon atoms.
- the barrier metal layer may have a plurality of pores therein.
- the semiconductor substrate having the barrier metal layer may be unloaded from the first chamber (step S 3 ). After the semiconductor substrate has been unloaded from the first chamber, it can be exposed to air containing oxygen when the wafer is stored, for example, in a wafer storage box until it is needed in the next process step (step S 4 ). The oxygen may penetrate into the porous barrier metal layer, which can result in an increase of the electrical resistance of the barrier metal layer.
- the semiconductor substrate in the wafer storage box can be loaded into a second chamber (step S 5 ).
- An upper metal layer may be formed on the barrier metal layer (step S 6 ).
- the substrate having the upper metal layer formed thereon can be unloaded from the second chamber (step S 7 ).
- the conventional MOCVD technique may lead to an increase in the electrical resistance of the barrier metal layer due to oxygen and/or carbon contamination.
- various additional preventive processes may be carried out prior to formation of the upper metal layer.
- a plasma treatment may be performed to remove the carbon, and/or a degassing process may be performed to remove the oxygen.
- the plasma treatment may not effectively remove carbon from the barrier metal layer if, for example, the barrier metal layer is conformably formed in a gap region that has a high aspect ratio.
- the degassing process may be performed at a high temperature in order to remove the oxygen in the barrier metal layer. Accordingly, degradation to other substrate layers can occur and/or resistivity of the metal layers may be increased, which can adversely effect the reliability of the barrier metal layer.
- Embodiments according to the present invention provide a metal deposition processing apparatus including a first processing chamber configured for holding a semiconductor substrate therein.
- a second processing chamber is configured for holding the semiconductor substrate therein and for forming an upper metal layer thereon.
- a transfer chamber is connected to the first processing chamber and the second processing chamber. The transfer chamber is configured to transfer the semiconductor substrate between the first processing chamber and the second processing chamber.
- the first processing chamber can be configured for forming a barrier metal layer on the semiconductor substrate, and the second processing chamber can be configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate.
- the first processing chamber can be configured for flushing a barrier metal layer on the semiconductor substrate, and the second processing chamber can be configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate.
- Methods of forming metal layers on a semiconductor substrate include forming a barrier metal layer on a semiconductor substrate in a first processing chamber.
- the semiconductor substrate is transferred from the first processing chamber to a transfer chamber.
- the semiconductor substrate is transferred from the transfer chamber to a second processing chamber.
- An upper metal layer is formed on the barrier metal layer in the second processing chamber.
- Methods of forming metal layers on a semiconductor substrate include forming a barrier metal layer on a semiconductor substrate using a metal organic chemical vapor deposition technique.
- the barrier metal layer is flushed in a first processing chamber.
- the semiconductor substrate is transferred from the first processing chamber to a transfer chamber.
- the semiconductor substrate is transferred from the transfer chamber to a second processing chamber.
- An upper metal layer is formed on the barrier metal layer in the second processing chamber.
- Embodiments of the present invention provide metal deposition apparatus that may be suitable for in-situ processing of a barrier metal deposition and an upper metal layer deposition process.
- the apparatus includes a first processing chamber for forming a barrier metal layer, a second processing chamber for forming an upper metal layer and a transfer chamber connecting the first processing chamber with the second processing chamber.
- the first processing chamber may be a MOCVD chamber.
- the first processing chamber can include at least one source gas line for supplying a metal organic source.
- the second processing chamber may be a CVD chamber, an atomic layer deposition (ALD) chamber or a PVD chamber.
- At least one load lock chamber may be additionally attached to the transfer chamber in order to isolate the transfer chamber as well as the first and second processing chambers from the external atmosphere.
- Some embodiments of the present invention provide a metal deposition apparatus that is suitable for an in-situ process of flushing a barrier metal layer and forming an upper metal layer.
- the apparatus includes a first processing chamber for a flushing process, a second processing chamber for forming an upper metal layer and a transfer chamber connecting the first processing chamber with the second processing chamber.
- the flushing process can be applied to a barrier metal layer formed using a MOCVD technique.
- the first processing chamber includes at least one flushing gas line in order to flush a barrier metal layer.
- the flushing gas line may include at least one gas selected from the group consisting of the gases containing a halogen group element and the gases containing a halogen group element and a transition metal.
- the flushing gas may include TiCl 4 gas.
- At least one load lock chamber may be attached to the transfer chamber in order to isolate spaces in the transfer chamber as well as the first and second processing chambers from the external atmosphere.
- Further embodiments of the present invention provide methods of forming a barrier metal layer and an upper metal layer using an in-situ process.
- the methods include forming a barrier metal layer on a semiconductor substrate loaded into a first processing chamber and forming an upper metal layer on the barrier metal layer inside a second processing chamber without vacuum break.
- a flushing process may be applied to the barrier metal layer prior to formation of the upper metal layer.
- the flushing process and the upper metal deposition process may be sequentially performed without vacuum break.
- the flushing process may be performed using at least one gas selected from the group consisting of the gases containing a halogen group element and the gases containing a halogen group element and a transition metal.
- the flushing gas can include TiCl 4 gas.
- FIG. 1 is a flowchart illustrating operations of a conventional metal deposition process
- FIG. 2 is a schematic drawing illustrating a metal deposition apparatus according to embodiments of the present invention.
- FIG. 3 is a flowchart illustrating methods of forming metal layers according to embodiments of the present invention.
- FIG. 4 is a schematic drawing illustrating a metal deposition apparatus according to other embodiments of the present invention.
- FIG. 5 is a flowchart illustrating methods of forming metal layers according to other embodiments of the present invention.
- a metal deposition apparatus 500 includes a transfer chamber 100 and a plurality of processing chambers 110 , 120 , 130 , and 140 attached to the transfer chamber 100 .
- An alignment chamber 150 and a cooling chamber 160 are also attached to the transfer chamber.
- a transfer robot 105 is provided in the transfer chamber 100 for transferring substrates from the transfer chamber to/from the processing chambers 110 , 120 , 130 and 140 , the alignment chamber 150 and/or the cooling chamber 160 .
- the transfer robot 105 can be an automated arm configured for holding and transporting a semiconductor substrate.
- the plurality of processing chambers may include a first processing chamber 110 and a second processing chamber 120 .
- the first processing chamber 110 can be configured for holding a semiconductor substrate therein to form a barrier metal layer on the substrate using a metal organic chemical vapor deposition (MOCVD) process.
- the second processing chamber 120 can be configured for holding the substrate therein and for forming an upper metal layer on the barrier metal layer.
- the substrate can be unloaded from the first processing chamber 110 and can be loaded into the second processing chamber 120 through the transfer chamber 100 , for example, using the transfer robot 105 . In this configuration, the substrate in the first processing chamber 110 can be moved into the second processing chamber 120 without a break in the vacuum.
- MOCVD metal organic chemical vapor deposition
- the second processing chamber 120 may be a chemical vapor deposition (CVD) chamber, an atomic layer deposition (ALD) chamber or a physical vapor deposition (PVD) chamber.
- CVD chemical vapor deposition
- ALD atomic layer deposition
- PVD physical vapor deposition
- an auxiliary unit 200 is attached to the first processing chamber 110 for use in the MOCVD process.
- the auxiliary unit 200 includes at least one source gas supply conduit 210 and an exhaust conduit 220 , which are connected to the first processing chamber 110 .
- the auxiliary unit 200 includes a first gas conduit 231 and a second gas conduit 233 , which are connected to the source gas supply conduit 210 .
- a source gas may be introduced into the first processing chamber 110 through the first gas conduit 231 and the source gas supply conduit 210
- a carrier gas may be introduced into the first processing chamber 110 through the second gas conduit 233 and the source gas supply conduit 210 .
- the source gas and the carrier gas can flow through the first and second gas conduits 231 and 233 , respectively, and may be mixed in a mixing unit 230 .
- the mixed gas may then flow through the source gas supply conduit 210 to the first processing chamber 110 .
- a supply valve 215 is installed in line with the source gas supply conduit 210 to control the amount of the mixed gas that flows into the first processing chamber 110 .
- a first valve 232 is installed in line with the first gas conduit 231 to control the amount of the source gas that flows through the first gas conduit 231 to the mixing unit 230
- a second valve 234 is installed in line with the second gas conduit 233 to control the amount of the carrier gas that flows through the second gas conduit 233 to the mixing unit 230 .
- valves 215 , 232 and 234 act as mass flow controllers (MFCs).
- MFCs mass flow controllers
- the valves 215 , 232 and 234 can be operated by air pressure or fluid pressure using a controller to control the air pressure or the fluid pressure.
- the controller may also control the mixing unit 230 .
- An exhaust valve 225 is installed in line with the exhaust conduit 220 .
- the controller may also control the exhaust valve 225 .
- the auxiliary unit 200 may further include another gas supply conduit that may introduce a hydrogen gas and/or a nitrogen gas into the first processing chamber 110 .
- the first processing chamber 110 may employ a shower head to improve the flow uniformity of the source gas as well as the deposition characteristic of the barrier metal layer. Also, the first processing chamber 110 may include a heater for increasing the temperature of the first processing chamber and of the semiconductor substrate loaded therein. In addition, the first processing chamber 110 may include electrodes such as a cathode and an anode as well as radio frequency (RF) generators connected to the electrodes. The first processing chamber 110 may include other processing components, including those known to those of skill in the art for performing MOCVD.
- the third processing chamber 130 and/or the fourth processing chamber 140 may be used as an additional processing chamber for forming the barrier metal layer and/or the upper metal layer.
- the third processing chamber 130 and/or fourth processing chamber 140 may be used as a pre-heating chamber.
- the pre-heating chamber can heat the semiconductor substrate to a temperature sufficient for the barrier metal deposition process and/or the upper metal deposition process in the first processing chamber 110 or the second processing chamber 120 .
- the alignment chamber 150 can include an optical sensor to align the semiconductor substrate.
- the cooling chamber 160 can include a circulation conduit for producing a flow path of cooling water and a pump for circulating the cooling water.
- At least one load lock chamber can be attached to the transfer chamber 100 .
- two load lock chambers e.g., an input load lock chamber 170 and a output load lock chamber 180
- load lock chambers 170 and 180 may correspond to an input load lock chamber 170 and an output load lock chamber 180 , respectively.
- a semiconductor substrate can be loaded into the transfer chamber 100 for processing in the metal deposition apparatus 500 through the input load lock chamber 170 . After the semiconductor substrate has been processed in the metal deposition apparatus 500 , the substrate can be held in the transfer chamber 100 and unloaded through the output load lock chamber 180 .
- the load lock chambers 170 and 180 are installed between the outside atmosphere and the transfer chamber 100 and can act as buffer chambers. That is, the interior space of the transfer chamber 100 can maintain a high vacuum level even when substrates are loaded and unloaded because of the presence of the load lock chambers 170 and 180 . Thus, it may be possible to efficiently lower the pressures in the processing chambers 110 , 120 , 130 , and 140 as well as the transfer chamber 100 during the barrier metal deposition process or the upper metal deposition process. In some embodiments, a single load lock chamber can be provided for loading and unloading a substrate.
- a vacuum pump (not shown) can be connected to the transfer chamber 100 to control the pressure in the transfer chamber 100 .
- Gate valves may be installed between the load lock chambers 170 , 180 and the transfer chamber 100 . Also, other gate valves may be installed between the transfer chamber 100 and the processing chambers 110 , 120 , 130 and 140 . Gate valves may also be installed between the transfer chamber 100 and the alignment chamber 150 and/or the cooling chamber 160 .
- FIG. 3 is flowchart illustrating methods of forming metal layers according to embodiments of the present invention. These methods may be performed using the metal deposition apparatus shown in FIG. 2 .
- a semiconductor substrate can be loaded into the metal deposition apparatus 500 (step S 10 of FIG. 3 ).
- the semiconductor substrate can be loaded into the transfer chamber 100 through the first load lock chamber 170 .
- the semiconductor substrate can be isolated from the outside atmosphere until desired processes are completed in the metal deposition apparatus 500 .
- the semiconductor substrate in the transfer chamber 100 can be loaded into the first processing chamber 110 (step S 11 of FIG. 3 ).
- the transfer robot 105 can place the semiconductor substrate in the transfer chamber 100 .
- a barrier metal layer can then be formed on the semiconductor substrate in the first processing chamber 110 (step S 12 of FIG. 3 ).
- the first processing chamber 110 can be isolated from the transfer chamber 100 , for example, by a gate valve.
- the barrier metal layer may be formed of at least one material layer selected from the group consisting of a titanium nitride (TiN) layer, a titanium silicon nitride (TiSiN) layer and a tantalum nitride (TaN) layer.
- the barrier metal layer can be formed through a metal organic chemical vapor deposition (MOCVD) technique using a metal organic precursor.
- the metal organic precursor may be TDEAT (tetra(diethylamino)titanium) or TDMAT (tetra(dimethylamino)titanium).
- a plasma treatment may be applied to the barrier metal layer.
- the semiconductor substrate having the barrier metal layer can then be moved from the first processing chamber 110 into the transfer chamber 100 (step S 13 of FIG. 3 ), for example, by the transfer robot 105 .
- the semiconductor substrate in the transfer chamber 100 can be moved from the transfer chamber 100 into the second processing chamber 120 (step S 14 of FIG. 3 ).
- An upper metal layer can be formed on the barrier metal layer inside the second processing chamber 120 (step S 15 of FIG. 3 ), and the second processing chamber 120 can be isolated from the transfer chamber 100 , for example, by a gate valve.
- the semiconductor substrate can be unloaded from the second processing chamber 120 and reloaded into the transfer chamber 100 (step S 16 of FIG. 3 ).
- the upper metal layer may be formed of at least one metal layer selected from the group consisting of a tungsten (W) layer, an aluminum (Al) layer and a copper (Cu) layer.
- the upper metal layer may be formed using a CVD technique or a PVD technique.
- the semiconductor substrate can be unloaded from the metal deposition apparatus 500 (step S 17 of FIG. 3 ). That is to say, after depositing the upper metal layer on the semiconductor substrate, the semiconductor substrate can be transmitted from the transfer chamber 100 into the second load lock chamber 180 . The semiconductor substrate in the second load lock chamber 180 can be moved into the outside atmosphere.
- the semiconductor substrate can be isolated from the outside atmosphere during various processing steps. That is, the barrier metal layer and the upper metal layer can be successively formed using an in situ process without a break in the vacuum.
- the barrier metal layer may have a porous structure due to a metal organic source containing carbon atoms
- the substrate is moved from one processing chamber to another through a transfer chamber in a vacuum.
- the vacuum provides a reduced oxygen atmosphere. Accordingly, the substrate may not be exposed to oxygen, therefore, reducing the problems associated with the prior art.
- FIG. 4 is a schematic view illustrating metal deposition apparatus according to other embodiments of the present invention.
- the metal deposition apparatus 510 according to the embodiments shown provides a flushing chamber 115 instead of the first processing chamber 110 shown in FIG. 2 .
- a flushing process performed inside the flushing chamber can reduce the impurities in a barrier metal layer that has been exposed to air containing oxygen atoms.
- FIG. 2 will not be described in detail.
- the metal deposition apparatus 510 includes a flushing chamber 115 that treats a barrier metal layer for defects.
- the flushing chamber 115 can be attached to the transfer chamber 100 .
- An auxiliary unit 300 is connected to the flushing chamber 115 for the flushing process.
- the auxiliary unit 300 can include at least one flushing gas supply conduit 310 and at least one exhaust conduit 320 .
- the flushing gas supply conduit 310 can be connected to a first gas conduit 331 and a second gas conduit 333 .
- a flushing gas can be introduced into the first gas conduit 331 , and a carrier gas can be introduced into the second gas conduit 333 .
- a supply valve 315 , a first valve 332 and a second valve 334 can be installed in the flushing gas supply conduit 310 , the first gas conduit 331 and the second gas conduit 333 .
- the supply valve 315 , the first valve 332 and the second valve 334 can be opened or closed, for example, by air pressure or oil pressure.
- the air pressure or oil pressure can be controlled by a controller.
- An exhaust valve 325 can be installed in line with the exhaust conduit 320 .
- the exhaust valve 325 may be also controlled by the controller.
- the flushing gas may be at least one selected from the group consisting of gases containing a halogen group element and gases containing a halogen group element and a transition metal.
- the flushing gas may contain a titanium chloride (TiCl 4 ) gas.
- the carrier gas may be at least one of an inert gas, a hydrogen gas and a nitrogen gas.
- the inert gas may be a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas.
- the flushing chamber 115 may employ a shower head in order to improve the flow uniformity of the flushing gas and the carrier gas as well as the efficiency of the flushing process. Also, the flushing chamber 115 may include a heater for heating the semiconductor substrate loaded into the flushing chamber 115 . The flushing chamber 115 may further include a radio frequency (RF) generator and electrodes connected to the RF generator. The flushing chamber may include other processing components, including those known to those of skill in the art.
- RF radio frequency
- FIG. 5 is a flowchart illustrating methods of forming metal layers according to embodiments of the present invention. These methods may be performed using the metal deposition apparatus shown in FIG. 4 . The same process steps as explained with reference to FIG. 3 will not be described in detail for ease and convenience of explanation.
- a semiconductor substrate can be loaded into a barrier metal deposition chamber (step S 20 of FIG. 5 ).
- a barrier metal layer can be formed on the semiconductor substrate inside the barrier metal deposition chamber (step S 21 of FIG. 5 ).
- the barrier metal layer can be formed in a similar manner as described with reference to FIG. 3 .
- the barrier metal layer can be formed in a metal deposition chamber in another apparatus prior to being loaded into the metal deposition apparatus 510 .
- the semiconductor substrate having the barrier metal layer may be unloaded from the barrier metal deposition chamber (step S 22 of FIG. 5 ). In this case, the barrier metal layer can be exposed into the air, which can contain an oxygen gas and/or a nitrogen gas.
- the semiconductor substrate having the barrier metal layer thereon can be loaded into the metal deposition apparatus 510 shown in FIG. 4 (step S 30 of FIG. 5 ).
- the semiconductor substrate can be loaded into the transfer chamber 100 through the first load lock chamber 170 .
- the semiconductor substrate in the transfer chamber 100 can then be loaded into the flushing chamber 115 (step S 31 of FIG. 5 ).
- a flushing process can be applied to the barrier metal layer inside the flushing chamber 115 (step S 32 of FIG. 5 ).
- the flushing process may be performed using at least one gas selected from the group consisting of gases containing a halogen group element and gases containing a halogen group element and a transition metal.
- the flushing gas may be injected with a carrier gas.
- the carrier gas may be at least one of an inert gas, a hydrogen gas and a nitrogen gas.
- the inert gas may be a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas.
- the flushing process can preformed using a titanium chloride (TiCl 4 ) gas as the flushing gas and an Ar gas as the carrier gas.
- TiCl 4 gas can be injected to a flow rate of about 1 to 100 sccm (standard cubic centimeter per minute) and the Ar gas can be injected to a flow rate of about 500 to 1000 sccm.
- the flushing process can be performed at a temperature of about 200 to 500 degrees Celsius and under a pressure of about 1 to 100 torr for about 1 second to 10 minutes.
- the semiconductor substrate can be transferred from the flushing chamber 115 into the transfer chamber 100 (step S 33 of FIG. 5 ), for example, using the transfer robot 105 .
- the semiconductor substrate can be loaded from the transfer chamber 100 into the second chamber 120 (step S 34 of FIG. 5 ).
- An upper metal layer may be formed on the flushed barrier metal layer inside the second chamber 120 (step S 35 of FIG. 5 ).
- the semiconductor substrate can be transferred from the second chamber 120 into the transfer chamber 100 (step S 36 of FIG. 5 ), for example, using the transfer robot 105 .
- the semiconductor substrate can then be unloaded from the transfer chamber 100 of the metal deposition apparatus 510 through the second load lock chamber 180 (step S 37 of FIG. 5 ).
- the flushing process and the upper metal deposition process can be successively performed using an in-situ process inside the metal deposition apparatus 510 .
- the upper metal layer can be formed on a raw barrier metal layer or a flushed barrier metal layer using an in-situ process without a break in vacuum.
- Embodiments of the present invention can reduce the problems that can be associated with the exposure of the barrier metal layers to air containing oxygen. As a result, the reliability of metal interconnects having a low contact resistance and an improved filling characteristic may be improved.
Abstract
A metal deposition processing apparatus includes a first processing chamber configured for holding a semiconductor substrate therein. A second processing chamber is configured for holding the semiconductor substrate therein and for forming an upper metal layer thereon. A transfer chamber is connected to the first processing chamber and the second processing chamber. The transfer chamber is configured to transfer the semiconductor substrate between the first processing chamber and the second processing chamber.
Description
- This application claims the benefit of Korean Patent Application No. 2003-45786, filed Jul. 7, 2003, the disclosure of which is hereby incorporated herein by reference in its entirety. This application is also related to co-assigned application Ser. No. 10/449,522, filed Jun. 2, 2003, which is a divisional application of co-assigned U.S. Pat. No. 6,586,340 filed Mar. 13, 2002.
- 1. Field of the Invention
- The present invention relates to apparatuses used in the fabrication of semiconductor devices and, more particularly, to metal deposition apparatuses used in the fabrication of semiconductor devices and methods of forming metal layers.
- 2. Description of the Related Art
- Various types of conductive material layers may be employed in semiconductor devices. The conductive material layers may be formed using known techniques, such as a physical vapor deposition (PVD) technique or a chemical vapor deposition (CVD) technique. The conductive material layers may be patterned to form interconnection lines for connecting discrete devices with each other on a semiconductor substrate. The interconnection lines may be formed of metal layer(s) having low resistivity in order to enhance the transmission speed of the electrical signals. However, the interconnection lines may also include additional conductive material layers called “barrier metal layers,” which can reduce junction spiking phenomenon.
- The barrier metal layer can be formed in a gap region such as a contact hole or a via hole or in another area having a high aspect ratio. The barrier metal layer may be conformably formed in the gap region. The barrier metal layer can be formed after the formation of transistors or capacitors. Thus, in order to reduce the degradation of the electrical characteristics of the transistors and capacitors, it may be advantageous to form the barrier metal layer at a relatively low temperature. For example, when the barrier metal layer is formed after formation of an aluminum layer, the barrier metal layer may be formed at a lower temperature than the melting point of the aluminum to reduce degradation of the aluminum. It may also be advantageous to form the barrier metal layer at a low temperature with good step coverage.
- The barrier metal layer may be formed using the PVD technique, which may also be referred to as a sputtering technique. However, the PVD technique may exhibit poor step coverage. Thus, a barrier metal layer formed by the PVD technique may not adequately conform to a gap region having a high aspect ratio. Therefore, a chemical vapor deposition (CVD) technique has been proposed to form a conformal barrier metal layer. However, the CVD technique may require deposition temperatures that can be high compared to the temperatures used in the PVD technique. A metal organic chemical vapor deposition (MOCVD) technique can also be used in the formation of the barrier metal layer because the MOCVD technique may provide good step coverage at a low processing temperature.
-
FIG. 1 is a flow chart illustrating operations according to a conventional MOCVD method of forming a metal interconnection layer. - Referring to
FIG. 1 , a semiconductor substrate can be loaded into a first chamber (step S1). A barrier metal layer can be formed on the semiconductor substrate in the first chamber (step S2). The barrier metal layer can be formed using a metal organic precursor. Thus, the barrier metal layer may contain carbon atoms. In this case, the barrier metal layer may have a plurality of pores therein. The semiconductor substrate having the barrier metal layer may be unloaded from the first chamber (step S3). After the semiconductor substrate has been unloaded from the first chamber, it can be exposed to air containing oxygen when the wafer is stored, for example, in a wafer storage box until it is needed in the next process step (step S4). The oxygen may penetrate into the porous barrier metal layer, which can result in an increase of the electrical resistance of the barrier metal layer. - The semiconductor substrate in the wafer storage box can be loaded into a second chamber (step S5). An upper metal layer may be formed on the barrier metal layer (step S6). The substrate having the upper metal layer formed thereon can be unloaded from the second chamber (step S7).
- As discussed above, the conventional MOCVD technique may lead to an increase in the electrical resistance of the barrier metal layer due to oxygen and/or carbon contamination. Thus, various additional preventive processes may be carried out prior to formation of the upper metal layer. For example, a plasma treatment may be performed to remove the carbon, and/or a degassing process may be performed to remove the oxygen. However, the plasma treatment may not effectively remove carbon from the barrier metal layer if, for example, the barrier metal layer is conformably formed in a gap region that has a high aspect ratio. Also, the degassing process may be performed at a high temperature in order to remove the oxygen in the barrier metal layer. Accordingly, degradation to other substrate layers can occur and/or resistivity of the metal layers may be increased, which can adversely effect the reliability of the barrier metal layer.
- Embodiments according to the present invention provide a metal deposition processing apparatus including a first processing chamber configured for holding a semiconductor substrate therein. A second processing chamber is configured for holding the semiconductor substrate therein and for forming an upper metal layer thereon. A transfer chamber is connected to the first processing chamber and the second processing chamber. The transfer chamber is configured to transfer the semiconductor substrate between the first processing chamber and the second processing chamber.
- The first processing chamber can be configured for forming a barrier metal layer on the semiconductor substrate, and the second processing chamber can be configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate. In other embodiments, the first processing chamber can be configured for flushing a barrier metal layer on the semiconductor substrate, and the second processing chamber can be configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate.
- Methods of forming metal layers on a semiconductor substrate according to embodiments of the present invention include forming a barrier metal layer on a semiconductor substrate in a first processing chamber. The semiconductor substrate is transferred from the first processing chamber to a transfer chamber. The semiconductor substrate is transferred from the transfer chamber to a second processing chamber. An upper metal layer is formed on the barrier metal layer in the second processing chamber.
- Methods of forming metal layers on a semiconductor substrate according to further embodiments of the present invention include forming a barrier metal layer on a semiconductor substrate using a metal organic chemical vapor deposition technique. The barrier metal layer is flushed in a first processing chamber. The semiconductor substrate is transferred from the first processing chamber to a transfer chamber. The semiconductor substrate is transferred from the transfer chamber to a second processing chamber. An upper metal layer is formed on the barrier metal layer in the second processing chamber.
- Embodiments of the present invention provide metal deposition apparatus that may be suitable for in-situ processing of a barrier metal deposition and an upper metal layer deposition process. In some embodiments, the apparatus includes a first processing chamber for forming a barrier metal layer, a second processing chamber for forming an upper metal layer and a transfer chamber connecting the first processing chamber with the second processing chamber.
- In some embodiments, the first processing chamber may be a MOCVD chamber. The first processing chamber can include at least one source gas line for supplying a metal organic source. The second processing chamber may be a CVD chamber, an atomic layer deposition (ALD) chamber or a PVD chamber.
- In further embodiments, at least one load lock chamber may be additionally attached to the transfer chamber in order to isolate the transfer chamber as well as the first and second processing chambers from the external atmosphere.
- Some embodiments of the present invention provide a metal deposition apparatus that is suitable for an in-situ process of flushing a barrier metal layer and forming an upper metal layer. According to embodiments of the invention, the apparatus includes a first processing chamber for a flushing process, a second processing chamber for forming an upper metal layer and a transfer chamber connecting the first processing chamber with the second processing chamber. The flushing process can be applied to a barrier metal layer formed using a MOCVD technique.
- In some embodiments, the first processing chamber includes at least one flushing gas line in order to flush a barrier metal layer. The flushing gas line may include at least one gas selected from the group consisting of the gases containing a halogen group element and the gases containing a halogen group element and a transition metal. The flushing gas may include TiCl4 gas.
- In yet further embodiments, at least one load lock chamber may be attached to the transfer chamber in order to isolate spaces in the transfer chamber as well as the first and second processing chambers from the external atmosphere.
- Further embodiments of the present invention provide methods of forming a barrier metal layer and an upper metal layer using an in-situ process. The methods include forming a barrier metal layer on a semiconductor substrate loaded into a first processing chamber and forming an upper metal layer on the barrier metal layer inside a second processing chamber without vacuum break.
- In some embodiments, a flushing process may be applied to the barrier metal layer prior to formation of the upper metal layer. The flushing process and the upper metal deposition process may be sequentially performed without vacuum break.
- In further embodiments, the flushing process may be performed using at least one gas selected from the group consisting of the gases containing a halogen group element and the gases containing a halogen group element and a transition metal. The flushing gas can include TiCl4 gas.
-
FIG. 1 is a flowchart illustrating operations of a conventional metal deposition process; -
FIG. 2 is a schematic drawing illustrating a metal deposition apparatus according to embodiments of the present invention; -
FIG. 3 is a flowchart illustrating methods of forming metal layers according to embodiments of the present invention; -
FIG. 4 is a schematic drawing illustrating a metal deposition apparatus according to other embodiments of the present invention; and -
FIG. 5 is a flowchart illustrating methods of forming metal layers according to other embodiments of the present invention. - The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which embodiments of the invention are shown. This invention may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout the specification.
- Referring to
FIG. 2 , ametal deposition apparatus 500 includes atransfer chamber 100 and a plurality ofprocessing chambers transfer chamber 100. Analignment chamber 150 and acooling chamber 160 are also attached to the transfer chamber. Atransfer robot 105 is provided in thetransfer chamber 100 for transferring substrates from the transfer chamber to/from theprocessing chambers alignment chamber 150 and/or thecooling chamber 160. For example, thetransfer robot 105 can be an automated arm configured for holding and transporting a semiconductor substrate. - In the embodiments shown, the plurality of processing chambers may include a
first processing chamber 110 and asecond processing chamber 120. Thefirst processing chamber 110 can be configured for holding a semiconductor substrate therein to form a barrier metal layer on the substrate using a metal organic chemical vapor deposition (MOCVD) process. Thesecond processing chamber 120 can be configured for holding the substrate therein and for forming an upper metal layer on the barrier metal layer. After the barrier metal layer is formed on the substrate in thefirst processing chamber 110, the substrate can be unloaded from thefirst processing chamber 110 and can be loaded into thesecond processing chamber 120 through thetransfer chamber 100, for example, using thetransfer robot 105. In this configuration, the substrate in thefirst processing chamber 110 can be moved into thesecond processing chamber 120 without a break in the vacuum. Therefore, the barrier metal layer and the upper metal layer can be formed without exposing the substrate to the atmosphere. Thesecond processing chamber 120 may be a chemical vapor deposition (CVD) chamber, an atomic layer deposition (ALD) chamber or a physical vapor deposition (PVD) chamber. - As illustrated in
FIG. 2 , anauxiliary unit 200 is attached to thefirst processing chamber 110 for use in the MOCVD process. Theauxiliary unit 200 includes at least one sourcegas supply conduit 210 and anexhaust conduit 220, which are connected to thefirst processing chamber 110. Also, theauxiliary unit 200 includes afirst gas conduit 231 and asecond gas conduit 233, which are connected to the sourcegas supply conduit 210. A source gas may be introduced into thefirst processing chamber 110 through thefirst gas conduit 231 and the sourcegas supply conduit 210, and a carrier gas may be introduced into thefirst processing chamber 110 through thesecond gas conduit 233 and the sourcegas supply conduit 210. - The source gas and the carrier gas can flow through the first and
second gas conduits mixing unit 230. The mixed gas may then flow through the sourcegas supply conduit 210 to thefirst processing chamber 110. Asupply valve 215 is installed in line with the sourcegas supply conduit 210 to control the amount of the mixed gas that flows into thefirst processing chamber 110. Afirst valve 232 is installed in line with thefirst gas conduit 231 to control the amount of the source gas that flows through thefirst gas conduit 231 to themixing unit 230, and asecond valve 234 is installed in line with thesecond gas conduit 233 to control the amount of the carrier gas that flows through thesecond gas conduit 233 to themixing unit 230. As a result, thevalves valves mixing unit 230. - An
exhaust valve 225 is installed in line with theexhaust conduit 220. The controller may also control theexhaust valve 225. Theauxiliary unit 200 may further include another gas supply conduit that may introduce a hydrogen gas and/or a nitrogen gas into thefirst processing chamber 110. - The
first processing chamber 110 may employ a shower head to improve the flow uniformity of the source gas as well as the deposition characteristic of the barrier metal layer. Also, thefirst processing chamber 110 may include a heater for increasing the temperature of the first processing chamber and of the semiconductor substrate loaded therein. In addition, thefirst processing chamber 110 may include electrodes such as a cathode and an anode as well as radio frequency (RF) generators connected to the electrodes. Thefirst processing chamber 110 may include other processing components, including those known to those of skill in the art for performing MOCVD. - In some embodiments, the
third processing chamber 130 and/or thefourth processing chamber 140 may be used as an additional processing chamber for forming the barrier metal layer and/or the upper metal layer. Alternatively, thethird processing chamber 130 and/orfourth processing chamber 140 may be used as a pre-heating chamber. The pre-heating chamber can heat the semiconductor substrate to a temperature sufficient for the barrier metal deposition process and/or the upper metal deposition process in thefirst processing chamber 110 or thesecond processing chamber 120. Thealignment chamber 150 can include an optical sensor to align the semiconductor substrate. The coolingchamber 160 can include a circulation conduit for producing a flow path of cooling water and a pump for circulating the cooling water. - Moreover, at least one load lock chamber can be attached to the
transfer chamber 100. In some embodiments of the present invention, two load lock chambers (e.g., an inputload lock chamber 170 and a output load lock chamber 180) may be attached to thetransfer chamber 100 in order to improve the input/output (1/0) efficiency of the semiconductor substrates. For example,load lock chambers load lock chamber 170 and an outputload lock chamber 180, respectively. A semiconductor substrate can be loaded into thetransfer chamber 100 for processing in themetal deposition apparatus 500 through the inputload lock chamber 170. After the semiconductor substrate has been processed in themetal deposition apparatus 500, the substrate can be held in thetransfer chamber 100 and unloaded through the outputload lock chamber 180. Thus, theload lock chambers transfer chamber 100 and can act as buffer chambers. That is, the interior space of thetransfer chamber 100 can maintain a high vacuum level even when substrates are loaded and unloaded because of the presence of theload lock chambers processing chambers transfer chamber 100 during the barrier metal deposition process or the upper metal deposition process. In some embodiments, a single load lock chamber can be provided for loading and unloading a substrate. - A vacuum pump (not shown) can be connected to the
transfer chamber 100 to control the pressure in thetransfer chamber 100. Gate valves may be installed between theload lock chambers transfer chamber 100. Also, other gate valves may be installed between thetransfer chamber 100 and theprocessing chambers transfer chamber 100 and thealignment chamber 150 and/or thecooling chamber 160. -
FIG. 3 is flowchart illustrating methods of forming metal layers according to embodiments of the present invention. These methods may be performed using the metal deposition apparatus shown inFIG. 2 . - Referring to
FIGS. 2 and 3 , a semiconductor substrate can be loaded into the metal deposition apparatus 500 (step S10 ofFIG. 3 ). For example, the semiconductor substrate can be loaded into thetransfer chamber 100 through the firstload lock chamber 170. The semiconductor substrate can be isolated from the outside atmosphere until desired processes are completed in themetal deposition apparatus 500. - The semiconductor substrate in the
transfer chamber 100 can be loaded into the first processing chamber 110 (step S11 ofFIG. 3 ). For example, thetransfer robot 105 can place the semiconductor substrate in thetransfer chamber 100. A barrier metal layer can then be formed on the semiconductor substrate in the first processing chamber 110 (step S12 ofFIG. 3 ). Thefirst processing chamber 110 can be isolated from thetransfer chamber 100, for example, by a gate valve. The barrier metal layer may be formed of at least one material layer selected from the group consisting of a titanium nitride (TiN) layer, a titanium silicon nitride (TiSiN) layer and a tantalum nitride (TaN) layer. The barrier metal layer can be formed through a metal organic chemical vapor deposition (MOCVD) technique using a metal organic precursor. In this case, the metal organic precursor may be TDEAT (tetra(diethylamino)titanium) or TDMAT (tetra(dimethylamino)titanium). Further, a plasma treatment may be applied to the barrier metal layer. The semiconductor substrate having the barrier metal layer can then be moved from thefirst processing chamber 110 into the transfer chamber 100 (step S13 ofFIG. 3 ), for example, by thetransfer robot 105. - Subsequently, the semiconductor substrate in the
transfer chamber 100 can be moved from thetransfer chamber 100 into the second processing chamber 120 (step S14 ofFIG. 3 ). An upper metal layer can be formed on the barrier metal layer inside the second processing chamber 120 (step S15 ofFIG. 3 ), and thesecond processing chamber 120 can be isolated from thetransfer chamber 100, for example, by a gate valve. After the upper metal layer has been deposited, the semiconductor substrate can be unloaded from thesecond processing chamber 120 and reloaded into the transfer chamber 100 (step S16 ofFIG. 3 ). The upper metal layer may be formed of at least one metal layer selected from the group consisting of a tungsten (W) layer, an aluminum (Al) layer and a copper (Cu) layer. Also, the upper metal layer may be formed using a CVD technique or a PVD technique. The semiconductor substrate can be unloaded from the metal deposition apparatus 500 (step S17 ofFIG. 3 ). That is to say, after depositing the upper metal layer on the semiconductor substrate, the semiconductor substrate can be transmitted from thetransfer chamber 100 into the secondload lock chamber 180. The semiconductor substrate in the secondload lock chamber 180 can be moved into the outside atmosphere. - According to the embodiments described above, the semiconductor substrate can be isolated from the outside atmosphere during various processing steps. That is, the barrier metal layer and the upper metal layer can be successively formed using an in situ process without a break in the vacuum. Thus, even though the barrier metal layer may have a porous structure due to a metal organic source containing carbon atoms, the substrate is moved from one processing chamber to another through a transfer chamber in a vacuum. The vacuum provides a reduced oxygen atmosphere. Accordingly, the substrate may not be exposed to oxygen, therefore, reducing the problems associated with the prior art.
-
FIG. 4 is a schematic view illustrating metal deposition apparatus according to other embodiments of the present invention. Themetal deposition apparatus 510 according to the embodiments shown provides aflushing chamber 115 instead of thefirst processing chamber 110 shown inFIG. 2 . A flushing process performed inside the flushing chamber can reduce the impurities in a barrier metal layer that has been exposed to air containing oxygen atoms. For ease and convenience of explanation, the same elements as illustrated inFIG. 2 will not be described in detail. - Referring to
FIG. 4 , themetal deposition apparatus 510 includes aflushing chamber 115 that treats a barrier metal layer for defects. Theflushing chamber 115 can be attached to thetransfer chamber 100. - An
auxiliary unit 300 is connected to theflushing chamber 115 for the flushing process. Theauxiliary unit 300 can include at least one flushinggas supply conduit 310 and at least oneexhaust conduit 320. The flushinggas supply conduit 310 can be connected to afirst gas conduit 331 and asecond gas conduit 333. A flushing gas can be introduced into thefirst gas conduit 331, and a carrier gas can be introduced into thesecond gas conduit 333. Asupply valve 315, afirst valve 332 and asecond valve 334 can be installed in the flushinggas supply conduit 310, thefirst gas conduit 331 and thesecond gas conduit 333. Thesupply valve 315, thefirst valve 332 and thesecond valve 334 can be opened or closed, for example, by air pressure or oil pressure. The air pressure or oil pressure can be controlled by a controller. Anexhaust valve 325 can be installed in line with theexhaust conduit 320. Theexhaust valve 325 may be also controlled by the controller. The flushing gas may be at least one selected from the group consisting of gases containing a halogen group element and gases containing a halogen group element and a transition metal. The flushing gas may contain a titanium chloride (TiCl4) gas. The carrier gas may be at least one of an inert gas, a hydrogen gas and a nitrogen gas. The inert gas may be a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas. - The
flushing chamber 115 may employ a shower head in order to improve the flow uniformity of the flushing gas and the carrier gas as well as the efficiency of the flushing process. Also, theflushing chamber 115 may include a heater for heating the semiconductor substrate loaded into theflushing chamber 115. Theflushing chamber 115 may further include a radio frequency (RF) generator and electrodes connected to the RF generator. The flushing chamber may include other processing components, including those known to those of skill in the art. -
FIG. 5 is a flowchart illustrating methods of forming metal layers according to embodiments of the present invention. These methods may be performed using the metal deposition apparatus shown inFIG. 4 . The same process steps as explained with reference toFIG. 3 will not be described in detail for ease and convenience of explanation. - Referring to
FIGS. 4 and 5 , a semiconductor substrate can be loaded into a barrier metal deposition chamber (step S20 ofFIG. 5 ). A barrier metal layer can be formed on the semiconductor substrate inside the barrier metal deposition chamber (step S21 ofFIG. 5 ). The barrier metal layer can be formed in a similar manner as described with reference to FIG. 3. However, the barrier metal layer can be formed in a metal deposition chamber in another apparatus prior to being loaded into themetal deposition apparatus 510. The semiconductor substrate having the barrier metal layer may be unloaded from the barrier metal deposition chamber (step S22 ofFIG. 5 ). In this case, the barrier metal layer can be exposed into the air, which can contain an oxygen gas and/or a nitrogen gas. - Subsequently, the semiconductor substrate having the barrier metal layer thereon can be loaded into the
metal deposition apparatus 510 shown inFIG. 4 (step S30 ofFIG. 5 ). For example, the semiconductor substrate can be loaded into thetransfer chamber 100 through the firstload lock chamber 170. - The semiconductor substrate in the
transfer chamber 100 can then be loaded into the flushing chamber 115 (step S31 ofFIG. 5 ). A flushing process can be applied to the barrier metal layer inside the flushing chamber 115 (step S32 ofFIG. 5 ). The flushing process may be performed using at least one gas selected from the group consisting of gases containing a halogen group element and gases containing a halogen group element and a transition metal. The flushing gas may be injected with a carrier gas. The carrier gas may be at least one of an inert gas, a hydrogen gas and a nitrogen gas. The inert gas may be a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas. The flushing process can preformed using a titanium chloride (TiCl4) gas as the flushing gas and an Ar gas as the carrier gas. In this case, the TiCl4 gas can be injected to a flow rate of about 1 to 100 sccm (standard cubic centimeter per minute) and the Ar gas can be injected to a flow rate of about 500 to 1000 sccm. Also, the flushing process can be performed at a temperature of about 200 to 500 degrees Celsius and under a pressure of about 1 to 100 torr for about 1 second to 10 minutes. After the barrier metal layer has been flushed, the semiconductor substrate can be transferred from theflushing chamber 115 into the transfer chamber 100 (step S33 ofFIG. 5 ), for example, using thetransfer robot 105. - The semiconductor substrate can be loaded from the
transfer chamber 100 into the second chamber 120 (step S34 ofFIG. 5 ). An upper metal layer may be formed on the flushed barrier metal layer inside the second chamber 120 (step S35 ofFIG. 5 ). The semiconductor substrate can be transferred from thesecond chamber 120 into the transfer chamber 100 (step S36 ofFIG. 5 ), for example, using thetransfer robot 105. The semiconductor substrate can then be unloaded from thetransfer chamber 100 of themetal deposition apparatus 510 through the second load lock chamber 180 (step S37 ofFIG. 5 ). - As a result, the flushing process and the upper metal deposition process can be successively performed using an in-situ process inside the
metal deposition apparatus 510. - According to the embodiments of the present invention as described above, the upper metal layer can be formed on a raw barrier metal layer or a flushed barrier metal layer using an in-situ process without a break in vacuum. Embodiments of the present invention can reduce the problems that can be associated with the exposure of the barrier metal layers to air containing oxygen. As a result, the reliability of metal interconnects having a low contact resistance and an improved filling characteristic may be improved.
- In the drawings and specification, there have been disclosed embodiments of the invention and, although specific tenns are employed, they are used in a generic and descriptive sense only and not for purposes of limitation, the scope of the invention being set forth in the following claims.
Claims (30)
1. A metal deposition processing apparatus comprising:
a first processing chamber configured for holding a semiconductor substrate therein and for processing a barrier metal layer thereon;
a second processing chamber configured for holding the semiconductor substrate therein and for forming an upper metal layer thereon; and
a transfer chamber isolated from an oxygen atmosphere and connected to the first processing chamber and the second processing chamber, the transfer chamber configured to transfer the semiconductor substrate between the first processing chamber and the second processing chamber.
2. The apparatus of claim 1 , wherein the first processing chamber is configured for forming the barrier metal layer on the semiconductor substrate, and the second processing chamber is configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate.
3. The apparatus of claim 2 , wherein the first processing chamber is a metal organic chemical vapor deposition (MOCVD) chamber.
4. The apparatus of claim 3 , wherein the MOCVD chamber includes at least one source gas supply conduit that supplies a metal organic precursor.
5. The apparatus of claim 1 , wherein the first processing chamber is configured for flushing the barrier metal layer on the semiconductor substrate, and the second processing chamber is configured for forming the upper metal layer on at least a portion of the barrier metal layer on the semiconductor substrate.
6. The apparatus of claim 5 , wherein the first processing chamber is configured for performing a metal organic chemical vapor deposition technique to flush the barrier metal layer on a semiconductor substrate, the first processing chamber including at least one flushing gas supply conduit for flushing the barrier metal layer.
7. The apparatus of claim 6 , wherein the flushing gas supply conduit includes a flushing gas selected from the group consisting of gases containing a halogen group element and gases containing a halogen group element and a transition metal.
8. The apparatus of claim 6 , wherein the flushing gas supply conduit includes a flushing gas containing a TiCl4 gas.
9. The apparatus of claim 1 , further comprising a first gate valve between the first processing chamber and the transfer chamber for isolating the first processing chamber and the transfer chamber and a second gate valve between the second processing chamber and the transfer chamber for isolating the second processing chamber and the transfer chamber.
10. The apparatus of claim 1 , further comprising a transfer robot configured for transferring a semiconductor substrate from the transfer chamber to and from the first processing chamber and the second processing chamber.
11. The apparatus of claim 1 , wherein the second processing chamber is a chemical vapor deposition (CVD) chamber, an atomic layer deposition (ALD) chamber or a physical vapor deposition (PVD) chamber.
12. The apparatus of claim 1 , further comprising at least one load lock chamber connected to the transfer chamber that isolates the transfer chamber from an external atmosphere, the load lock chamber configured to transfer a semiconductor substrate to the transfer chamber.
13. The apparatus of claim 1 , further comprising a heating processing chamber connected to the transfer chamber for holding the semiconductor substrate therein and for heating the semiconductor substrate.
14. The apparatus of claim 1 , further comprising a cooling processing chamber connected to the transfer chamber for holding the semiconductor substrate therein, the cooling processing chamber including a circulation conduit for providing a flow path for a coolant for cooling the semiconductor substrate.
15. The apparatus of claim 1 , further comprising an alignment processing chamber connected to the transfer chamber, the alignment processing chamber including an optical sensor to align the semiconductor substrate.
16. A method of forming metal layers on a semiconductor substrate comprising:
forming a barrier metal layer on a semiconductor substrate in a first processing chamber;
transferring the semiconductor substrate from the first processing chamber to a transfer chamber that is isolated from an oxygen atmosphere;
transferring the semiconductor substrate from the transfer chamber to a second processing chamber; and
forming an upper metal layer on the barrier metal layer in the second processing chamber.
17. The method of claim 16 , further comprising isolating the first processing chamber and the second processing chamber from the transfer chamber using a gate valve.
18. The method of claim 16 , wherein forming a barrier metal layer includes using a metal organic chemical vapor deposition (MOCVD) method.
19. The method of claim 16 , wherein forming an upper metal layer includes using a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, or a physical vapor deposition (PVD) technique.
20. The method of claim 16 , further comprising heating the semiconductor substrate in a heating chamber connected to the transfer chamber prior to forming the barrier metal layer.
21. The method of claim 16 , further comprising cooling the semiconductor substrate in a cooling chamber connected to the transfer chamber.
22. The method of claim 16 , further comprising:
loading the semiconductor substrate into a load lock chamber connected to the transfer chamber prior to forming the barrier metal layer;
transferring the semiconductor substrate from the load lock chamber to the transfer chamber; and
transferring the semiconductor substrate from the transfer chamber to the first processing chamber.
23. The method of claim 16 , further comprising:
after forming the upper metal layer, transferring the semiconductor substrate from the second processing chamber to the transfer chamber;
transferring the semiconductor substrate from the transfer chamber to a load lock chamber; and
unloading the semiconductor substrate from the load lock chamber.
24. A method of forming metal layers on a semiconductor substrate comprising:
forming a barrier metal layer on a semiconductor substrate using a metal organic chemical vapor deposition technique;
flushing the barrier metal layer in a first processing chamber;
transferring the semiconductor substrate from the first processing chamber to a transfer chamber that is isolated from an oxygen atmosphere;
transferring the semiconductor substrate from the transfer chamber to a second processing chamber; and
forming an upper metal layer on the barrier metal layer in the second processing chamber.
25. The method of claim 24 , further comprising isolating the first processing chamber and the second processing chamber from the transfer chamber using a gate valve.
26. The method of claim 24 , wherein forming an upper metal layer includes using a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, or a physical vapor deposition (PVD) technique.
27. The method of claim 24 , further comprising heating the semiconductor substrate in a heating chamber connected to the transfer chamber.
28. The method of claim 24 , further comprising cooling the semiconductor substrate in a cooling chamber connected to the transfer chamber.
29. The method of claim 24 , further comprising:
loading the semiconductor substrate into a load lock chamber connected to the transfer chamber prior to flushing the barrier metal layer;
transferring the semiconductor substrate from the load lock chamber to the transfer chamber; and
transferring the semiconductor substrate from the transfer chamber to the first processing chamber.
30. The method of claim 24 , further comprising:
after forming the upper metal layer, transferring the semiconductor substrate from the second processing chamber to the transfer chamber;
transferring the semiconductor substrate from the transfer chamber to a load lock chamber; and
unloading the semiconductor substrate from the load lock chamber.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/675,158 US7547632B2 (en) | 2003-07-07 | 2007-02-15 | Methods of forming metal layers in the fabrication of semiconductor devices |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR2003-45786 | 2003-07-07 | ||
KR1020030045786A KR100560666B1 (en) | 2003-07-07 | 2003-07-07 | Metal layer deposition system for semiconductor device fabrication and method of operating the same |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/675,158 Division US7547632B2 (en) | 2003-07-07 | 2007-02-15 | Methods of forming metal layers in the fabrication of semiconductor devices |
Publications (1)
Publication Number | Publication Date |
---|---|
US20050009336A1 true US20050009336A1 (en) | 2005-01-13 |
Family
ID=33562916
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/812,768 Abandoned US20050009336A1 (en) | 2003-07-07 | 2004-03-30 | Metal deposition apparatus used in fabrication of semiconductor devices and methods of forming metal layers using the same |
US11/675,158 Expired - Lifetime US7547632B2 (en) | 2003-07-07 | 2007-02-15 | Methods of forming metal layers in the fabrication of semiconductor devices |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/675,158 Expired - Lifetime US7547632B2 (en) | 2003-07-07 | 2007-02-15 | Methods of forming metal layers in the fabrication of semiconductor devices |
Country Status (2)
Country | Link |
---|---|
US (2) | US20050009336A1 (en) |
KR (1) | KR100560666B1 (en) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070148897A1 (en) * | 2005-12-27 | 2007-06-28 | Hynix Semiconductor Inc. | Method for fabricating capacitor in semiconductor device |
WO2007112504A1 (en) * | 2006-04-03 | 2007-10-11 | Real Gelato Pty Ltd | Base composition for ice confection |
US20070264821A1 (en) * | 2006-05-10 | 2007-11-15 | Samsung Electronics Co., Ltd. | Methods of forming a semiconductor device |
US20080248185A1 (en) * | 2007-04-03 | 2008-10-09 | Maurizio Ferrari | Base Composition |
CN102851733A (en) * | 2012-09-04 | 2013-01-02 | 程凯 | Preparation system and preparation method for gallium nitride base material and device thereof |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5204721B2 (en) * | 2008-06-16 | 2013-06-05 | 株式会社ニューフレアテクノロジー | Film forming apparatus and film forming method |
KR101085455B1 (en) * | 2009-06-10 | 2011-11-21 | 주식회사 에스에프에이 | Chemical vapor deposition apparatus for manufacturing thin-film solar cells |
KR101038697B1 (en) * | 2009-07-16 | 2011-06-02 | 김형태 | Producing Device for Multi-Layer Deposition Capacitor |
US20120083060A1 (en) * | 2010-09-30 | 2012-04-05 | Jie Cui | Integration of cluster mocvd and hvpe reactors with other process chambers |
US9136180B2 (en) * | 2011-06-01 | 2015-09-15 | Asm Ip Holding B.V. | Process for depositing electrode with high effective work function |
JP6635888B2 (en) * | 2016-07-14 | 2020-01-29 | 東京エレクトロン株式会社 | Plasma processing system |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4957601A (en) * | 1984-09-04 | 1990-09-18 | Texas Instruments Incorporated | Method of forming an array of apertures in an aluminum foil |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5740034A (en) * | 1995-03-20 | 1998-04-14 | Tokyo Electronic Limited | Positioning apparatus and process system having the same |
US5976328A (en) * | 1996-01-26 | 1999-11-02 | Hitachi, Ltd. | Pattern forming method using charged particle beam process and charged particle beam processing system |
US5981399A (en) * | 1995-02-15 | 1999-11-09 | Hitachi, Ltd. | Method and apparatus for fabricating semiconductor devices |
US6001738A (en) * | 1997-06-23 | 1999-12-14 | United Microelectronics Corp. | Method of forming salicide |
US20010005056A1 (en) * | 1999-10-02 | 2001-06-28 | Uri Cohen | Multiple seed layers for metallic interconnects |
US6319766B1 (en) * | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6391769B1 (en) * | 1998-08-19 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby |
US20040009678A1 (en) * | 2002-02-28 | 2004-01-15 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5627105A (en) * | 1993-04-08 | 1997-05-06 | Varian Associates, Inc. | Plasma etch process and TiSix layers made using the process |
US5759360A (en) * | 1995-03-13 | 1998-06-02 | Applied Materials, Inc. | Wafer clean sputtering process |
US6077781A (en) * | 1995-11-21 | 2000-06-20 | Applied Materials, Inc. | Single step process for blanket-selective CVD aluminum deposition |
US6017818A (en) * | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
KR100363088B1 (en) * | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | Method of manufacturing barrier metal layer using atomic layer deposition method |
KR100421036B1 (en) * | 2001-03-13 | 2004-03-03 | 삼성전자주식회사 | Wafer processing apparatus and wafer processing method using the same |
US7005392B2 (en) * | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6800494B1 (en) * | 2002-05-17 | 2004-10-05 | Advanced Micro Devices, Inc. | Method and apparatus for controlling copper barrier/seed deposition processes |
US6660628B1 (en) * | 2003-03-17 | 2003-12-09 | Sharp Laboratories Of America, Inc. | Method of MOCVD Ti-based barrier metal thin films with tetrakis (methylethylamino) titanium with octane |
-
2003
- 2003-07-07 KR KR1020030045786A patent/KR100560666B1/en active IP Right Grant
-
2004
- 2004-03-30 US US10/812,768 patent/US20050009336A1/en not_active Abandoned
-
2007
- 2007-02-15 US US11/675,158 patent/US7547632B2/en not_active Expired - Lifetime
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4957601A (en) * | 1984-09-04 | 1990-09-18 | Texas Instruments Incorporated | Method of forming an array of apertures in an aluminum foil |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5981399A (en) * | 1995-02-15 | 1999-11-09 | Hitachi, Ltd. | Method and apparatus for fabricating semiconductor devices |
US5740034A (en) * | 1995-03-20 | 1998-04-14 | Tokyo Electronic Limited | Positioning apparatus and process system having the same |
US5976328A (en) * | 1996-01-26 | 1999-11-02 | Hitachi, Ltd. | Pattern forming method using charged particle beam process and charged particle beam processing system |
US6001738A (en) * | 1997-06-23 | 1999-12-14 | United Microelectronics Corp. | Method of forming salicide |
US6391769B1 (en) * | 1998-08-19 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby |
US20010005056A1 (en) * | 1999-10-02 | 2001-06-28 | Uri Cohen | Multiple seed layers for metallic interconnects |
US6319766B1 (en) * | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US20040009678A1 (en) * | 2002-02-28 | 2004-01-15 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070148897A1 (en) * | 2005-12-27 | 2007-06-28 | Hynix Semiconductor Inc. | Method for fabricating capacitor in semiconductor device |
US7361544B2 (en) * | 2005-12-27 | 2008-04-22 | Hynix Semiconductor Inc. | Method for fabricating capacitor in semiconductor device |
WO2007112504A1 (en) * | 2006-04-03 | 2007-10-11 | Real Gelato Pty Ltd | Base composition for ice confection |
US20070264821A1 (en) * | 2006-05-10 | 2007-11-15 | Samsung Electronics Co., Ltd. | Methods of forming a semiconductor device |
US20080248185A1 (en) * | 2007-04-03 | 2008-10-09 | Maurizio Ferrari | Base Composition |
CN102851733A (en) * | 2012-09-04 | 2013-01-02 | 程凯 | Preparation system and preparation method for gallium nitride base material and device thereof |
Also Published As
Publication number | Publication date |
---|---|
US7547632B2 (en) | 2009-06-16 |
US20070134932A1 (en) | 2007-06-14 |
KR100560666B1 (en) | 2006-03-16 |
KR20050005884A (en) | 2005-01-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7547632B2 (en) | Methods of forming metal layers in the fabrication of semiconductor devices | |
US6955983B2 (en) | Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer | |
US6436819B1 (en) | Nitrogen treatment of a metal nitride/metal stack | |
US7935384B2 (en) | Film forming method | |
KR101383384B1 (en) | Method for forming tungsten contacts and interconnects with small critical dimensions | |
US6656831B1 (en) | Plasma-enhanced chemical vapor deposition of a metal nitride layer | |
KR102053517B1 (en) | Method of manufacturing ruthenium wiring | |
US8207061B2 (en) | Semiconductor device manufacturing method using valve metal and nitride of valve metal | |
US6475912B1 (en) | Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield | |
KR102096143B1 (en) | Ruthenium wiring and manufacturing method thereof | |
KR101882991B1 (en) | Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method | |
KR101730229B1 (en) | Ruthenium film forming method, ruthenium film forming apparatus, and semiconductor device manufacturing method | |
KR102017944B1 (en) | Manufacturing method of nickel wiring | |
US7259092B2 (en) | Semiconductor device and method for fabricating the same | |
US7846839B2 (en) | Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium | |
US6887522B2 (en) | Method for forming a copper thin film | |
US20230290679A1 (en) | Tungsten molybdenum structures | |
US20050106857A1 (en) | Method of manufacturing semiconductor device | |
US11587873B2 (en) | Binary metal liner layers | |
TW202314800A (en) | Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: SAMSUNG ELECTRONICS CO. LTD., KOREA, REPUBLIC OF Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEO, JUNG-HUN;CHOI, GIL-HEYUN;LEE, JONG-MYEONG;AND OTHERS;REEL/FRAME:015171/0722 Effective date: 20040224 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |