US20020087940A1 - Method for designing large standard-cell based integrated circuits - Google Patents

Method for designing large standard-cell based integrated circuits Download PDF

Info

Publication number
US20020087940A1
US20020087940A1 US09/874,942 US87494201A US2002087940A1 US 20020087940 A1 US20020087940 A1 US 20020087940A1 US 87494201 A US87494201 A US 87494201A US 2002087940 A1 US2002087940 A1 US 2002087940A1
Authority
US
United States
Prior art keywords
blocks
prus
pru
atomic
hard
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/874,942
Other versions
US6567967B2 (en
Inventor
Yaacov Greidinger
David Reed
Ara Markosian
Stephen Sample
Jonathan Frankle
Hasmik Lazaryan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26924181&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20020087940(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Individual filed Critical Individual
Priority to US09/874,942 priority Critical patent/US6567967B2/en
Assigned to MONTEREY DESIGN SYSTEMS, INC. reassignment MONTEREY DESIGN SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAZARYAN, HASMIK, MARKOSIAN, ARA, REED, DAVID S., SAMPLE, STEPHEN P., FRANKLE, JONATHAN A., GREIDINGER, YAACOV I.
Publication of US20020087940A1 publication Critical patent/US20020087940A1/en
Application granted granted Critical
Publication of US6567967B2 publication Critical patent/US6567967B2/en
Assigned to SYNOPSYS, INC. reassignment SYNOPSYS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MONTEREY DESIGN SYSTEMS, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3315Design verification, e.g. functional simulation or model checking using static timing analysis [STA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global

Definitions

  • This invention generally relates to the design of integrated circuits and more particularly to methods for physically designing very large integrated circuits.
  • netlist representing the desired logical functionality for a chip (sometimes referred to as a behavioral or register-transfer-level description), and map it into an equivalent netlist composed of standard cells from a selected standard cell library. This process is commonly known as “synthesis”.
  • the standard-cell technique relies heavily on automated software tools to place and route the standard cells.
  • Today, these tools work well with designs that contain less than a few hundreds of thousands of standard cells.
  • the internal algorithms used for placement and routing are non-linear as the size of the design increases.
  • a design containing 500,000 standard cells would take more than twice as long to place and route as a design containing 250,000 standard cells.
  • a design having 500,000 standard cells would also be more than twice as large as a design having 250,000 standard cells, and will run slower.
  • the available computer memory can be a significant limitation on the maximum size of design that can be created. As a result of these effects, designs above a certain size are not practical to create using the standard-cell approach.
  • Integrated circuit fabrication technology moreover, has been developing at an exponential rate.
  • a commonly accepted heuristic known as Moore's law states that chip complexity will double every three years.
  • a method for designing large digital integrated circuits is described. This method consists of several steps, each implemented by a software tool. Most commonly, the steps include those listed below. A particular chip design may not require all of these steps or may have additional steps.
  • FIG. 1 is a flowchart showing an automated method for designing large integrated circuits according to an embodiment of the present inventions.
  • FIG. 2 is a block diagram illustrating a typical logical hierarchy of an integrated circuit design.
  • FIG. 3 is a block diagram showing how certain blocks in the netlist are designated as atomic in accordance with an embodiment of the present inventions.
  • FIG. 4 is a block diagram showing a hierarchy that results when standard cells are not imported.
  • FIG. 5 is a block diagram showing the hierarchy that results after high level blocks are removed or flattened to expose the atomic blocks.
  • FIG. 6 is a block diagram showing the hierarchy that results after a new level of PRU (Place and Route Unit) blocks are created to represent the physical partitioning of the design.
  • PRU Picture and Route Unit
  • FIG. 7 shows a physical layout corresponding to the hierarchy block diagram of FIG. 6.
  • FIG. 8 illustrates a fitting problem where multiple hard blocks must fit within a hierarchical block shape.
  • FIG. 9 shows a preferred method for arranging PRU blocks in rows or columns.
  • FIG. 10 illustrates a fitting problem when hard and rectangular soft blocks must fit within a hierarchical block.
  • FIG. 11 shows the hierarchical block of FIG. 11 using rectilinear soft blocks instead of rectangular soft blocks.
  • FIG. 12 shows the hierarchy diagram of FIG. 6 after hard blocks have been moved up to the PRU level.
  • FIG. 13 shows the physical layout of FIG. 7 after a typical power grid has been added.
  • FIG. 14 shows how the design is routed at the top level and how dummy port locations are assigned.
  • FIG. 15 shows the design of FIG. 14 after real port locations have been created and the dummy port locations removed.
  • FIG. 16 shows the design of FIG. 14 with additional nets that cross over PRU blocks.
  • FIG. 17 shows the design of FIG. 16 after the additional nets have been pushed inside the PRU blocks.
  • FIG. 18 shows how wrapper blocks are created during the process of pushing nets inside the PRU blocks.
  • FIG. 19 shows the netlist for a wrapper block including a feedthrough net and repeater.
  • FIG. 20 shows how port positions may be non-optimal following standard-cell place and route of the PRU blocks.
  • FIG. 21 shows how port positions may be later improved based on actual standard cell locations for the PRUs.
  • Importing the design 10 Read in the design data consisting of a netlist, a fabrication technology description, a standard-cell library and physical layouts for predesigned blocks. Additional information such as timing models, timing constraints, physical placement constraints and grid definitions may also be read in.
  • the imported data is stored in a database which is implemented in one or more files on a computer disk.
  • Atomic (“A”) blocks (sometimes called “units”) 11 —“A” blocks are the netlist blocks that will be physically partitioned to create place and route units (PRUs). “A” blocks may contain only standard cells or they may contain additional levels of hierarchy. For the purpose of partitioning the circuit design into PRUs, the “A” blocks are indivisible.
  • Partitioning the design into PRUs 13 The netlist from the previous step is partitioned into PRUs. Partitioning is the process of creating an additional level of hierarchy so that some of the “A” blocks and hard blocks are in one PRU and some are in another. It is desirable that this partitioning be done so as to minimize the total length of the interconnect between “A” blocks, hard blocks and standard cells and also to minimize the total area of the chip. It may also be desirable to partition so that timing paths required to operate at high speed remain within one PRU. A new netlist representing the modified hierarchy is also generated at this stage.
  • top level ports 14 which allow interconnections between PRUs and/or other circuit elements, are temporarily placed into the physical design.
  • Power and clock structures 16 must be created so that power and clocks can be distributed to all of the standard cells and hard blocks that compose the physical chip.
  • power and clock structures are created as grids or trees of metal interconnect.
  • Routing the design 17 A software tool known as a router is used to make the interconnections between PRUs and also between PRUs and the external pad cells.
  • Assigning port locations 18 Where an interconnect path crosses the edge of a PRU, a port is created.
  • a port is a small metal rectangle used to make a connection between a net at the top level of the design and a net within a PRU. For nets going between adjacent PRUs, the ports may touch, avoiding the need for any routing at the top level. Placement of the ports is critical to achieving a routable design.
  • Pushing routing into the PRUs and creating repeaters 19 Nets crossing over the PRUs are pushed inside. Pushing a net inside a PRU causes additional ports to be created on the edge of the PRU and both the external and internal netlists to be modified. At this step, repeaters may also be added within the PRU. Repeaters are buffers or inverters which are added on long nets to reduce signal delays and improve rise/fall times. Top level power and clock nets are also pushed into the PRUs.
  • Timing budget 20 may be allocated to the PRUs.
  • the timing budget is the available timing delay on individual signals which may be used for interconnect within the PRUs without affecting the performance of the overall chip.
  • Generating data for PRU place and route 21 Data files are generated which describe the shape of PRUs, the location of ports, the internal netlist, the timing slack and other information required for standard-cell place and route.
  • port locations may be moved to shorten nets, improve timing or to reduce routing congestion. If ports are moved, the previous step is repeated again. This loop continues until the chip is completely routed and meets the timing objectives. If the chip cannot be completely routed or timing objectives cannot be met, it may be necessary to go back to an earlier step in the flow. This circumstance is expected to be infrequent, however.
  • design information is imported. This involves reading a series of disk files, extracting the desired information and storing it in a database where it can be referenced later as needed.
  • the database may be saved as a disk file at any step in the design process and reloaded to continue. Importing design data and storing it in a database is a well understood process to those skilled in the art of engineering software development and will not be discussed further here.
  • This information typically includes:
  • Technology information Information about the process technology including line width and spacing for each metal layer, via rules, and electrical information such as the resistance of each layer and the capacitance between layers. This information is typically available in a LEF format technology file from the fabrication vendor.
  • Grids define the allowable locations for standard cells, interconnect and block corners. This information may also be extracted from the LEF technology file or may be entered manually.
  • Average Gate Size The average gate size is used to estimate block areas when a detailed netlist is not available. It is typically entered manually.
  • Pad and Hard Block Files Block size, shape, barriers and port locations for pre-designed pads and other hard blocks such as memories are imported.
  • the LEF format is typically used.
  • a hard block has predefined physical characteristics, i.e., shape, size, layout and timing characteristics.
  • a hard block is often referred to as being “hard”.
  • Standard Cell Libraries Size, shape and port locations for standard cells is also imported. A LEF format library file containing all of the standard cell information is typically available from the fabrication vendor.
  • Timing models specify the timing behavior for blocks. Timing models may be imported for hard blocks and standard cells. This information is typically available in TLF (Cadence) format or .lib (Synopsys) format files although other formats may be used or the information may be entered manually.
  • Timing constraints specify the external timing behavior of the integrated circuit. This information is typically taken from the same files used to drive the synthesis program (available from Synopsys or Cadence) that produced the initial gate level netlist for the chip. The information may also be entered manually.
  • Physical Constraints Physical constraints define the chip size and shape, location and order of pads, special rules for placement of specific blocks and so forth. Some of this information is typically available in the Cadence DEF format (available from Cadence Design Systems, Inc.) and some must be entered manually.
  • Netlist The netlist describes the way standard cells and blocks are interconnected. Netlists are typically available in Verilog or VHDL formats.
  • a typical design will also include input/output (“IO”) pads (not shown in FIG. 2).
  • a real design will contain many more blocks and standard cells than are shown in FIG. 2 and will likely be arranged with an organization different from that shown.
  • the overall design may use many hundreds of thousands or even millions of standard cells.
  • the netlist for the design is abstracted by selecting “A” blocks as shown in FIG. 3. Every branch in the design's hierarchy must have at least one “A” block. “A” blocks may be selected by listing them in a file. “A” blocks are generally selected to be one or more hierarchy levels above the bottom (often referred to as the “leaf level) of a design to avoid the need to process large numbers of standard cells and simple functional blocks.
  • blocks 102 a , 102 d , 102 e , 102 f and 102 g have been designated as “A” blocks.
  • the netlist may be further simplified by designating some of the “A” blocks as “stopped” blocks. This designation may be done by listing the stopped blocks in a file. Optimal results are generally obtained by designating all “A” blocks that do not contain internal hard blocks as “stopped”.
  • RAM Random Access Memory
  • the block is then referred to as a soft block.
  • the shape of a soft block is arbitrary but the size is determined by the number and size of the standard cells it contains.
  • FIG. 4 shows the resulting design as it would appear after “A” blocks and stopped blocks have been defined and the lower level blocks and standard cells beneath stopped “A” blocks have been removed.
  • An atomic block can comprise either a hard block (sometimes referred to as a atomic hard block), a soft blocks (sometimes referred to as atomic soft blocks), and hierarchical blocks (sometimes referred to as atomic hierarchical blocks).
  • Designs may also contain standard cells at various levels of the hierarchy. For example, in FIG. 2, standard cells 103 c appear in block 102 c . Standard cells 103 g also appear with hard block 104 i in block 102 g . Similarly, standard cells appear with hard block 104 j in block 102 d . In general, it is not desirable to have large numbers of standard cells mixed with other blocks because of the large amounts of memory and processing time they will consume during block placement and partitioning. For this reason, the standard cells are typically clustered or grouped together into new dummy blocks. A dummy block is a block that did not exist in the original netlist but was created during netlist import as a container for a group of standard cells.
  • the groups of standard cells 103 c , 103 d and 103 g in FIG. 2 are clustered into new dummy blocks 105 c , 105 d and 105 g respectively in FIG. 4.
  • Dummy block 105 c becomes an additional “A” block because it does not have any “A” block above it in the netlist hierarchy.
  • the design is flattened down to the “A” block level.
  • This process is shown in FIG. 5 for the illustrative design example. Levels of hierarchy above the “A” blocks are generally superfluous for the purpose of creating physical design partitions and are therefore eliminated.
  • the initial logical design hierarchy is created solely for the convenience of the person doing the functional design and logic simulation of an integrated circuit with little or no thought given to the way the circuit will be physically implemented. Rather than basing a physical layout on the initial logical design hierarchy, it is generally better to eliminate the logical hierarchy to produce a flat group of “A” blocks.
  • a level of hierarchy is created using partitioning software.
  • the operation of this step depends on block placement software that has been previously disclosed in co-pending application Ser. Nos. 09/227,491 and 09/227,023, the disclosures of which are incorporated herein by reference in their entirety.
  • the design 100 will have an additional level of hierarchy as is illustrated in FIG. 6.
  • Three new “place and route units”, or PRU blocks 106 a , 106 b and 106 c have been created by grouping sets of “A” blocks together.
  • partitioning means the grouping or clustering of “A” blocks.
  • Grouping or clustering of “A” blocks is done so as to minimize overall wirelength, chip area and/or the worst-case timing paths of the design. Since PRUs will eventually be fabricated on the same integrated circuit, it is desirable to minimize the number of critical timing paths that cross the boundaries of PRU blocks. Paths that stay entirely within a PRU block can be more easily optimized at step 22 of FIG. 1 by the standard-cell place and route tool.
  • these PRU blocks 106 a , 106 b and 106 c have a physical location and shape as is shown in FIG. 7.
  • the PRU blocks will eventually be sent independently to a standard-cell place and route tool for implementation as if they were separate chips. It is desirable that the PRU blocks abut or touch on their edges. This makes the final routing in step 24 of FIG. 1 simpler and also avoids wasting any chip area.
  • Step 13 “A” blocks are partitioned to form PRUs and the PRU shapes, sizes and locations are determined.
  • trial placement and partitioning solutions are created and evaluated automatically. It is essential that the PRU shapes created in step 13 are physically realizable, otherwise the internal placement step 15 will fail and step 13 will have to be redone.
  • a PRU shape may not be physically realizable if the PRU contains one or more hard blocks.
  • FIG. 8 illustrates this problem.
  • a PRU is proposed to be created which contains two hard blocks 130 and 131 plus some number of standard cells.
  • PRU shapes 140 , 141 , 142 and 143 are proposed for implementation.
  • Trial shapes 140 have an area larger than the sum of the areas of hard blocks 130 and 131 plus the areas of additional standard cells proposed to be part of the PRU block.
  • Trial shape 140 is not physically realizable because it cannot contain either hard block 130 or 131 within its boundary.
  • Trial shape 141 also is not physically realizable because, although it can contain either hard block 130 or hard block 131 , it cannot contain both of them together.
  • Trial shapes 142 and 143 are physically realizable because they can contain both hard blocks 130 and 131 plus the standard cells proposed to be part of the PRU block.
  • the process of computing a shape function uses a number-partitioning heuristic disclosed in “The differencing method of set partitioning”, Technical Report UCB/CSD 82/113 Computer Science Division (EECS), University of California, Berkeley. Each block is represented by its area; the method produces a partition with good area balance. Applying the method recursively to each side of the partition and downward to the leaf-block level yields a slicing tree in which each slice is well balanced.
  • the top-level shape function for the resulting slicing tree can then be computed, using an algorithm given in the prior-art paper entitled “Optimal Orientations of Cells in Slicing Floorplan Designs”, Stockmeyer, Information and Control, Volume 57, Numbers 2/3, May/June 1983, Academic Press.
  • Finding the set of physically realizable shapes for a PRU block based on the internal contents is known as deriving a shape function.
  • a legal shape function for a PRU block it is necessary to find all hard blocks, even if they are hidden at lower levels of the design hierarchy. For example, referring back to FIG. 3, when computing a shape function for the PRU that is to contain “A” block 102 g , the lower level hard block 104 i must be found and taken into account. Similarly, the lower level hard block 104 j must be found and taken into account when computing a shape function for the PRU block that is to contain “A” block 102 d .
  • step 13 a specified number of PRU partitions has been created, each of which has a shape and size sufficient to fit all of its contents.
  • the partitions preferably abut or touch on their edges without any empty (or white) space as is shown in FIG. 7. Within the partitions, all of the blocks are unplaced. In the presently preferred embodiments, the trial hard block locations computed during shape function evaluation are not retained.
  • partitions are created in equal height vertical or horizontal rows.
  • An example of a design partitioned in this way is shown in FIG. 9.
  • This exemplary design has eight partitions, organized into three horizontal rows.
  • the advantage of his particular embodiment, i.e., partitioning into equal height rows is that updates to the floorplan (the physical arrangement of the circuit elements such as standard cells, hard blocks, etc.) are easier to implement should partition size changes later due to modifications in the netlist.
  • the row that contains the modified partition can simply be extended and the chip size increased slightly without modifying the other partitions.
  • a quick top-level port placement is done.
  • Ports are, in effect, electrical contacts on PRUs that allow electrical communication between PRUs.
  • Port placement at step 14 does not need to be highly accurate since port positions will be adjusted later at step 18 . This information will be used at step 15 when PRU contents are placed.
  • the preferred algorithm for quick port placement is based on minimizing wire length. Ports connecting to various PRU blocks are preferrably placed on the edge of each block to minimize the wire length for each net without shorting to unrelated nets. If two blocks abut and have ports connecting to the same net, the ports will be placed adjacent to each other.
  • the quick port placement is further processed to produce a set of constraints that define on which edge of a PRU block a given port is placed and the preferred order of ports for each PRU edge. The exact location for ports is not useful and is not retained.
  • step 15 of FIG. 1 blocks within the PRU partitions are placed.
  • good hard block placement within each PRU is the primary goal of this step.
  • Hard block placement has a major impact on the success or failure of step 22 of FIG. 1 where standard cell place and route is done. Placement of the soft blocks and hierarchical blocks is less important since soft and hierarchical block placement will generally not be utilized in step 22 . (A hierarchical block has other blocks below it, as seen in FIG. 5).
  • a good hard block placement cannot be done without simultaneously placing soft blocks or hierarchical blocks.
  • the prior-art method for placing soft blocks treats them as rectangles. However, it is generally impossible to get a good placement using only rectangles if there are mixed soft and hard blocks. This problem is illustrated in FIG. 10.
  • Hierarchical block 150 contains two hard blocks, 151 and 152 along with three soft blocks, 153 , 154 and 155 . It is not possible to place or shape the three soft blocks to fit inside hierarchical block 150 without leaving empty space, forcing hierarchical block 150 to be larger than otherwise necessary. If hierarchical block 150 is larger than necessary, chip area will be wasted, increasing the cost of the design. This problem can be solved by allowing the soft blocks to assume rectilinear shapes as is shown in FIG. 11. Soft blocks 153 , 154 and 155 have become rectilinear and hierarchical block 150 has been reduced in size so there is no empty space. A presently preferred algorithm for shaping and placing blocks to eliminate empty space will now be disclosed in more detail.
  • the algorithm has three steps.
  • a slicing-tree-based placement of rectangles representing the different blocks is derived.
  • a placement for the hard blocks within the desired PRU area is found with minimum perturbation of the result from step 1 .
  • the hard block instances are treated as fixed or immovable and the soft block instances are re-placed, using more general (“rectilinear”) shapes to fit around the hard blocks.
  • the first step is conducted in a similar fashion as the top-level placement, using successive bipartitioning, to optimize net length, area, and timing.
  • B is the target bounding box for the block.
  • T is a binary slicing tree with leaf nodes, corresponding to all instances.
  • the area of B is A root(T) .
  • the total area is the sum of all instance areas.
  • a m is the total area of leaf instances in node m.
  • Each hard instance has a rectangular shape ⁇ w k , h k ⁇
  • Hard placement is successful if for every hard instance k, having a location ⁇ X k , Y k ⁇ within B, each hard instance bounding box B k ( ⁇ X k , Y k ⁇ , ⁇ X k +w k ,Y k +h k ⁇ ) lies totally within B and no two hard instance boxes overlap.
  • Hard block placement begins by removing all of the soft instances and considering only hard instances. First, hard instances alone are checked, following the slicing tree to see if they can fit within B. Soft instances are treated as having zero area. If the hard instances fit, then the soft instances are re-injected to fill B with minimal distortion of the original slicing proportions.
  • L ⁇ Lw m , Lh m ⁇ —lower-bound width and height capable of holding the hard content of m
  • G ⁇ Gw m , Gh m ⁇ —goal width and height for m based on area of child node contents
  • B ⁇ Bw m , Bh m ⁇ —box width and height to be computed for m
  • Power and clock structures are generated.
  • Power structures in particular, can take up a significant portion of the available routing resources of a chip, and therefore must be defined before routing other signals.
  • placement of clock structures can greatly impact the resulting integrated circuit's performance.
  • Power and clock structures may consist of grids, trees or rings of metal interconnect as is well known to those skilled in the art of integrated circuit design.
  • the most common power structure is a set of regular grids of interconnect on various layers.
  • a block placement grid controls where the corners of blocks may be placed so as to insure that all blocks retain the same relationship to the power grid when they are moved or reshaped.
  • FIG. 13 shows the design, 100 with a simple power grid for one power signal. Two metal layers are used, one in the vertical direction (dashed line) and one in the horizontal direction (solid line). In an actual design, there would be several overlapping power grids and they would be much more complex than is shown in FIG. 13. Power and clock structures may be designed in tools such as Silicon Ensemble from Cadence and imported using a DEF file.
  • the design is routed.
  • the goal of routing at this stage is to create the actual ports or physical connection points for signals entering or leaving the PRU blocks.
  • the ports created at step 14 were not saved.
  • a tool known as a global router is used at step 17 .
  • Global routers are well known to those skilled in the art of writing electronic design automation software.
  • a global router operates on a grid and provides less accurate routing than a detail router in which the exact coordinates of every net segment and via are computed.
  • a bin is defined for each routing layer. Each bin has a specific net capacity and direction.
  • the nets of the design are assigned to a set of bins that represent the approximate routing for the net. As bins approach their capacity, the global router will attempt to route the wire through other bins to minimize the overall routing congestion.
  • a global router is preferred for step 17 of FIG. 1, because the accuracy is sufficient for the purpose of generating port positions and global routers generally run much faster than detail routers.
  • a preferred embodiment of the process for creating port positions using a global router will now be disclosed in more detail.
  • dummy ports must be created on the PRU blocks. Ports are physical rectangles of metal on various layers that provide starting and ending points for routing. A three-step process is used where dummy ports are initially generated in the interior of the PRU blocks, the design is routed, the dummy ports are deleted, and actual ports are created. Since, initially, there is no actual standard-cell placement for the soft blocks within the PRUs, there is little information available on where the nets will actually begin or end. For all nets entering or leaving a PRU, if the net connects internally to a soft block, a dummy port will be created randomly within the area of the soft block. For example, referring to FIG.
  • net 200 connects to PRU blocks 106 a and 106 b .
  • net 200 attaches to the soft “A” block 102 f .
  • a dummy port is therefore created on top of PRU block 106 b for net 200 .
  • the dummy port is located randomly within the area that soft block 102 f is expected to occupy within PRU 106 b .
  • net 200 also connects to PRU block 106 a .
  • net 200 connects to soft “A” block 102 a and hard “A” block 104 j .
  • a dummy port 210 for net 200 is created on top of PRU block 106 a which is randomly located within the area that soft block 102 a is expected to occupy.
  • a second dummy port 220 for net 200 is created on top of PRU block 106 a for hard block 104 j .
  • Port locations for hard blocks are known exactly because the layout of hard blocks is predefined. Dummy port 220 on top of PRU 106 a , therefore, will be placed in an exact location determined by the placement of hard block 104 j and the location of the corresponding port on hard block 104 j connecting to net 200 .
  • nets are sorted within the global router bins and exact locations are determined where nets cross the edges of the PRU blocks. This step is necessary because a global router only determines net locations within a bin. The exact routing is not calculated. Properties are added to the PRU connectors specifying where the associated ports are to be placed.
  • the dummy ports are deleted and the global router is run again to generate real ports at the edges of the PRU blocks. Ports for nets connecting adjacent PRUs will be placed so that they touch each other at the PRU edge.
  • dummy ports 210 , 220 and 230 have been deleted, along with their associated routing and new ports 221 and 231 have been created at the edges of PRU blocks 106 a and 106 b .
  • Net 200 still exists at the top level of design 100 but it has zero length since it only serves to connect the two abutting ports 221 and 231 .
  • PRUs 106 a , 106 b and 106 c abut although this is generally preferred to simplify the top-level routing. It is also possible to have the PRUs spaced apart with routing connecting them.
  • step 18 of FIG. 1 there will still be nets crossing over PRUs. This occurs because some nets may need to be routed over other PRUs to avoid congestion or to connect two PRUs that are not adjacent to each other. Also, some nets connecting between PRUs and pads or other hard blocks may need to route over other PRUs.
  • net 300 connects pad 302 to PRU block 106 b and routes over the top of PRU block 106 a .
  • net 310 connects PRU block 106 a to PRU block 106 b but routes over the top of PRU block 106 c . This can happen if there is not sufficient room for ports along the common boundary between PRU blocks 106 a and 106 b .
  • nets 300 and 310 will be pushed inside PRU blocks 106 a and 106 c respectively so that there will be no nets routing over the top of PRU blocks 106 a , 106 b or 106 c . Pushing these nets inside the PRU blocks greatly simplifies the top-level routing and allows the standard-cell place and route tool to deal with them at the same time as nets internal to the PRUs. (Details internal to the PRU blocks have been removed from FIG. 16 for clarity).
  • Net 300 (from FIG. 16) has been split into three separate nets.
  • Net 300 a connects IO pad 302 to a new port 304 on PRU block 106 a .
  • Net 300 b connects port 304 to port 303 internal to PRU block 106 a .
  • Net 300 c is a zero length net connecting port 303 on PRU block 106 a to port 301 on PRU block 106 b .
  • net 310 (from FIG. 16) has been split into three separate nets.
  • Net 310 a is a zero-length net connecting port 312 on PRU block 106 a to port 313 on PRU block 106 c .
  • Net 310 b connects port 313 to port 314 internal to PRU block 106 c .
  • Net 310 c is a zero-length net connecting port 314 on PRU block 106 c to port 311 on PRU block 106 b .
  • This level of hierarchy is referred to herein as a wrapper.
  • the wrapper provides a place to put the additional feedthrough nets such as nets 300 b and 310 b (from FIG. 17) without modifying the original netlist description for PRU blocks 106 a and 106 c .
  • the new netlist hierarchy is shown in FIG. 18.
  • FIG. 19 shows a block diagram of wrapper block 107 a .
  • PRU block 106 a has been encapsulated within block 107 a .
  • Connectors on PRU block 106 a are attached through new nets 320 to a corresponding set of connectors on wrapper block 107 a.
  • repeaters may also be generated.
  • a repeater is an electrical amplifier which restores an electrical signal which has been degraded by noise or excessive loading. As is well known in the art of integrated circuit design, correct use of repeaters will reduce signal delay on long nets and correct slew rate problems.
  • one repeater is generally inserted for each output port on a feedthrough net such as net 300 b (from FIG. 17).
  • FIG. 19 shows how the former net 300 b (from FIG. 17) has been split into two nets, 300 b 1 and 300 b 2 with repeater 400 inserted between.
  • additional repeaters are generated based on the actual length of the routed nets inside the PRUs.
  • timing for the design 100 is analyzed.
  • a software tool known as a static timing analyzer is used.
  • Static timing analyzers are well known in the computer-aided engineering industry.
  • a tool such as Primetime, available from Synopsys, or Pearl, available from Cadence, is suitable for performing step 20 of FIG. 1.
  • Other static timing analysis tools may also be used.
  • To perform the timing analysis of step 20 two inputs are required. The first input is the timing models for all “A” blocks in the design. These models may be generated using the Pearl tool from Cadence or the Primetime tool from Synopsys. Given a netlist of standard cells for the “A” block plus a wireload model, these tools can produce a simplified timing model for the block.
  • a wireload model is a statistical estimate of the parasitic resistance and capacitance expected for each net based typically on the fanout of the net and the size of the block.
  • the timing model retains timing arcs connecting to I/O pins of the “A” block and timing arcs connecting to external timing constraints but does not otherwise retain any internal timing information for the “A” block.
  • Using this type of abstracted timing model for the “A” blocks allows timing for large designs to be analyzed much more quickly and with less memory than would be required to analyze the complete standard-cell netlist for design 100 .
  • the second required input for step 20 of FIG. 1 is a set of external timing constraints.
  • External timing constraints specify the expected timing behavior of design 100 .
  • Timing constraints typically specify necessary arrival and required times for design input and output signals, the behavior of design clocks, external resistance and capacitance loading, external rise/fall times and exceptions.
  • Exceptions are timing paths of the design being implemented that are known to have special timing behavior. For example, some timing paths may be known to be static, may not actually occur during real operation of the design, or may be allowed to take multiple clock cycles to transfer information.
  • timing slack is allocated to the PRU blocks.
  • Timing slack or “slack”, is the time obtained for each timing path of design 100 by taking the period of the clock controlling the timing path and then subtracting the expected delays within “A” blocks and the expected wiring delays between “A” blocks. For signals connecting to the external pins of design 100 , external arrival and required times are subtracted as well. Expected wiring delays should be computed assuming an optimal use of repeaters.
  • the timing slack for paths going between PRUs is then allocated partially to each PRU containing a portion of the path.
  • Timing slack may be allocated primarily to the input pins of blocks, primarily to the output pins or proportionately, based on the expected delay within each PRU block. Allocation primarily to inputs is useful if the “A” block outputs are known to be registered. Allocation primarily to outputs is useful if the “A” block inputs are known to be registered. Proportional allocation is useful if signals are not registered.
  • Exceptions i.e., timing paths of the design being implemented that are known to have special timing behavior
  • a multi-cycle timing path may originate within one PRU block and terminate in another PRU block.
  • PRU data is generated.
  • a sufficient set of data is required for each PRU so that it may be implemented at step 22 without any knowledge of other PRUs or the overall design 100 .
  • the required set of PRU data includes the following items:
  • Timing contraints including arrival/required times, parasitics, rise/fall times and exceptions for all signals connecting to the PRU block
  • the PRU blocks are physically implemented.
  • Software tools such as Silicon Ensemble or PKS, both available from Cadence, may be used at this step.
  • a corrected set of PRU data is generated. This data typically includes the following items:
  • a physical definition of the PRU block typically in LEF or GDSII format.
  • Additional information such as routing congestion within the PRU block may also be generated.
  • the floorplan for design 100 is updated. Following physical implementation of the PRU blocks at step 22 , changes to the top-level floorplan will often be needed. This generally occurs because the size or timing for the PRU block could not be estimated with sufficient accuracy earlier in the flow. If changes are necessary, the floorplan is updated and timing is recalculated at step 20 of FIG. 1. This loop can often be avoided by providing some margin in the timing and physical constraints generated at step 21 of FIG. 1.
  • a further optimization may also be done at step 23 of FIG. 1. Since very little is known about the actual placement of standard cells until step 22 is completed, it is likely that the port locations defined at step 18 will not be optimal for routing within the PRU blocks. By re-adjusting port positions after the actual location of standard cells connecting to I/O pins is known, the routing can frequently be simplified, reducing routing congestion and improving timing behavior. Referring to FIG. 20, the net 200 is shown after the completion of standard cell place and route at step 22 of FIG. 1. Standard cells 410 and 430 did not end up getting placed in the same location as dummy ports 210 and 230 respectively from FIG. 14.
  • ports 221 and 231 would force net 200 to be longer than otherwise necessary to connect to standard cells 410 and 430 plus hard block 104 j .
  • This problem can be corrected by reading back the actual location of standard cells 410 and 430 following the detailed place and route of PRU blocks 106 a and 106 b .
  • Detailed placement information is typically available in the DEF or PDEF formats following standard cell place and route at step 22 of FIG. 1.
  • a global router is used again as in steps 17 and 18 of FIG. 1 to adjust the position of ports 221 and 231 . Since the location of standard cells 410 and 430 is now known exactly, dummy ports can be placed at exact rather than estimated locations and the resulting positions of ports 221 and 231 can be improved as shown in FIG. 21.
  • Global routing may also take advantage of detailed routing congestion information within the PRUs at this stage to further optimize port positions. The routing of PRUs 106 a and 106 b is then incrementally modified to adjust for the new port positions.
  • step 24 the chip design is finished at step 24 by doing top-level detail routing to connect external I/O pins to the PRU blocks and to connect any non-abutted PRU blocks together.
  • Final physical, functional, connectivity and timing verification are performed and then a final tapeout file, typically in GDSII format, is prepared for fabrication.
  • Step 24 of FIG. 1 is well understood by those familiar with the art of integrated circuit design and will not be described further here.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

An automated method of designing large digital integrated circuits using a software program to partition the design into physically realizable blocks and then create the connections between blocks so as to maximize operating speed and routability while minimizing the area of the resulting integrated circuit. Timing and physical constraints are generated for each physically realizable block so that standard-cell place and route software can create each block independently as if it were a separate integrated circuit.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application Serial No. 60/230,387 filed on Sep. 6, 2000, the contents of which are incorporated herein by reference in their entirety. [0001]
  • In addition, the contents of co-pending application Ser. Nos. 09/227,491 filed on Jan. 7, 1999 and 09/227,023 filed on Jan. 7, 1999 are incorporated herin by reference in their entirety.[0002]
  • FIELD OF THE INVENTION
  • This invention generally relates to the design of integrated circuits and more particularly to methods for physically designing very large integrated circuits. [0003]
  • BACKGROUND OF THE INVENTION
  • There are two basic techniques for physically designing digital integrated circuits (or chips). These are commonly known as the full-custom technique and the standard-cell technique. In the full-custom technique, small blocks (or cells) are manually laid out by hand, one rectangle or polygon at a time to build first transistors, then logic gates, and then more complex circuits. A “block” is a small portion of a design that is designed and/or laid out separately. The cells are assembled together into larger groups (or blocks) which are themselves assembled into still larger blocks until a complete integrated circuit is created. For complex chip designs, this layout and assembly process requires large numbers of highly skilled designers and a long period of time. [0004]
  • The standard-cell technique for designing chips is a much simpler process and has gained wide use. Physical layouts and timing behavior models are created for simple logic functions such as AND, OR, NOT or FlipFlop. These physical layouts are known as “standard cells”. A large group of pre-designed standard cells is then assembled into a standard cell library, which is typically provided at a nominal cost by the fabrication vendor who will eventually produce the actual chip. Examples of these standard cell libraries are available from fabrication vendors such as TSMC or UMC. Automated software tools available from companies such as Cadence Design Systems, Inc. and Synopsys Corp. can take a netlist description of the integrated circuit, or “netlist” representing the desired logical functionality for a chip (sometimes referred to as a behavioral or register-transfer-level description), and map it into an equivalent netlist composed of standard cells from a selected standard cell library. This process is commonly known as “synthesis”. [0005]
  • Other software tools available from companies such as Cadence or Avant! can take a netlist comprised of standard cells and create a physical layout of the chip by placing the cells relative to each other to minimize timing delays or wire lengths, then creating electrical connections (or routing) between the cells to physically complete the desired circuit. The standard cell technique generally produces chips that are somewhat slower and larger than chips designed using the full-custom technique. However, because the process is automated, chips can be designed much more quickly and with fewer people, compared to the full-custom technique. For these reasons, most digital logic chips today are designed using the standard-cell technique. [0006]
  • The standard-cell technique relies heavily on automated software tools to place and route the standard cells. Today, these tools work well with designs that contain less than a few hundreds of thousands of standard cells. The internal algorithms used for placement and routing, however, are non-linear as the size of the design increases. As an illustration, a design containing 500,000 standard cells would take more than twice as long to place and route as a design containing 250,000 standard cells. A design having 500,000 standard cells would also be more than twice as large as a design having 250,000 standard cells, and will run slower. In addition, the available computer memory can be a significant limitation on the maximum size of design that can be created. As a result of these effects, designs above a certain size are not practical to create using the standard-cell approach. Integrated circuit fabrication technology, moreover, has been developing at an exponential rate. A commonly accepted heuristic known as Moore's law states that chip complexity will double every three years. [0007]
  • Some chips being designed today have already reached the point where the standard-cell design technique does not give adequate results, either in terms of development time, chip size or operating speed. This situation will become common in the near future as chip complexity continues to grow. Moreover, in most cases, the full-custom technique is also not practical for designing such large chips because of the inherent long and expensive development process. The full-custom technique is generally used only on very high speed or very high volume designs such as microprocessors where the extra design effort can be offset by higher prices or higher production volumes. Designers have dealt with the limitations of the standard-cell design technique by manually splitting the chip into a number of sections (called place and route units or PRUs) that can then be designed individually using the standard-cell technique. [0008]
  • Splitting the physical chip design into sections allows larger chips to be designed but also creates new design problems relating to how the chip is split into PRUs and how the interactions between PRUs are managed. These problems become intractable for a person to handle manually if there are more than a few PRUs. [0009]
  • Thus, there is a need for an automated design method that will split a large digital integrated circuit design into multiple sections and handle the interactions between sections so that each section can be designed independently and the desired design time, chip size and timing behavior are achieved. [0010]
  • SUMMARY OF THE INVENTION
  • A method for designing large digital integrated circuits is described. This method consists of several steps, each implemented by a software tool. Most commonly, the steps include those listed below. A particular chip design may not require all of these steps or may have additional steps. [0011]
  • The above and other preferred features of the invention, including various novel details of implementation and combination of elements will now be more particularly described with reference to the accompanying drawings and pointed out in the claims. It will be understood that the particular methods and circuits embodying the invention are shown by way of illustration only and not as limitations of the invention. As will be understood by those skilled in the art, the principles and features of this invention may be employed in various and numerous embodiments without departing from the scope of the invention.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Reference is made to the accompanying drawings in which are shown illustrative embodiments of aspects of the invention, from which novel features and advantages will be apparent. [0013]
  • FIG. 1 is a flowchart showing an automated method for designing large integrated circuits according to an embodiment of the present inventions. [0014]
  • FIG. 2 is a block diagram illustrating a typical logical hierarchy of an integrated circuit design. [0015]
  • FIG. 3 is a block diagram showing how certain blocks in the netlist are designated as atomic in accordance with an embodiment of the present inventions. [0016]
  • FIG. 4 is a block diagram showing a hierarchy that results when standard cells are not imported. [0017]
  • FIG. 5 is a block diagram showing the hierarchy that results after high level blocks are removed or flattened to expose the atomic blocks. [0018]
  • FIG. 6 is a block diagram showing the hierarchy that results after a new level of PRU (Place and Route Unit) blocks are created to represent the physical partitioning of the design. [0019]
  • FIG. 7 shows a physical layout corresponding to the hierarchy block diagram of FIG. 6. [0020]
  • FIG. 8 illustrates a fitting problem where multiple hard blocks must fit within a hierarchical block shape. [0021]
  • FIG. 9 shows a preferred method for arranging PRU blocks in rows or columns. [0022]
  • FIG. 10 illustrates a fitting problem when hard and rectangular soft blocks must fit within a hierarchical block. [0023]
  • FIG. 11 shows the hierarchical block of FIG. 11 using rectilinear soft blocks instead of rectangular soft blocks. [0024]
  • FIG. 12 shows the hierarchy diagram of FIG. 6 after hard blocks have been moved up to the PRU level. [0025]
  • FIG. 13 shows the physical layout of FIG. 7 after a typical power grid has been added. [0026]
  • FIG. 14 shows how the design is routed at the top level and how dummy port locations are assigned. [0027]
  • FIG. 15 shows the design of FIG. 14 after real port locations have been created and the dummy port locations removed. [0028]
  • FIG. 16 shows the design of FIG. 14 with additional nets that cross over PRU blocks. [0029]
  • FIG. 17 shows the design of FIG. 16 after the additional nets have been pushed inside the PRU blocks. [0030]
  • FIG. 18 shows how wrapper blocks are created during the process of pushing nets inside the PRU blocks. [0031]
  • FIG. 19 shows the netlist for a wrapper block including a feedthrough net and repeater. [0032]
  • FIG. 20 shows how port positions may be non-optimal following standard-cell place and route of the PRU blocks. [0033]
  • FIG. 21 shows how port positions may be later improved based on actual standard cell locations for the PRUs.[0034]
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • Turning to the figures, the presently preferred apparatus and methods of the present invention will now be described. [0035]
  • Referring first to FIG. 1, an embodiment of an automated method for designing large integrated circuits will be discussed in detail. Briefly, these steps, each of which will be described in more detail, are as follows: [0036]
  • Importing the [0037] design 10—Read in the design data consisting of a netlist, a fabrication technology description, a standard-cell library and physical layouts for predesigned blocks. Additional information such as timing models, timing constraints, physical placement constraints and grid definitions may also be read in. The imported data is stored in a database which is implemented in one or more files on a computer disk.
  • Defining Atomic (“A”) blocks (sometimes called “units”) [0038] 11—“A” blocks are the netlist blocks that will be physically partitioned to create place and route units (PRUs). “A” blocks may contain only standard cells or they may contain additional levels of hierarchy. For the purpose of partitioning the circuit design into PRUs, the “A” blocks are indivisible.
  • Flattening the design to “A” blocks [0039] 12—Levels of hierarchy above the “A” blocks are removed.
  • Partitioning the design into [0040] PRUs 13—The netlist from the previous step is partitioned into PRUs. Partitioning is the process of creating an additional level of hierarchy so that some of the “A” blocks and hard blocks are in one PRU and some are in another. It is desirable that this partitioning be done so as to minimize the total length of the interconnect between “A” blocks, hard blocks and standard cells and also to minimize the total area of the chip. It may also be desirable to partition so that timing paths required to operate at high speed remain within one PRU. A new netlist representing the modified hierarchy is also generated at this stage.
  • Placing [0041] top level ports 14—Ports, which allow interconnections between PRUs and/or other circuit elements, are temporarily placed into the physical design.
  • Placing blocks inside [0042] PRUs 15—Blocks are placed (i.e., positioned) inside PRUs, with special attention placed on producing a good hard block placement within each PRU.
  • Planning the power and [0043] clock structures 16—Power and clock structures must be created so that power and clocks can be distributed to all of the standard cells and hard blocks that compose the physical chip. Typically, power and clock structures are created as grids or trees of metal interconnect.
  • Routing the [0044] design 17—A software tool known as a router is used to make the interconnections between PRUs and also between PRUs and the external pad cells.
  • Assigning [0045] port locations 18—Where an interconnect path crosses the edge of a PRU, a port is created. A port is a small metal rectangle used to make a connection between a net at the top level of the design and a net within a PRU. For nets going between adjacent PRUs, the ports may touch, avoiding the need for any routing at the top level. Placement of the ports is critical to achieving a routable design.
  • Pushing routing into the PRUs and creating [0046] repeaters 19—Nets crossing over the PRUs are pushed inside. Pushing a net inside a PRU causes additional ports to be created on the edge of the PRU and both the external and internal netlists to be modified. At this step, repeaters may also be added within the PRU. Repeaters are buffers or inverters which are added on long nets to reduce signal delays and improve rise/fall times. Top level power and clock nets are also pushed into the PRUs.
  • Allocating [0047] timing budget 20—If the design is timing critical, timing budgets may be allocated to the PRUs. The timing budget is the available timing delay on individual signals which may be used for interconnect within the PRUs without affecting the performance of the overall chip.
  • Generating data for PRU place and [0048] route 21—Data files are generated which describe the shape of PRUs, the location of ports, the internal netlist, the timing slack and other information required for standard-cell place and route.
  • Creating layout for [0049] PRUs 22—Standard cell place and route tools such as those available from Cadence or Avant! are used to physically create each PRU. The result is a new set of files which describe the physical layout of the PRU, the timing behavior and the degree of routing congestion.
  • Improving [0050] port positioning 23—Based on the physical layout of the PRUs, the routing congestion and the timing behavior information, port locations may be moved to shorten nets, improve timing or to reduce routing congestion. If ports are moved, the previous step is repeated again. This loop continues until the chip is completely routed and meets the timing objectives. If the chip cannot be completely routed or timing objectives cannot be met, it may be necessary to go back to an earlier step in the flow. This circumstance is expected to be infrequent, however.
  • Creating final routing and tape out the [0051] chip 24—After all of the PRUs have been created satisfactorily, any additional routing needed to connect IO pads is added. Finally, design rule checks, layout vs. schematic checks and timing checks are run to verify that the design is correct. This completes the design process.
  • The steps to be used in each actual design may vary for particular integrated circuit designs. Some steps may not be necessary depending upon the type of design. For example, as discussed below, system on a chip type integrated circuits (“SoC”) will probably not require all of these steps. In addition, it is possible that the order of performing each of these steps may be different depending upon the integrated circuit's design. [0052]
  • In the [0053] first step 10, design information is imported. This involves reading a series of disk files, extracting the desired information and storing it in a database where it can be referenced later as needed. The database may be saved as a disk file at any step in the design process and reloaded to continue. Importing design data and storing it in a database is a well understood process to those skilled in the art of engineering software development and will not be discussed further here.
  • There are several types of information that are read from various files or entered manually during the import step, [0054] 10. This information typically includes:
  • Technology information: Information about the process technology including line width and spacing for each metal layer, via rules, and electrical information such as the resistance of each layer and the capacitance between layers. This information is typically available in a LEF format technology file from the fabrication vendor. [0055]
  • Grid Information: Grids define the allowable locations for standard cells, interconnect and block corners. This information may also be extracted from the LEF technology file or may be entered manually. [0056]
  • Average Gate Size: The average gate size is used to estimate block areas when a detailed netlist is not available. It is typically entered manually. [0057]
  • Pad and Hard Block Files: Block size, shape, barriers and port locations for pre-designed pads and other hard blocks such as memories are imported. The LEF format is typically used. A hard block has predefined physical characteristics, i.e., shape, size, layout and timing characteristics. A hard block is often referred to as being “hard”. [0058]
  • Standard Cell Libraries: Size, shape and port locations for standard cells is also imported. A LEF format library file containing all of the standard cell information is typically available from the fabrication vendor. [0059]
  • Timing Models: Timing models specify the timing behavior for blocks. Timing models may be imported for hard blocks and standard cells. This information is typically available in TLF (Cadence) format or .lib (Synopsys) format files although other formats may be used or the information may be entered manually. [0060]
  • Timing Constraints: Timing constraints specify the external timing behavior of the integrated circuit. This information is typically taken from the same files used to drive the synthesis program (available from Synopsys or Cadence) that produced the initial gate level netlist for the chip. The information may also be entered manually. [0061]
  • Physical Constraints: Physical constraints define the chip size and shape, location and order of pads, special rules for placement of specific blocks and so forth. Some of this information is typically available in the Cadence DEF format (available from Cadence Design Systems, Inc.) and some must be entered manually. [0062]
  • Netlist: The netlist describes the way standard cells and blocks are interconnected. Netlists are typically available in Verilog or VHDL formats. [0063]
  • Referring now to FIG. 2, an [0064] exemplary design 100 for an integrated circuit having a hierarchical structure and including a number of hierarchical blocks (101, 102 a, 102 b, 102 c, 102 d, 102 e, 102 f, 102 g, 102 h), pre-designed hard blocks (104 i, 104 j) and groups of standard cells (103 a, 103 c, 103 d, 103 e, 103 h, 103 g). A typical design will also include input/output (“IO”) pads (not shown in FIG. 2). As is obvious to one skilled in the art of integrated circuit design, a real design will contain many more blocks and standard cells than are shown in FIG. 2 and will likely be arranged with an organization different from that shown. The overall design may use many hundreds of thousands or even millions of standard cells. At step 11 of FIG. 1, the netlist for the design is abstracted by selecting “A” blocks as shown in FIG. 3. Every branch in the design's hierarchy must have at least one “A” block. “A” blocks may be selected by listing them in a file. “A” blocks are generally selected to be one or more hierarchy levels above the bottom (often referred to as the “leaf level) of a design to avoid the need to process large numbers of standard cells and simple functional blocks. As will be discussed below, all the elements present in an “A” block will stay together during partitioning. Thus, it is important that “A” blocks not be defined at too high a level of the hierarchy, as this will limit the flexibility of the partitioning step 13 discussed below. Experience has shown that optimal partitioning results are generally obtained using the various embodiments of the present invention when there are several hundred to several thousand “A” blocks in a design.
  • In FIG. 3, blocks [0065] 102 a, 102 d, 102 e, 102 f and 102 g have been designated as “A” blocks. The netlist may be further simplified by designating some of the “A” blocks as “stopped” blocks. This designation may be done by listing the stopped blocks in a file. Optimal results are generally obtained by designating all “A” blocks that do not contain internal hard blocks as “stopped”. When a netlist for a block designated as stopped is imported, only the top level block size will be retained in RAM (Random Access Memory). The block is then referred to as a soft block. The shape of a soft block is arbitrary but the size is determined by the number and size of the standard cells it contains. Lower level netlist information is retained on the computer disk but is not loaded into RAM until step 22 of FIG. 1, Standard Cell Place, Route and Logic Optimization. Abstracting in this manner allows the amount of data required for planning the integrated circuit to be drastically reduced, often by a factor of one thousand or more. FIG. 4 shows the resulting design as it would appear after “A” blocks and stopped blocks have been defined and the lower level blocks and standard cells beneath stopped “A” blocks have been removed. An atomic block can comprise either a hard block (sometimes referred to as a atomic hard block), a soft blocks (sometimes referred to as atomic soft blocks), and hierarchical blocks (sometimes referred to as atomic hierarchical blocks).
  • Designs may also contain standard cells at various levels of the hierarchy. For example, in FIG. 2, [0066] standard cells 103 c appear in block 102 c. Standard cells 103 g also appear with hard block 104 i in block 102 g. Similarly, standard cells appear with hard block 104 j in block 102 d. In general, it is not desirable to have large numbers of standard cells mixed with other blocks because of the large amounts of memory and processing time they will consume during block placement and partitioning. For this reason, the standard cells are typically clustered or grouped together into new dummy blocks. A dummy block is a block that did not exist in the original netlist but was created during netlist import as a container for a group of standard cells. The groups of standard cells 103 c, 103 d and 103 g in FIG. 2 are clustered into new dummy blocks 105 c, 105 d and 105 g respectively in FIG. 4. Dummy block 105 c becomes an additional “A” block because it does not have any “A” block above it in the netlist hierarchy.
  • At [0067] step 12 of FIG. 1, the design is flattened down to the “A” block level. This process is shown in FIG. 5 for the illustrative design example. Levels of hierarchy above the “A” blocks are generally superfluous for the purpose of creating physical design partitions and are therefore eliminated. Usually, the initial logical design hierarchy is created solely for the convenience of the person doing the functional design and logic simulation of an integrated circuit with little or no thought given to the way the circuit will be physically implemented. Rather than basing a physical layout on the initial logical design hierarchy, it is generally better to eliminate the logical hierarchy to produce a flat group of “A” blocks. These “A” blocks will then be partitioned based on wirelength, area and timing considerations to create a new physical hierarchy which has been optimized for physical implementation. For some integrated circuit design styles, in particular the SOC (System on Chip) design style, the logical hierarchy is designed specifically for physical implementation. SOC designs typically contain large functional or IP (Intellectual Property) blocks such as processors or encoders that are expected to stay together physically. If a chip is designed using this technique, steps 11 through 13 of the flow shown in FIG. 1 are not necessary and may be eliminated.
  • At [0068] step 13 of FIG. 1, a level of hierarchy is created using partitioning software. The operation of this step depends on block placement software that has been previously disclosed in co-pending application Ser. Nos. 09/227,491 and 09/227,023, the disclosures of which are incorporated herein by reference in their entirety. After partitioning, the design 100 will have an additional level of hierarchy as is illustrated in FIG. 6. Three new “place and route units”, or PRU blocks 106 a, 106 b and 106 c have been created by grouping sets of “A” blocks together. In the present context, partitioning means the grouping or clustering of “A” blocks. Grouping or clustering of “A” blocks is done so as to minimize overall wirelength, chip area and/or the worst-case timing paths of the design. Since PRUs will eventually be fabricated on the same integrated circuit, it is desirable to minimize the number of critical timing paths that cross the boundaries of PRU blocks. Paths that stay entirely within a PRU block can be more easily optimized at step 22 of FIG. 1 by the standard-cell place and route tool.
  • After partitioning, these PRU blocks [0069] 106 a, 106 b and 106 c have a physical location and shape as is shown in FIG. 7. The PRU blocks will eventually be sent independently to a standard-cell place and route tool for implementation as if they were separate chips. It is desirable that the PRU blocks abut or touch on their edges. This makes the final routing in step 24 of FIG. 1 simpler and also avoids wasting any chip area.
  • The algorithms used in [0070] Step 13 will now be disclosed in more detail. During step 13, “A” blocks are partitioned to form PRUs and the PRU shapes, sizes and locations are determined. In this phase, trial placement and partitioning solutions are created and evaluated automatically. It is essential that the PRU shapes created in step 13 are physically realizable, otherwise the internal placement step 15 will fail and step 13 will have to be redone. A PRU shape may not be physically realizable if the PRU contains one or more hard blocks. FIG. 8 illustrates this problem. A PRU is proposed to be created which contains two hard blocks 130 and 131 plus some number of standard cells. PRU shapes 140, 141, 142 and 143 are proposed for implementation. All of these shapes have an area larger than the sum of the areas of hard blocks 130 and 131 plus the areas of additional standard cells proposed to be part of the PRU block. Trial shape 140, however, is not physically realizable because it cannot contain either hard block 130 or 131 within its boundary. Trial shape 141 also is not physically realizable because, although it can contain either hard block 130 or hard block 131, it cannot contain both of them together. Trial shapes 142 and 143 are physically realizable because they can contain both hard blocks 130 and 131 plus the standard cells proposed to be part of the PRU block.
  • The process of computing a shape function uses a number-partitioning heuristic disclosed in “The differencing method of set partitioning”, Technical Report UCB/CSD 82/113 Computer Science Division (EECS), University of California, Berkeley. Each block is represented by its area; the method produces a partition with good area balance. Applying the method recursively to each side of the partition and downward to the leaf-block level yields a slicing tree in which each slice is well balanced. The top-level shape function for the resulting slicing tree can then be computed, using an algorithm given in the prior-art paper entitled “Optimal Orientations of Cells in Slicing Floorplan Designs”, Stockmeyer, Information and Control, Volume 57, [0071] Numbers 2/3, May/June 1983, Academic Press.
  • Finding the set of physically realizable shapes for a PRU block based on the internal contents is known as deriving a shape function. In order to derive a legal shape function for a PRU block, it is necessary to find all hard blocks, even if they are hidden at lower levels of the design hierarchy. For example, referring back to FIG. 3, when computing a shape function for the PRU that is to contain “A” [0072] block 102 g, the lower level hard block 104 i must be found and taken into account. Similarly, the lower level hard block 104 j must be found and taken into account when computing a shape function for the PRU block that is to contain “A” block 102 d. The process of computing a shape function has been disclosed in more detail in the prior-art paper entitled “Efficient Flooplan Optimization”, Otten, copyright 1983, IEEE, which discusses a number-partitioning heuristic and also in the prior-art paper entitled “Optimal Orientations of Cells in Slicing Floorplan Designs”, Stockmeyer, Information and Control, Volume 57, Numbers 2/3, May/June 1983, Academic Press, which discusses an algorithm to compute the top-level shape function for a slicing tree. Both of these papers are incorporated herein by reference in their entirety.
  • Once a set of valid initial shapes including all hard blocks is generated, the shapes must be augmented to insure that they have room to also fit all of the standard cells that will be part of the proposed PRU. Standard cells are very small relative to hard blocks and are accounted for by adding up the sum of their areas and dividing by the expected utilization and then checking that there is sufficient white space (non-hard block area) within the proposed PRU shape. Typical standard cell utilizations are in the range of 60% to 90%. To be more precise, the following algorithm is used: [0073]
  • Soft=(Sum of all standard cell areas)/(Expected utilization) [0074]
  • White=(Initial Shape Area)−(Sum of all hard block areas) [0075]
  • If (Soft<=White), then the initial shape remains the same, otherwise the initial shape is replaced by a set of new shapes with widths in the range: [0076]
  • [initial shape width, initial shape width+(Soft−White)/(initial shape height)], where the extremes preserve the initial width and the initial height, respectively. [0077]
  • At the conclusion of [0078] step 13, a specified number of PRU partitions has been created, each of which has a shape and size sufficient to fit all of its contents. The partitions preferably abut or touch on their edges without any empty (or white) space as is shown in FIG. 7. Within the partitions, all of the blocks are unplaced. In the presently preferred embodiments, the trial hard block locations computed during shape function evaluation are not retained.
  • In another embodiment of [0079] step 13, partitions are created in equal height vertical or horizontal rows. An example of a design partitioned in this way is shown in FIG. 9. This exemplary design has eight partitions, organized into three horizontal rows. The advantage of his particular embodiment, i.e., partitioning into equal height rows, is that updates to the floorplan (the physical arrangement of the circuit elements such as standard cells, hard blocks, etc.) are easier to implement should partition size changes later due to modifications in the netlist. The row that contains the modified partition can simply be extended and the chip size increased slightly without modifying the other partitions.
  • At [0080] step 14 of FIG. 1, a quick top-level port placement is done. Ports are, in effect, electrical contacts on PRUs that allow electrical communication between PRUs. Port placement at step 14 does not need to be highly accurate since port positions will be adjusted later at step 18. This information will be used at step 15 when PRU contents are placed. The preferred algorithm for quick port placement is based on minimizing wire length. Ports connecting to various PRU blocks are preferrably placed on the edge of each block to minimize the wire length for each net without shorting to unrelated nets. If two blocks abut and have ports connecting to the same net, the ports will be placed adjacent to each other. The quick port placement is further processed to produce a set of constraints that define on which edge of a PRU block a given port is placed and the preferred order of ports for each PRU edge. The exact location for ports is not useful and is not retained.
  • At [0081] step 15 of FIG. 1, blocks within the PRU partitions are placed. In particular, good hard block placement within each PRU is the primary goal of this step. Hard block placement has a major impact on the success or failure of step 22 of FIG. 1 where standard cell place and route is done. Placement of the soft blocks and hierarchical blocks is less important since soft and hierarchical block placement will generally not be utilized in step 22. (A hierarchical block has other blocks below it, as seen in FIG. 5). However, a good hard block placement cannot be done without simultaneously placing soft blocks or hierarchical blocks. The prior-art method for placing soft blocks treats them as rectangles. However, it is generally impossible to get a good placement using only rectangles if there are mixed soft and hard blocks. This problem is illustrated in FIG. 10. Hierarchical block 150 contains two hard blocks, 151 and 152 along with three soft blocks, 153, 154 and 155. It is not possible to place or shape the three soft blocks to fit inside hierarchical block 150 without leaving empty space, forcing hierarchical block 150 to be larger than otherwise necessary. If hierarchical block 150 is larger than necessary, chip area will be wasted, increasing the cost of the design. This problem can be solved by allowing the soft blocks to assume rectilinear shapes as is shown in FIG. 11. Soft blocks 153, 154 and 155 have become rectilinear and hierarchical block 150 has been reduced in size so there is no empty space. A presently preferred algorithm for shaping and placing blocks to eliminate empty space will now be disclosed in more detail.
  • Before beginning placement of blocks within PRU partitions, it is desirable to restructure the design hierarchy to move all hard blocks within “A” blocks up to the PRU level where they can be placed. This is illustrated in FIG. 12. [0082] Hard blocks 104 i and 104 j have been moved out of their parent “A” blocks and brought up so they appear directly underneath their parent PRU blocks. During this hierarchy manipulation, the interfaces to hierarchical blocks 102 d and 102 g are adjusted as necessary. The hard blocks become additional “A” blocks at this point. Bringing up the hard blocks in this manner allows all of the hard blocks within a PRU block to be placed simultaneously.
  • The manner in which block placement within PRU blocks is performed will now be discussed. The algorithm has three steps. In the first step, a slicing-tree-based placement of rectangles representing the different blocks is derived. In the second step, a placement for the hard blocks within the desired PRU area is found with minimum perturbation of the result from [0083] step 1. In the third step, the hard block instances are treated as fixed or immovable and the soft block instances are re-placed, using more general (“rectilinear”) shapes to fit around the hard blocks.
  • The first step is conducted in a similar fashion as the top-level placement, using successive bipartitioning, to optimize net length, area, and timing. [0084]
  • The goal of hard instance placement can be described as follows if: [0085]
  • B is the target bounding box for the block. [0086]
  • T is a binary slicing tree with leaf nodes, corresponding to all instances. The area of B is A[0087] root(T). In other words, the total area is the sum of all instance areas.
  • A[0088] m is the total area of leaf instances in node m.
  • Each hard instance has a rectangular shape {w[0089] k, hk}
  • Hard placement is successful if for every hard instance k, having a location {X[0090] k, Yk} within B, each hard instance bounding box Bk ({Xk, Yk}, {Xk+wk,Yk+hk}) lies totally within B and no two hard instance boxes overlap.
  • Hard block placement begins by removing all of the soft instances and considering only hard instances. First, hard instances alone are checked, following the slicing tree to see if they can fit within B. Soft instances are treated as having zero area. If the hard instances fit, then the soft instances are re-injected to fill B with minimal distortion of the original slicing proportions. [0091]
  • To be more precise: [0092]
  • For each node m of T, define: [0093]
  • L={Lw[0094] m, Lhm}—lower-bound width and height capable of holding the hard content of m
  • G={Gw[0095] m, Ghm}—goal width and height for m based on area of child node contents
  • B={Bw[0096] m, Bhm}—box width and height to be computed for m
  • The presently preferred algorithm is then: [0097]
  • B[0098] root(T)=B;
  • boil(root(T)); // treat all soft instances as having 0 area [0099]
  • if (Lw[0100] root(T)>Bwroot(t) or Lhroot(T)>Bhroot(T) exit (“failure”); // could not fit
  • adapt(root(T); // re-inject soft instance area to fill B [0101]
  • for every hard instance node k, {X[0102] k, Yk}={left, bottom} of Bk;
  • The operation of the boil and adapt routines is described below: [0103]
    boil(m) {
    if m is a leaf node {Lwm, Lhm} = {wm, hm} if m is hard or {0, 0} if m is reshapeable;
    else { //m has child nodes
    if (axis[m] = ‘x’) {
    Lwm = Lwco[m] + Lwc1[m]; //shape summing - add child sizes in
    axis[m] dimension
    Lhm = max(Lhc0[m], Lhc1[m]; //take max in other dimension
    } else { //when axis[m] = ‘y’ just switch ‘w’ with ‘h’
    Lhm = Lhco[m] + Lhc1[m];
    Lwm = max(Lwc0[m], Lwc1[m];
    }
    }
    adapt(m) {
    //Given parent box Bm derive child boxes Bco[m], Bc1[m] and recurse
    if m has child nodes, then {
    Bco[m] = Bc1[m] = Bm; //initialize child node boxes equal to their parent
    if(axis[m] = ‘X’) {
    Gwco[m] = (A co[m]/Am) * Bwm; //goal size is proportional to area
    if(Lwco[m] <= Gwco[m] <= (Bwm − Lwc1[m])) Bwco[m] = Gwc0[m];
    // if goal leaves room for hard content on both sides, use the goal
    if (Lwco[m] > Gwco[m]) Bwco[m] = Lwc0[m];
    // if hard content on 1st side is too big, make 1st side bigger to fit
    if(Gwco[m] > (Bwm − Lwc1[m])) Bwco[m] = (Bwm − Lwc1[m];
    // if hard content on 2nd side is too big, make 2nd side bigger to fit
    xMax of Bc0[m] = XBc1[m] = Xm + Bwc0[m];
    }else { //when axis[m] = ‘y’ substitute ‘y’ for ‘x’ and ‘h’ for ‘w’
    Ghco[m] = (Aco[m] /Am) * Bhm; //goal size is proportional to area
    if(Lhco[m] <= Ghco[m] <= (Bhm − Lhc1[m])) Bhco[m] = Ghc0[m];
    // if goal leaves room for hard content on both sides, use the goal
    if (Lhco[m] > Ghco[m]) Bhco[m] = Lhc0[m];
    // if hard content on 1st side is too big, make 1st side bigger to fit
    if (Ghco[m] > (Bhm − Lhc1[m])) Bhco[m] = (Bhm − Lhc1[m];
    // if hard content on 2nd side is too big, make 2nd side bigger to fit
    yMax of Bc0[m] = YBc1[m] = Ym + Bhc0[m];
    }
    adapt(c0[m]);
    adapt(c1[m]);
    }
  • This algorithm will succeed in most cases. In the case of failure or undesirable placement of hard blocks, the hard block placement within the PRU can be adjusted manually or the overall PRU size increased and the top-level floorplan adjusted to fit. [0104]
  • At [0105] step 16 of FIG. 1, power and clock structures are generated. Power structures, in particular, can take up a significant portion of the available routing resources of a chip, and therefore must be defined before routing other signals. Moreover, placement of clock structures can greatly impact the resulting integrated circuit's performance. Power and clock structures may consist of grids, trees or rings of metal interconnect as is well known to those skilled in the art of integrated circuit design. For the standard-cell type of chip, the most common power structure is a set of regular grids of interconnect on various layers. A block placement grid controls where the corners of blocks may be placed so as to insure that all blocks retain the same relationship to the power grid when they are moved or reshaped. The regular power grid is generally stopped and replaced by a power ring at the edges of the chip and around hard blocks. FIG. 13 shows the design, 100 with a simple power grid for one power signal. Two metal layers are used, one in the vertical direction (dashed line) and one in the horizontal direction (solid line). In an actual design, there would be several overlapping power grids and they would be much more complex than is shown in FIG. 13. Power and clock structures may be designed in tools such as Silicon Ensemble from Cadence and imported using a DEF file.
  • At [0106] step 17 of FIG. 1, the design is routed. The goal of routing at this stage is to create the actual ports or physical connection points for signals entering or leaving the PRU blocks. As discussed earlier, the ports created at step 14 were not saved. In the preferred embodiment, a tool known as a global router is used at step 17. Global routers are well known to those skilled in the art of writing electronic design automation software. A global router operates on a grid and provides less accurate routing than a detail router in which the exact coordinates of every net segment and via are computed. In a global router, at each intersection of the grid, a bin is defined for each routing layer. Each bin has a specific net capacity and direction. During global routing, the nets of the design are assigned to a set of bins that represent the approximate routing for the net. As bins approach their capacity, the global router will attempt to route the wire through other bins to minimize the overall routing congestion. A global router is preferred for step 17 of FIG. 1, because the accuracy is sufficient for the purpose of generating port positions and global routers generally run much faster than detail routers. A preferred embodiment of the process for creating port positions using a global router will now be disclosed in more detail.
  • Before the global router can be run, dummy ports must be created on the PRU blocks. Ports are physical rectangles of metal on various layers that provide starting and ending points for routing. A three-step process is used where dummy ports are initially generated in the interior of the PRU blocks, the design is routed, the dummy ports are deleted, and actual ports are created. Since, initially, there is no actual standard-cell placement for the soft blocks within the PRUs, there is little information available on where the nets will actually begin or end. For all nets entering or leaving a PRU, if the net connects internally to a soft block, a dummy port will be created randomly within the area of the soft block. For example, referring to FIG. 14, net [0107] 200 connects to PRU blocks 106 a and 106 b. Within PRU block 106 b, net 200 attaches to the soft “A” block 102 f. A dummy port is therefore created on top of PRU block 106 b for net 200. The dummy port is located randomly within the area that soft block 102 f is expected to occupy within PRU 106 b. Similarly, net 200 also connects to PRU block 106 a. Within PRU block 106 a, net 200 connects to soft “A” block 102 a and hard “A” block 104 j. A dummy port 210 for net 200 is created on top of PRU block 106 a which is randomly located within the area that soft block 102 a is expected to occupy. A second dummy port 220 for net 200 is created on top of PRU block 106 a for hard block 104 j. Port locations for hard blocks, however, are known exactly because the layout of hard blocks is predefined. Dummy port 220 on top of PRU 106 a, therefore, will be placed in an exact location determined by the placement of hard block 104 j and the location of the corresponding port on hard block 104 j connecting to net 200.
  • After dummy ports have been placed on PRU blocks [0108] 106 a, 106 b and 106 c for all top level nets, the design will be routed. A route similar to that shown in FIG. 14 for net 200 will be created. The router creates the shortest possible nets connecting to all dummy ports on top of PRU blocks. Nets may also connect to I/O pads or other top-level hard blocks. Routing is done to minimize congestion and to avoid barriers. For example, hard block 104 j within PRU 106 a will act as a barrier that cannot be routed over. This attempt at routing gives a realistic picture of the routing capacity, both at the top level and within the PRU blocks simultaneously.
  • After routing has completed, nets are sorted within the global router bins and exact locations are determined where nets cross the edges of the PRU blocks. This step is necessary because a global router only determines net locations within a bin. The exact routing is not calculated. Properties are added to the PRU connectors specifying where the associated ports are to be placed. [0109]
  • At [0110] step 18 of FIG. 1, the dummy ports are deleted and the global router is run again to generate real ports at the edges of the PRU blocks. Ports for nets connecting adjacent PRUs will be placed so that they touch each other at the PRU edge. Referring to FIG. 15, dummy ports 210, 220 and 230 have been deleted, along with their associated routing and new ports 221 and 231 have been created at the edges of PRU blocks 106 a and 106 b. Net 200 still exists at the top level of design 100 but it has zero length since it only serves to connect the two abutting ports 221 and 231.
  • It should be noted that it is not essential for the flow that [0111] PRUs 106 a, 106 b and 106 c abut although this is generally preferred to simplify the top-level routing. It is also possible to have the PRUs spaced apart with routing connecting them.
  • After [0112] step 18 of FIG. 1, there will still be nets crossing over PRUs. This occurs because some nets may need to be routed over other PRUs to avoid congestion or to connect two PRUs that are not adjacent to each other. Also, some nets connecting between PRUs and pads or other hard blocks may need to route over other PRUs. Referring to FIG. 16, net 300 connects pad 302 to PRU block 106 b and routes over the top of PRU block 106 a. Also, net 310 connects PRU block 106 a to PRU block 106 b but routes over the top of PRU block 106 c. This can happen if there is not sufficient room for ports along the common boundary between PRU blocks 106 a and 106 b. At step 19 of FIG. 1, nets 300 and 310 will be pushed inside PRU blocks 106 a and 106 c respectively so that there will be no nets routing over the top of PRU blocks 106 a, 106 b or 106 c. Pushing these nets inside the PRU blocks greatly simplifies the top-level routing and allows the standard-cell place and route tool to deal with them at the same time as nets internal to the PRUs. (Details internal to the PRU blocks have been removed from FIG. 16 for clarity).
  • Referring to FIG. 17, the process of pushing nets inside the PRU blocks will now be described. Net [0113] 300 (from FIG. 16) has been split into three separate nets. Net 300 a connects IO pad 302 to a new port 304 on PRU block 106 a. Net 300 b connects port 304 to port 303 internal to PRU block 106 a. Net 300 c is a zero length net connecting port 303 on PRU block 106 a to port 301 on PRU block 106 b. Similarly, net 310 (from FIG. 16) has been split into three separate nets. Net 310 a is a zero-length net connecting port 312 on PRU block 106 a to port 313 on PRU block 106 c. Net 310 b connects port 313 to port 314 internal to PRU block 106 c. Net 310 c is a zero-length net connecting port 314 on PRU block 106 c to port 311 on PRU block 106 b. When nets are pushed inside PRU blocks, another level of hierarchy is created above the PRU level. This level of hierarchy is referred to herein as a wrapper. The wrapper provides a place to put the additional feedthrough nets such as nets 300 b and 310 b (from FIG. 17) without modifying the original netlist description for PRU blocks 106 a and 106 c. The new netlist hierarchy is shown in FIG. 18. New hierarchical wrapper blocks 107 a and 107 c have been created above PRU blocks 106 a and 106 c. A netlist is automatically created for wrapper blocks 107 a and 107 c. FIG. 19 shows a block diagram of wrapper block 107 a. PRU block 106 a has been encapsulated within block 107 a. Connectors on PRU block 106 a are attached through new nets 320 to a corresponding set of connectors on wrapper block 107 a.
  • At [0114] step 19 of FIG. 1, repeaters may also be generated. A repeater is an electrical amplifier which restores an electrical signal which has been degraded by noise or excessive loading. As is well known in the art of integrated circuit design, correct use of repeaters will reduce signal delay on long nets and correct slew rate problems. At step 19 of FIG. 1, one repeater is generally inserted for each output port on a feedthrough net such as net 300 b (from FIG. 17). FIG. 19 shows how the former net 300 b (from FIG. 17) has been split into two nets, 300 b 1 and 300 b 2 with repeater 400 inserted between. At step 22 of FIG. 1 when standard cell place and route is done, additional repeaters are generated based on the actual length of the routed nets inside the PRUs.
  • At [0115] step 20 of FIG. 1, timing for the design 100 is analyzed. In the preferred embodiment, a software tool known as a static timing analyzer is used. Static timing analyzers are well known in the computer-aided engineering industry. A tool such as Primetime, available from Synopsys, or Pearl, available from Cadence, is suitable for performing step 20 of FIG. 1. Other static timing analysis tools may also be used. To perform the timing analysis of step 20, two inputs are required. The first input is the timing models for all “A” blocks in the design. These models may be generated using the Pearl tool from Cadence or the Primetime tool from Synopsys. Given a netlist of standard cells for the “A” block plus a wireload model, these tools can produce a simplified timing model for the block. A wireload model is a statistical estimate of the parasitic resistance and capacitance expected for each net based typically on the fanout of the net and the size of the block. The timing model retains timing arcs connecting to I/O pins of the “A” block and timing arcs connecting to external timing constraints but does not otherwise retain any internal timing information for the “A” block. Using this type of abstracted timing model for the “A” blocks allows timing for large designs to be analyzed much more quickly and with less memory than would be required to analyze the complete standard-cell netlist for design 100.
  • The second required input for [0116] step 20 of FIG. 1 is a set of external timing constraints. External timing constraints specify the expected timing behavior of design 100. Timing constraints typically specify necessary arrival and required times for design input and output signals, the behavior of design clocks, external resistance and capacitance loading, external rise/fall times and exceptions. Exceptions are timing paths of the design being implemented that are known to have special timing behavior. For example, some timing paths may be known to be static, may not actually occur during real operation of the design, or may be allowed to take multiple clock cycles to transfer information.
  • Provided with these inputs, the static timing analysis tool can analyze the timing behavior of [0117] design 100 and predict the timing behavior for each timing path of the design. After analysis is completed, timing slack is allocated to the PRU blocks. Timing slack, or “slack”, is the time obtained for each timing path of design 100 by taking the period of the clock controlling the timing path and then subtracting the expected delays within “A” blocks and the expected wiring delays between “A” blocks. For signals connecting to the external pins of design 100, external arrival and required times are subtracted as well. Expected wiring delays should be computed assuming an optimal use of repeaters. The timing slack for paths going between PRUs is then allocated partially to each PRU containing a portion of the path. Allocating timing slack in this way allows the standard cell place and route tool used at step 22 of FIG. 1 the maximum flexibility for how it will use the timing slack within the separate PRUs. Provided that the timing budget for each PRU is not exceeded during step 22 of FIG. 1, the timing for design 100, will meet the external arrival, required and clock constraints. Timing slack may be allocated primarily to the input pins of blocks, primarily to the output pins or proportionately, based on the expected delay within each PRU block. Allocation primarily to inputs is useful if the “A” block outputs are known to be registered. Allocation primarily to outputs is useful if the “A” block inputs are known to be registered. Proportional allocation is useful if signals are not registered.
  • Exceptions (i.e., timing paths of the design being implemented that are known to have special timing behavior) are also propagated to the PRU blocks at [0118] step 20 of FIG. 1. For example, a multi-cycle timing path may originate within one PRU block and terminate in another PRU block. For correct operation of the standard cell place and route at step 22 of FIG. 1, it is important that multi-cycle paths and other types of exception constraints be known for each PRU block.
  • At [0119] step 21 of FIG. 1, PRU data is generated. A sufficient set of data is required for each PRU so that it may be implemented at step 22 without any knowledge of other PRUs or the overall design 100. The required set of PRU data includes the following items:
  • The shape and size of the PRU block [0120]
  • The location of ports on the PRU block [0121]
  • The location and orientation of all hard macros within the PRU block [0122]
  • The standard-cell netlist for the PRU block [0123]
  • Timing contraints including arrival/required times, parasitics, rise/fall times and exceptions for all signals connecting to the PRU block [0124]
  • Physical and timing specifications for any hard blocks or standard cells used within the PRU block [0125]
  • At [0126] step 22 of FIG. 1, the PRU blocks are physically implemented. Software tools such as Silicon Ensemble or PKS, both available from Cadence, may be used at this step. Following physical implementation, a corrected set of PRU data is generated. This data typically includes the following items:
  • A physical definition of the PRU block, typically in LEF or GDSII format. [0127]
  • An updated timing model for the PRU block. [0128]
  • Location of standard cells within the PRU block which connect to ports of the block, typically in PDEF or DEF format. [0129]
  • Additional information such as routing congestion within the PRU block may also be generated. [0130]
  • At [0131] step 23 of FIG. 1, the floorplan for design 100 is updated. Following physical implementation of the PRU blocks at step 22, changes to the top-level floorplan will often be needed. This generally occurs because the size or timing for the PRU block could not be estimated with sufficient accuracy earlier in the flow. If changes are necessary, the floorplan is updated and timing is recalculated at step 20 of FIG. 1. This loop can often be avoided by providing some margin in the timing and physical constraints generated at step 21 of FIG. 1.
  • A further optimization may also be done at [0132] step 23 of FIG. 1. Since very little is known about the actual placement of standard cells until step 22 is completed, it is likely that the port locations defined at step 18 will not be optimal for routing within the PRU blocks. By re-adjusting port positions after the actual location of standard cells connecting to I/O pins is known, the routing can frequently be simplified, reducing routing congestion and improving timing behavior. Referring to FIG. 20, the net 200 is shown after the completion of standard cell place and route at step 22 of FIG. 1. Standard cells 410 and 430 did not end up getting placed in the same location as dummy ports 210 and 230 respectively from FIG. 14. As a result, ports 221 and 231 would force net 200 to be longer than otherwise necessary to connect to standard cells 410 and 430 plus hard block 104 j. This problem can be corrected by reading back the actual location of standard cells 410 and 430 following the detailed place and route of PRU blocks 106 a and 106 b. Detailed placement information is typically available in the DEF or PDEF formats following standard cell place and route at step 22 of FIG. 1. A global router is used again as in steps 17 and 18 of FIG. 1 to adjust the position of ports 221 and 231. Since the location of standard cells 410 and 430 is now known exactly, dummy ports can be placed at exact rather than estimated locations and the resulting positions of ports 221 and 231 can be improved as shown in FIG. 21. Global routing may also take advantage of detailed routing congestion information within the PRUs at this stage to further optimize port positions. The routing of PRUs 106 a and 106 b is then incrementally modified to adjust for the new port positions.
  • Following the satisfactory completion of [0133] step 23 of FIG. 1, the chip design is finished at step 24 by doing top-level detail routing to connect external I/O pins to the PRU blocks and to connect any non-abutted PRU blocks together. Final physical, functional, connectivity and timing verification are performed and then a final tapeout file, typically in GDSII format, is prepared for fabrication. Step 24 of FIG. 1 is well understood by those familiar with the art of integrated circuit design and will not be described further here.
  • Thus, a preferred method physically designing integrated circuits has been described. While embodiments and applications of this invention have been shown and described, as would be apparent to those skilled in the art, many more embodiments and applications are possible without departing from the inventive concepts disclosed herein. Therefore, the invention is not to be restricted except in the spirit of the appended claims. [0134]

Claims (7)

We claim:
1. A method for physically designing an integrated circuit comprising:
importing a netlist description of an integrated circuit design, said netlist description comprising a plurality of hierarchical arranged branches;
selecting atomic blocks for each of said plurality of hierarchically arranged branches, each of said atomic blocks selected to be one or more hierarchy levels above the bottom of a corresponding one of said hierarchically arranged branches, each of said atomic blocks being either an atomic hard block, an atomic soft block or an atomic hierarchical block;
flattening each of said plurality of hierarchically arranged branches by eliminating superfluous levels of hierarchy above said atomic blocks;
partitioning each of said atomic blocks into one of a plurality place and route units (“PRUs”); and
positioning said atomic blocks within each of said plurality of PRUs.
2. The method of claim 1 wherein said partitioning step comprises:
determining a physically realizable shape for each of said plurality of PRUs;
determining a physically realizable size for each of said plurality of PRUs; and
determining PRU location for each of said plurality of PRUs.
3. The method of claim 2 wherein said determining PRU shape step comprises:
finding all of said atomic hard blocks and other hard blocks within each of said plurality of PRUs;
calculating an initial PRU shape for each of said plurality of PRUs;
determining whether said atomic hard blocks, said other hard blocks, and all standard cells assigned to said initial PRU shape will fit within said initial PRU shape; and
if said atomic hard blocks, said other hard blocks, and all of said standard cells assigned to said initial PRU shape do not fit within said initial PRU shape, calculating an alternate initial PRU shape and determining whether said atomic hard blocks, said other hard blocks, and all of said standard cells assigned to said initial PRU shape will fit within said initial PRU shape.
4. The method of claim 1 wherein said positioning step further comprises:
moving all of said atomic hard blocks within a particular one of said plurality of PRUs such that each of said atomic hard blocks are one level of hierarchy below said particular one of said PRU;
determining optimal placement of each of said atomic blocks; and
selecting a rectilinear shape for each of said soft atomic blocks and said atomic hierarchical blocks within said particular one of said plurality of PRUs so that said soft atomic blocks and said atomic hierarchical blocks fit within areas of said particular one of said plurality of PRUs left unoccupied by said atomic hard blocks.
5. The method of claim 1 further comprising:
routing interconnections between said plurality of PRUs;
where one of said interconnections crosses an edge of one of said PRUs, assigning a port at said edge of one said plurality of PRUs, said port comprising an electrical contact at said edge of one of said said PRUs;
pushing said interconnections inside said plurality of PRUs; and
creating a physical circuit layout for each of said plurality of PRUs;
6. A method of routing an integrated circuit design comprised of a plurality of place and route units (“PRUs”), comprising:
creating dummy ports on each of said PRUs, said dummy ports allowing a net to traverse from a first of said plurality of PRUs to a second of said plurality of PRUs;
connecting said dummy ports on said PRUs by routing nets between them;
determining where said routing nets cross edges of said plurality of PRUs;
deleting said dummy ports; and
generating real ports where said routing nets cross edges of said plurality of PRUs.
7. A method of fitting an integrated circuit design within a predefined area, the integrated circuit design comprising one or more of hard blocks, hierarchical blocks and soft blocks, the hard blocks having a fixed shape, comprising:
determining optimal placement of each of the hard blocks, if any, within the predefined area; and
selecting a rectilinear shape for each of the soft blocks, if any, and hierarchical blocks, if any, so that the soft blocks, if any, and hierarchical blocks, if any, fit within spaces of the predefined area left unoccupied by the hard blocks.
US09/874,942 2000-09-06 2001-06-04 Method for designing large standard-cell base integrated circuits Expired - Lifetime US6567967B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/874,942 US6567967B2 (en) 2000-09-06 2001-06-04 Method for designing large standard-cell base integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23038700P 2000-09-06 2000-09-06
US09/874,942 US6567967B2 (en) 2000-09-06 2001-06-04 Method for designing large standard-cell base integrated circuits

Publications (2)

Publication Number Publication Date
US20020087940A1 true US20020087940A1 (en) 2002-07-04
US6567967B2 US6567967B2 (en) 2003-05-20

Family

ID=26924181

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/874,942 Expired - Lifetime US6567967B2 (en) 2000-09-06 2001-06-04 Method for designing large standard-cell base integrated circuits

Country Status (1)

Country Link
US (1) US6567967B2 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030139842A1 (en) * 2002-01-17 2003-07-24 Micron Technology, Inc. Fast algorithm to extract flat information from hierarchical netlists
US20030208737A1 (en) * 2002-05-06 2003-11-06 International Business Machines Corporation Method and system for placing logic nodes based on an estimated wiring congestion
US20030237059A1 (en) * 2002-06-19 2003-12-25 Lsi Logic Corporation Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
US20040015801A1 (en) * 2002-07-19 2004-01-22 Mielke David James Systems and methods for timing a linear data path element during signal-timing verification of an integrated circuit design
US20040078767A1 (en) * 2001-06-08 2004-04-22 Burks Timothy M. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
US6757874B1 (en) * 2000-11-15 2004-06-29 Reshape, Inc. Facilitating verification in abutted-pin hierarchical physical design
US20050210428A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for flattening hierarchical designs in VLSI circuit analysis tools
US20050268258A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
US20050268267A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Methods and systems for mixed-mode physical synthesis in electronic design automation
US20060075373A1 (en) * 2004-09-30 2006-04-06 Mario Schleicher Method and device for the computer-aided design of a supply network
US20060136848A1 (en) * 2004-12-20 2006-06-22 Matsushita Electric Industrial Co., Ltd. Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
US7152217B1 (en) * 2004-04-20 2006-12-19 Xilinx, Inc. Alleviating timing based congestion within circuit designs
US20060294485A1 (en) * 2005-06-28 2006-12-28 Neeraj Kaul Method and apparatus for routing an integrated circuit
US20070016879A1 (en) * 2005-07-12 2007-01-18 Taiwan Semiconductor Manufacturing Co. Method for identifying a physical failure location on an integrated circuit
US20070198960A1 (en) * 2006-02-17 2007-08-23 Athena Design Systems, Inc. Methods for tiling integrated circuit designs
US20080301616A1 (en) * 2003-12-11 2008-12-04 International Business Machines Corporation Layout Generator for Routing and Designing an LSI
US20110246959A1 (en) * 2010-03-31 2011-10-06 International Business Machines Corporation Method, system, and design structure for making voltage environment consistent for reused sub modules in chip design
US8397197B1 (en) 2011-05-25 2013-03-12 Applied Micro Circuits Corporation Integrated circuit module time delay budgeting
US8904327B2 (en) * 2012-07-26 2014-12-02 International Business Machines Corporation Assisting in logic circuit design to place cells on an IC substrate and optimize wiring
US20160085898A1 (en) * 2013-05-17 2016-03-24 Cornell University Automated layout for integrated circuits with nonstandard cells
KR20160034169A (en) * 2014-09-18 2016-03-29 삼성전자주식회사 Layout design method for semiconductor device
KR20160109881A (en) * 2015-03-13 2016-09-21 삼성전자주식회사 Method for designing a semiconductor integrated circuit
US10503861B1 (en) * 2018-05-21 2019-12-10 Xilinx, Inc. Placing and routing an interface portion and a main portion of a circuit design
US20200159882A1 (en) * 2018-11-18 2020-05-21 International Business Machines Corporation Propagating constants of structured soft blocks while preserving the relative placement structure
US20210192115A1 (en) * 2019-12-19 2021-06-24 Intel Corporation Mechanism to place repeaters on existing structured routing based on geometric consideration and to place lattice multi-layer metal structures over cells

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6889372B1 (en) 2000-07-15 2005-05-03 Cadence Design Systems Inc. Method and apparatus for routing
US6898773B1 (en) 2002-01-22 2005-05-24 Cadence Design Systems, Inc. Method and apparatus for producing multi-layer topological routes
US6826737B2 (en) * 2000-12-06 2004-11-30 Cadence Design Systems, Inc. Recursive partitioning placement method and apparatus
US6516455B1 (en) * 2000-12-06 2003-02-04 Cadence Design Systems, Inc. Partitioning placement method using diagonal cutlines
CN1529864B (en) * 2000-12-06 2010-05-05 凯登斯设计***有限公司 Method and apparatus for considering diagonal wiring in placement
US7003754B2 (en) * 2000-12-07 2006-02-21 Cadence Design Systems, Inc. Routing method and apparatus that use of diagonal routes
US7055120B2 (en) * 2000-12-06 2006-05-30 Cadence Design Systems, Inc. Method and apparatus for placing circuit modules
US6957410B2 (en) * 2000-12-07 2005-10-18 Cadence Design Systems, Inc. Method and apparatus for adaptively selecting the wiring model for a design region
US7080336B2 (en) * 2000-12-06 2006-07-18 Cadence Design Systems, Inc. Method and apparatus for computing placement costs
US7024650B2 (en) * 2000-12-06 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for considering diagonal wiring in placement
US7073150B2 (en) * 2000-12-07 2006-07-04 Cadence Design Systems, Inc. Hierarchical routing method and apparatus that use diagonal routes
US6915501B2 (en) 2001-01-19 2005-07-05 Cadence Design Systems, Inc. LP method and apparatus for identifying routes
US6883154B2 (en) * 2001-01-19 2005-04-19 Cadence Design Systems, Inc. LP method and apparatus for identifying route propagations
US6763512B2 (en) * 2001-04-06 2004-07-13 Sun Microsystems, Inc. Detailed method for routing connections using tile expansion techniques and associated methods for designing and manufacturing VLSI circuits
US7107564B1 (en) 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US6957408B1 (en) 2002-01-22 2005-10-18 Cadence Design Systems, Inc. Method and apparatus for routing nets in an integrated circuit layout
US6829757B1 (en) 2001-06-03 2004-12-07 Cadence Design Systems, Inc. Method and apparatus for generating multi-layer routes
US6951005B1 (en) 2001-06-03 2005-09-27 Cadence Design Systems, Inc. Method and apparatus for selecting a route for a net based on the impact on other nets
US7398498B2 (en) 2001-08-23 2008-07-08 Cadence Design Systems, Inc. Method and apparatus for storing routes for groups of related net configurations
US6931616B2 (en) * 2001-08-23 2005-08-16 Cadence Design Systems, Inc. Routing method and apparatus
US7155697B2 (en) * 2001-08-23 2006-12-26 Cadence Design Systems, Inc. Routing method and apparatus
US7143382B2 (en) 2001-08-23 2006-11-28 Cadence Design Systems, Inc. Method and apparatus for storing routes
US6795958B2 (en) 2001-08-23 2004-09-21 Cadence Design Systems, Inc. Method and apparatus for generating routes for groups of related node configurations
US7058913B1 (en) 2001-09-06 2006-06-06 Cadence Design Systems, Inc. Analytical placement method and apparatus
US6757878B2 (en) * 2001-12-31 2004-06-29 Intel Corporation Method and apparatus for layout synthesis of regular structures using relative placement
US6944841B1 (en) 2002-01-22 2005-09-13 Cadence Design Systems, Inc. Method and apparatus for proportionate costing of vias
US7096449B1 (en) 2002-01-22 2006-08-22 Cadence Design Systems, Inc. Layouts with routes with different widths in different directions on the same layer, and method and apparatus for generating such layouts
US7013451B1 (en) 2002-01-22 2006-03-14 Cadence Design Systems, Inc. Method and apparatus for performing routability checking
US6892371B1 (en) 2002-01-22 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for performing geometric routing
US7089524B1 (en) 2002-01-22 2006-08-08 Cadence Design Systems, Inc. Topological vias route wherein the topological via does not have a coordinate within the region
US7117468B1 (en) 2002-01-22 2006-10-03 Cadence Design Systems, Inc. Layouts with routes with different spacings in different directions on the same layer, and method and apparatus for generating such layouts
US7036105B1 (en) 2002-01-22 2006-04-25 Cadence Design Systems, Inc. Integrated circuits with at least one layer that has more than one preferred interconnect direction, and method for manufacturing such IC's
JP4078123B2 (en) * 2002-06-05 2008-04-23 株式会社ルネサステクノロジ Floor planning equipment
US6925621B2 (en) * 2002-06-24 2005-08-02 Agilent Technologies, Inc. System and method for applying timing models in a static-timing analysis of a hierarchical integrated circuit design
JP2004062598A (en) * 2002-07-30 2004-02-26 Seiko Epson Corp Semiconductor device, method, device and program for designing semiconductor device
US6954915B2 (en) * 2002-07-31 2005-10-11 Agilent Technologies, Inc. System and methods for pre-artwork signal-timing verification of an integrated circuit design
US6789244B1 (en) * 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US6988257B2 (en) 2002-11-18 2006-01-17 Cadence Design Systems, Inc. Method and apparatus for routing
US7480885B2 (en) * 2002-11-18 2009-01-20 Cadence Design Systems, Inc. Method and apparatus for routing with independent goals on different layers
US7216308B2 (en) 2002-11-18 2007-05-08 Cadence Design Systems, Inc. Method and apparatus for solving an optimization problem in an integrated circuit layout
US7003752B2 (en) 2002-11-18 2006-02-21 Cadence Design Systems, Inc. Method and apparatus for routing
US6892369B2 (en) 2002-11-18 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for costing routes of nets
US7171635B2 (en) 2002-11-18 2007-01-30 Cadence Design Systems, Inc. Method and apparatus for routing
US7093221B2 (en) 2002-11-18 2006-08-15 Cadence Design Systems, Inc. Method and apparatus for identifying a group of routes for a set of nets
US7047513B2 (en) 2002-11-18 2006-05-16 Cadence Design Systems, Inc. Method and apparatus for searching for a three-dimensional global path
US7624367B2 (en) 2002-11-18 2009-11-24 Cadence Design Systems, Inc. Method and system for routing
US7013445B1 (en) 2002-12-31 2006-03-14 Cadence Design Systems, Inc. Post processor for optimizing manhattan integrated circuits placements into non manhattan placements
US7089519B1 (en) 2002-12-31 2006-08-08 Cadence Design System, Inc. Method and system for performing placement on non Manhattan semiconductor integrated circuits
US7330808B1 (en) * 2003-07-24 2008-02-12 Xilinx, Inc. Dummy block replacement for logic simulation
US7146596B2 (en) * 2003-08-29 2006-12-05 International Business Machines Corporation Integrated circuit chip having a ringed wiring layer interposed between a contact layer and a wiring grid
US20050210430A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to optimize logical configuration relationships in VLSI circuit analysis tools
US20050210427A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for facilitating efficient application of logical configuration information in VLSI circuit analysis tools
US20050210429A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to limit runtime of VLSI circuit analysis tools for complex electronic circuits
US20050210426A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to prioritize and selectively apply configuration information for VLSI circuit analysis tools
US7124380B2 (en) * 2004-03-18 2006-10-17 Hewlett-Packard Development Company, L.P. System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US7210113B2 (en) * 2004-04-23 2007-04-24 Lsi Logic Corporation Process and apparatus for placing cells in an IC floorplan
US20070277139A1 (en) * 2004-10-13 2007-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor Integrated Circuit and Designing Method of the Same, and Electronic Apparatus Using the Same
US7284227B1 (en) 2004-10-15 2007-10-16 Xilinx, Inc. Method and system for generating implementation files from a high level specification
US7334209B1 (en) 2004-10-15 2008-02-19 Xilinx, Inc. Method and system for generating multiple implementation views of an IC design
US7730437B1 (en) * 2004-10-27 2010-06-01 Cypress Semiconductor Corporation Method of full semiconductor chip timing closure
US7302651B2 (en) * 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US20070033562A1 (en) * 2005-08-05 2007-02-08 International Business Machines Corporation Integrated circuit power distribution layout with sliding grids
US8521955B2 (en) 2005-09-13 2013-08-27 Lsi Corporation Aligned data storage for network attached media streaming systems
US20070250737A1 (en) * 2005-09-13 2007-10-25 Agere Systems Inc. Method and Apparatus for Aligned Data Storage Addresses in a Raid System
US7257507B1 (en) * 2006-01-31 2007-08-14 Credence Systems Corporation System and method for determining probing locations on IC
US7448014B2 (en) * 2006-03-08 2008-11-04 International Business Machines Corporation Design stage mitigation of interconnect variability
US20070225960A1 (en) * 2006-03-27 2007-09-27 Greener Robert J Subchip boundary constraints for circuit layout
US7401310B1 (en) 2006-04-04 2008-07-15 Advanced Micro Devices, Inc. Integrated circuit design with cell-based macros
US20070245280A1 (en) * 2006-04-14 2007-10-18 Magma Design Automation, Inc. System and method for placement of soft macros
US7441218B2 (en) * 2006-05-24 2008-10-21 Texas Instruments Incorporated Contact resistance and capacitance for semiconductor devices
US8166439B2 (en) * 2007-12-28 2012-04-24 International Business Machines Corporation Techniques for selecting spares to implement a design change in an integrated circuit
US8051400B2 (en) * 2008-10-21 2011-11-01 Arm Limited Modifying integrated circuit layout
US8762919B2 (en) * 2009-12-09 2014-06-24 International Business Machines Corporation Circuit macro placement using macro aspect ratio based on ports
US8397190B2 (en) * 2011-01-24 2013-03-12 Apple Inc. Method for manipulating and repartitioning a hierarchical integrated circuit design
US8875079B2 (en) * 2011-09-29 2014-10-28 Lsi Corporation System and method of automated design augmentation for efficient hierarchical implementation
WO2014106779A1 (en) 2013-01-07 2014-07-10 Freescale Semiconductor, Inc. Integrated circuit hierarchical design tool apparatus and method of hierarchically designing an integrated circuit
US10102327B2 (en) * 2014-12-31 2018-10-16 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10097182B2 (en) 2014-12-31 2018-10-09 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US20170011139A1 (en) * 2015-07-07 2017-01-12 Mentor Graphics Corporation Physically-aware circuit design partitioning
KR102415952B1 (en) 2015-07-30 2022-07-05 삼성전자주식회사 Method of design layout of semiconductor device, and method for manufacturing semiconductor device using the same
US9928333B2 (en) 2015-07-30 2018-03-27 Samsung Electronics Co., Ltd. Methods of designing a layout of a semiconductor device including field effect transistor and methods of manufacturing a semicondutor device using the same
US9697320B2 (en) * 2015-09-24 2017-07-04 Qualcomm Incorporated Rectilinear macros having non-uniform channel spacing
US10546092B2 (en) * 2017-12-15 2020-01-28 International Business Machines Corporation Modifying a circuit design based on pre-routed top level design
US10747934B2 (en) 2018-11-27 2020-08-18 International Business Machines Corporation Managing feedthrough wiring for integrated circuits
US11080456B2 (en) 2019-11-28 2021-08-03 International Business Machines Corporation Automated design closure with abutted hierarchy

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612618A (en) * 1983-06-10 1986-09-16 Rca Corporation Hierarchical, computerized design of integrated circuits
US4630219A (en) * 1983-11-23 1986-12-16 International Business Machines Corporation Element placement method
US4918614A (en) 1987-06-02 1990-04-17 Lsi Logic Corporation Hierarchical floorplanner
US5175693A (en) 1989-06-01 1992-12-29 Kabushiki Kaisha Toshiba Method of designing semiconductor integrated circuit device
US5572437A (en) 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
JP3027990B2 (en) 1991-03-18 2000-04-04 富士通株式会社 Method for manufacturing semiconductor device
US5311443A (en) 1992-08-13 1994-05-10 Motorola Inc. Rule based floorplanner
US5576969A (en) 1993-03-09 1996-11-19 Nec Corporation IC comprising functional blocks for which a mask pattern is patterned according to connection and placement data
US5731985A (en) 1996-04-23 1998-03-24 International Business Machines Corporation Chip sizing for hierarchical designs
US6067409A (en) 1996-06-28 2000-05-23 Lsi Logic Corporation Advanced modular cell placement system
US6009251A (en) * 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6145117A (en) 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
JP4090118B2 (en) 1998-06-19 2008-05-28 富士通株式会社 LSI manufacturing method and recording medium recording layout program
US6275973B1 (en) * 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6298468B1 (en) 1999-05-04 2001-10-02 Prosper Design Systems Pte. Ltd. Placement-based pin optimization method and apparatus for computer-aided circuit design

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6757874B1 (en) * 2000-11-15 2004-06-29 Reshape, Inc. Facilitating verification in abutted-pin hierarchical physical design
US7103863B2 (en) * 2001-06-08 2006-09-05 Magma Design Automation, Inc. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
US20040078767A1 (en) * 2001-06-08 2004-04-22 Burks Timothy M. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
US20030139842A1 (en) * 2002-01-17 2003-07-24 Micron Technology, Inc. Fast algorithm to extract flat information from hierarchical netlists
US6886140B2 (en) * 2002-01-17 2005-04-26 Micron Technology, Inc. Fast algorithm to extract flat information from hierarchical netlists
US20030208737A1 (en) * 2002-05-06 2003-11-06 International Business Machines Corporation Method and system for placing logic nodes based on an estimated wiring congestion
US6904584B2 (en) * 2002-05-06 2005-06-07 International Business Machines Corporation Method and system for placing logic nodes based on an estimated wiring congestion
US20030237059A1 (en) * 2002-06-19 2003-12-25 Lsi Logic Corporation Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
US7818157B2 (en) * 2002-06-19 2010-10-19 LS1 Corporation Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
US20040015801A1 (en) * 2002-07-19 2004-01-22 Mielke David James Systems and methods for timing a linear data path element during signal-timing verification of an integrated circuit design
US6910194B2 (en) * 2002-07-19 2005-06-21 Agilent Technologies, Inc. Systems and methods for timing a linear data path element during signal-timing verification of an integrated circuit design
US20080301616A1 (en) * 2003-12-11 2008-12-04 International Business Machines Corporation Layout Generator for Routing and Designing an LSI
US20050210428A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for flattening hierarchical designs in VLSI circuit analysis tools
US7152217B1 (en) * 2004-04-20 2006-12-19 Xilinx, Inc. Alleviating timing based congestion within circuit designs
WO2005119440A2 (en) * 2004-06-01 2005-12-15 Tera Systems, Inc. Methods and systems for mixed-mode physical synthesis in electronic design automation
US7409658B2 (en) * 2004-06-01 2008-08-05 Magma Design Automation, Inc. Methods and systems for mixed-mode physical synthesis in electronic design automation
WO2005119440A3 (en) * 2004-06-01 2007-11-22 Tera Systems Inc Methods and systems for mixed-mode physical synthesis in electronic design automation
US20050268267A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Methods and systems for mixed-mode physical synthesis in electronic design automation
US20050268258A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
US20060075373A1 (en) * 2004-09-30 2006-04-06 Mario Schleicher Method and device for the computer-aided design of a supply network
US7281227B2 (en) * 2004-09-30 2007-10-09 Infineon Technologies Ag Method and device for the computer-aided design of a supply network
US20060136848A1 (en) * 2004-12-20 2006-06-22 Matsushita Electric Industrial Co., Ltd. Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
US20090138840A1 (en) * 2004-12-20 2009-05-28 Panasonic Corporation Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
US7503026B2 (en) * 2004-12-20 2009-03-10 Panasonic Corporation Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
US7313776B2 (en) * 2005-06-28 2007-12-25 Synopsys, Inc. Method and apparatus for routing an integrated circuit
US20060294485A1 (en) * 2005-06-28 2006-12-28 Neeraj Kaul Method and apparatus for routing an integrated circuit
US7320115B2 (en) * 2005-07-12 2008-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for identifying a physical failure location on an integrated circuit
US20070016879A1 (en) * 2005-07-12 2007-01-18 Taiwan Semiconductor Manufacturing Co. Method for identifying a physical failure location on an integrated circuit
US20080134122A1 (en) * 2006-02-17 2008-06-05 Athena Design Systems, Inc. Methods for Tiling Integrated Circuit Designs
US7376921B2 (en) * 2006-02-17 2008-05-20 Athena Design Systems, Inc. Methods for tiling integrated circuit designs
US20070198960A1 (en) * 2006-02-17 2007-08-23 Athena Design Systems, Inc. Methods for tiling integrated circuit designs
US20110246959A1 (en) * 2010-03-31 2011-10-06 International Business Machines Corporation Method, system, and design structure for making voltage environment consistent for reused sub modules in chip design
US8458641B2 (en) * 2010-03-31 2013-06-04 International Business Machines Corporation Method, system, and design structure for making voltage environment consistent for reused sub modules in chip design
US8397197B1 (en) 2011-05-25 2013-03-12 Applied Micro Circuits Corporation Integrated circuit module time delay budgeting
US8904327B2 (en) * 2012-07-26 2014-12-02 International Business Machines Corporation Assisting in logic circuit design to place cells on an IC substrate and optimize wiring
US20160085898A1 (en) * 2013-05-17 2016-03-24 Cornell University Automated layout for integrated circuits with nonstandard cells
US9852253B2 (en) * 2013-05-17 2017-12-26 Cornell University Automated layout for integrated circuits with nonstandard cells
KR20160034169A (en) * 2014-09-18 2016-03-29 삼성전자주식회사 Layout design method for semiconductor device
KR102255450B1 (en) * 2014-09-18 2021-05-25 삼성전자주식회사 Layout design method for semiconductor device
KR20160109881A (en) * 2015-03-13 2016-09-21 삼성전자주식회사 Method for designing a semiconductor integrated circuit
KR102257031B1 (en) * 2015-03-13 2021-05-27 삼성전자주식회사 Method for designing a semiconductor integrated circuit
US10503861B1 (en) * 2018-05-21 2019-12-10 Xilinx, Inc. Placing and routing an interface portion and a main portion of a circuit design
US20200159882A1 (en) * 2018-11-18 2020-05-21 International Business Machines Corporation Propagating constants of structured soft blocks while preserving the relative placement structure
US10803224B2 (en) * 2018-11-18 2020-10-13 International Business Machines Corporation Propagating constants of structured soft blocks while preserving the relative placement structure
US20210192115A1 (en) * 2019-12-19 2021-06-24 Intel Corporation Mechanism to place repeaters on existing structured routing based on geometric consideration and to place lattice multi-layer metal structures over cells

Also Published As

Publication number Publication date
US6567967B2 (en) 2003-05-20

Similar Documents

Publication Publication Date Title
US6567967B2 (en) Method for designing large standard-cell base integrated circuits
US20020087939A1 (en) Method for designing large standard-cell based integrated circuits
US10808333B2 (en) Method and apparatus for performing layout designs using stem cells
US20200401753A1 (en) High-Speed Shape-Based Router
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
JP4679029B2 (en) System for partitioning, placing and routing integrated circuits
US8707239B2 (en) Integrated circuit routing with compaction
US10268797B2 (en) Architectural physical synthesis
US8819608B2 (en) Architectural physical synthesis
US6557145B2 (en) Method for design optimization using logical and physical information
US8661388B2 (en) Method of packing-based macro placement and semiconductor chip using the same
US5896300A (en) Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits by filtering timing error bounds for layout critical nets
US6574786B1 (en) Gate array cell generator using cadence relative object design
CN107918694B (en) Method for reducing delay on an integrated circuit
Coudert Timing and design closure in physical design flows
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
Chang et al. Physical design for system-on-a-chip
Yang Congestion and timing optimization for standard-cell placement
Otten A design flow for performance planning: New paradigms for iteration free synthesis
Luhukay Layout synthesis of Nmos gate-cells
Wardle et al. A declarative design approach for combining macrocells by directed placement and constructive routing
Coudert Logical and physical design: a flow perspective
Gunturi Post layout validation of discrete gate sizing and VT selection
Otten Layout synthesis: a retrospective
Mathur Timing-driven optimization problems in VLSI CAD

Legal Events

Date Code Title Description
AS Assignment

Owner name: MONTEREY DESIGN SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GREIDINGER, YAACOV I.;FRANKLE, JONATHAN A.;REED, DAVID S.;AND OTHERS;REEL/FRAME:012514/0158;SIGNING DATES FROM 20011120 TO 20011126

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SYNOPSYS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MONTEREY DESIGN SYSTEMS, INC.;REEL/FRAME:015279/0811

Effective date: 20041022

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

IPR Aia trial proceeding filed before the patent and appeal board: inter partes review

Free format text: TRIAL NO: IPR2014-01159

Opponent name: ATOPTECH, INC.

Effective date: 20140711

Free format text: TRIAL NO: IPR2014-01150

Opponent name: ATOPTECH, INC.

Effective date: 20140711

FPAY Fee payment

Year of fee payment: 12

IPRC Trial and appeal board: inter partes review certificate

Kind code of ref document: K1

Free format text: INTER PARTES REVIEW CERTIFICATE; TRIAL NO. IPR2014-01150, JUL. 11, 2014; TRIAL NO. IPR2014-01159, JUL. 11, 2014INTER PARTES REVIEW CERTIFICATE FOR PATENT 6,567,967, ISSUED MAY 20, 2003, APPL. NO. 09/874,942, JUN. 4, 2001INTER PARTES REVIEW CERTIFICATE ISSUED FEB. 6, 2018

Effective date: 20180206