US20020074234A1 - Method of copper electroplating - Google Patents

Method of copper electroplating Download PDF

Info

Publication number
US20020074234A1
US20020074234A1 US09/739,930 US73993000A US2002074234A1 US 20020074234 A1 US20020074234 A1 US 20020074234A1 US 73993000 A US73993000 A US 73993000A US 2002074234 A1 US2002074234 A1 US 2002074234A1
Authority
US
United States
Prior art keywords
voltage
plating
wafer
current
applying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/739,930
Other versions
US6432821B1 (en
Inventor
Valery Dubin
Dave Jentz
Christopher Collazo-Davila
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp of America
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US09/739,930 priority Critical patent/US6432821B1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COLLAZO-DAVILA, CHRISTOPHER, JENTZ, DAVE W., DUBIN, VALERY M.
Publication of US20020074234A1 publication Critical patent/US20020074234A1/en
Application granted granted Critical
Publication of US6432821B1 publication Critical patent/US6432821B1/en
Assigned to SONY CORPORATION OF AMERICA reassignment SONY CORPORATION OF AMERICA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • the present invention relates generally to the field of electroplating, and more specifically, to electroplating of copper onto wafers to fill damascene structures.
  • patterned conductive material on one interconnect level is electrically insulated from patterned conductive material on another interconnect level by films of material such as, for example, silicon dioxide. These conductive materials are typically a metal or metal alloy. Connections between the conductive material at the various interconnect levels are made by forming openings in the insulating layers and providing an electrically conductive structure such that the patterned conductive material from different interconnect levels are brought into electrical contact with each other. These electrically conductive structures are often referred to as contacts or vias.
  • the cross-sectional area of a copper interconnect line may be made smaller without incurring increased signal propagation delays based on the resistance of the interconnect.
  • the capacitance between two electrical nodes is a function of the overlap area between those nodes, using a smaller copper interconnect line results in a decrease in parasitic capacitance. In this way, replacing aluminum-based interconnects with copper-based interconnects provides, depending on the dimensions chosen, reduced resistance, reduced capacitance, or both.
  • copper has electrical advantages, such as lower resistance per cross-sectional area, the ability to provide for reduced parasitic capacitance, and greater immunity to electromigration. For all these reasons, manufacturers of integrated circuits find it desirable to include copper in their products.
  • FIG. 1 shows a schematic cross-section of a partially processed wafer with a post-plating, pre-polish damascene structure 100 .
  • a dual damascene structure has been formed with a trench portion 108 and a via portion 110 .
  • Trench 108 and via 110 are formed by first patterning, i.e., making openings in, interlayer dielectric 102 .
  • a layer 104 that acts as a barrier to copper diffusion is then formed over the surfaces of patterned interlayer dielectric 102 , a seed layer is formed over barrier layer 104 , and copper or copper alloy is plated over these.
  • FIG. 1 is a schematic cross-sectional view of a copper damascene structure. This structure represents a post-plating, pre-polishing state of fabrication.
  • FIG. 2 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process.
  • FIG. 3 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process.
  • FIG. 4 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process.
  • FIG. 5 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process.
  • FIG. 6 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process.
  • FIG. 7 is a graph showing cathode and anode currents as a function of time in an electroplating process in accordance with the present invention.
  • FIG. 8 is a flow diagram of a process in accordance with the present invention.
  • FIG. 9 is a flow diagram of a process in accordance with the present invention.
  • references herein to “one embodiment”, “an embodiment”, or similar formulations, means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearances of such phrases or formulations herein are not necessarily all referring to the same embodiment. Furthermore, various particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
  • metal line trace, wire, conductor, signal path and signaling medium are all related.
  • the related terms listed above, are generally interchangeable, and appear in order from specific to general.
  • metal lines are sometimes referred to as traces, wires, lines, interconnects or simply metal.
  • contact and via both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure contact and via refer to the completed structure.
  • Dishing refers to the amount of material, typically the metal of a metal damascene structure, that is removed during the polishing of the metal damascene structure. Dishing is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the post-polish surface of the interlayer dielectric and the post-polish surface of the metal. Dishing typically occurs in metal structures that are wider than the minimum metal width permitted in a given set of design rules.
  • Erosion refers to the amount of a layer, typically an interlayer dielectric, that is removed during the polishing of a metal damascene structure. Erosion is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the original surface of the layer and its post-polish surface. Erosion is generally an undesirable result of overpolishing.
  • forward current and cathode current are used interchangeably.
  • reverse current and anode current are used interchangeably.
  • forcing a current is used interchangeably with applying a voltage, since it is the application of the voltage which drives the current flow.
  • vertical means substantially perpendicular to the surface of a substrate.
  • humps may have a step height of, for example, more than 0.5 microns when the target plated thickness is 1.0 micron.
  • the uneven surface morphology presented by these humps leads to overpolishing in a subsequent chemical mechanical polishing operation. In turn, overpolishing adversely affects the integrated circuits being manufactured by increasing dishing and erosion.
  • SPS Sulfopropyl disulfide
  • MPS mercaptopropanesulfonic acid
  • Electroplating by means of an alternating current (AC) process has been disclosed in the this field.
  • AC alternating current
  • these conventional AC electroplating processes have been found to have problems in terms of deposition morphology, as well as consumption of organic additives.
  • FIGS. 2 - 6 illustrate some of the known conventional plating programs. It is noted that the current versus time plating program figures herein, are not all drawn to the same scale, but are merely designed to illustrate, relative times and currents for each such plating program.
  • FIG. 2 shows a plating program in which an initiation, or seed layer repair, operation is performed by forcing a first forward current 202 .
  • a second forward current 204 is then forced to superfill features less than 0.3 microns in width.
  • a third forward current 204 is forced to perform a bulk fill operation.
  • Forward current 204 has a density less than 30 mA/cm 2 .
  • FIG. 3 shows a conventional plating program combining forward and reverse current pulses. More particularly, FIG. 3 shows a first pattern 302 which includes three forward current pulses, each separated from the other by a period of zero current. The width (in time) of the pulses in first pattern 302 range from 1 ms to 100 ms. FIG. 3 also shows a second pattern 304 which includes two reverse current pulses separated from each other by a period of zero current. The pulse width of the second pattern pulses ranges between 1 ms and 100 ms. As shown in FIG. 3, a first pattern set of pulses is followed by a second pattern set of pulses, and so on, with the patterns alternating.
  • FIG. 4 shows a conventional plating program combining forward and reverse current pulses.
  • FIG. 4 begins with the wafer in a plating bath with zero current being forced 402 .
  • Forward current pulses 404 , 408 , 414 each occur immediately after forward current pulses 404 , 408 , and 412 respectively.
  • This bi-polar pattern of current pulses uses high intensity, short duration anodic currents to increase Cu dissolution at the neck of a feature, relative to the base.
  • Those features being formed in the interlayer dielectric (e.g., a via opening or a trench).
  • FIG. 5 shows a conventional plating program, for 200 mm wafers, combining forward and reverse pulses with an increasing pattern of forward current magnitude. More particularly, forward current step 502 is 3.6 mA/cm 2 , forward current step 504 is 10.9 mA/cm 2 , reverse current step 506 is 21.8 mA/cm 2 , forward current step 508 is 14.5 mA/cm 2 , reverse current step 510 is 21.8 mA/cm 2 , and forward current step 512 is 65.4 mA/cm 2 .
  • the plating program of FIG. 5 is characterized by short pulse widths and high current densities.
  • FIG. 6 shows a conventional plating program which uses a two-step DC plating scheme.
  • a zero current induction time 602 is provided, followed by a low DC current 604 , and followed in turn by a high current bulk filling step 606 .
  • a damascene structure is filled with a conductive material such as copper. Individual interconnect lines are subsequently formed as excess portions of the copper or copper alloy, and any excess conductive barrier layer material are removed.
  • a conductive material such as copper.
  • Individual interconnect lines are subsequently formed as excess portions of the copper or copper alloy, and any excess conductive barrier layer material are removed.
  • Vias and trenches are first formed in a dielectric layer. This is typically the first part of a damascene process.
  • Organic, inorganic, or a combination of organic and inorganic materials may be used to form the interlayer dielectric (ILD).
  • a copper diffusion barrier is then typically formed over the surfaces of the ILD, including the top surfaces of the ILD and the surfaces of the vertical sidewalls of the trenches and vias.
  • the diffusion barrier may be formed by processes such as, but not limited to, physical vapor deposition (PVD), ionized physical vapor deposition (iPVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD).
  • the diffusion barrier may be formed of Ta, TaN, W, WN, TiN, TaSiN, Mo, MoN, MoSiN, Nb, NbN, NbSiN, and other refractory metals and their alloys.
  • a process for filling damascene trenches and vias by a multi-step copper electroplating method in accordance with the present invention includes, immersing a wafer under bias into a plating solution; and as indicated in FIG. 7, performing a superfill plating operation; performing reverse plating operation, performing a second superfill operation and a second reverse plating operation; and subsequently performing a bulk fill operation with a high current density.
  • a wafer, under bias, is immersed into a plating solution to reduce or eliminate thin seed layer dissolution and reduce copper oxidation.
  • An initiation, or seed layer repair operation is performed with forward current 702 .
  • forward currents 704 , 706 that perform a superfill operation, also referred to as bottom-up plating.
  • Superfill is used to fill the smallest damascene features, for example, openings less than 0.3 micron.
  • a reverse plating operation is performed to remove adsorbed plating additives and their by-products from the wafer.
  • the reverse plating operation is achieved with reverse current 710 .
  • a second superfill operation is performed to fill intermediate size damascene features, for example, openings about 0.3 to 0.6 microns in size.
  • the second superfill operation is achieved with forward current 714 .
  • the system is again returned to equilibrium 716 , and a second reverse plating operation, achieved with reverse current 718 , removes adsorbed plating additives and their by-products from the wafer.
  • a high current density bulk fill operation 722 fills large size damascene features, for example, those greater than about 0.6 microns.
  • the superfill and reverse plating steps can be repeated a number of times prior to the bulk fill operation in order to provide the desired surface morphology for chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • Various embodiments of the present invention may use a number of forward current steps equal to 2n+1, where n typically is in the range of 1 to 5. These forward current steps may be at a current density of 10 to 30 mA/cm 2 for a time between 2 and 60 seconds. Forward current steps may include two or more sub-steps. A first sub-step includes plating with lower current density (to build accelerator and Cl diffusion gradients) while the second or subsequent sub-steps include plating at higher current densities (i.e., a bottom-up fill). Before these steps, there is an initiation step at low current density to repair discontinuous portions of the seed layer at current densities between 0.3 and 5 mA/cm 2 .
  • This initiation step is performed just after the wafer is immersed in the plating bath.
  • the final forward current step is used to fill large features (i.e., greater than 0.6 microns), while the earlier, lower current density, forward current steps are used to fill the smaller features.
  • the reverse current steps may be at a current density of 15 to 60 mA/cm 2 with up to 60 Coulombs of passed charge between forward steps.
  • reverse plating is used to remove adsorbed plating additives and their by-products from the wafer by de-plating copper.
  • Copper alloys such as CuSn, CuMg, CuNi, CuZn, CuPd, CuAu, CuRe, and CuW can be selectively plated into damascene structures to improve electromigration resistance, corrosion resistance, and form self-passivated barriers on the sidewalls of features and the top of Cu lines due to the diffusion of the alloying elements toward the outer surfaces of the interconnect lines.
  • FIG. 8 is a flow diagram illustrating one embodiment of the present invention.
  • a wafer is electroplated at a first current density to repair the seed layer ( 802 ).
  • a superfill operation a second current density and a super fill at a third current density are then performed ( 804 , 806 ).
  • a reverse plating operation 808 ) removes additive and by-products from the plated surface. This operation is sometimes referred to as de-plating.
  • Another superfill at a fourth current density ( 810 ) is followed by another reverse plating operation ( 812 ).
  • Finally a bulk fill operation at a fifth current density ( 814 ) is performed.
  • the embodiment of the present invention by implementing a series of current pulses having a newly discovered range of current magnitudes and pulse widths, provides a reduction in void formation and improved surface morphology.
  • FIG. 9 is a flow diagram illustrating an embodiment of the present invention.
  • a wafer is immersed into a plating solution having an electrode therein ( 902 ).
  • a voltage is applied between the electrode and the wafer to produce a first forward current ( 904 ).
  • the applied voltage is changed to produce a second forward current ( 906 ), and changed again to provide a third forward current ( 908 ).
  • the applied voltage is changed once again to produce a first reverse current ( 910 ).
  • the applied voltage is then changed to produce a fourth forward current ( 912 ).
  • the applied voltage is then changed to produce a second reverse current ( 914 ).
  • the applied voltage is changed to produce a fifth forward current ( 916 ).
  • the first and second reverse currents are nominally the same magnitude and between 15 and 60 mA/cm 2 .
  • the first, second, third, fourth, and fifth forward currents are each different from the other and monotonically increasing in this illustrative embodiment.
  • the magnitudes of the current densities of the first, second, third, and fourth currents is between 10 and 30 mA/cm 2 with a duration of between 2 and 60 seconds each.
  • Embodiments of the present invention provide methods of forming copper and copper alloy interconnects on integrated circuits. These copper and copper alloy interconnects are formed by way of a multi-step electroplating process that includes forward and reverse plating currents. The current densities and length of times for each step of the electroplating operation are chosen so that the process as a whole provides a reduction or elimination of voids when filling small openings in an interlayer dielectric film.
  • An advantage of some embodiments of the present invention is that within die non-uniformity, measured as a reduction of hump step height over small features, is reduced.
  • a further advantage of some embodiments of the present invention is that production throughput is increased.
  • a still further advantage of some embodiments of the present invention is improved gap filling.
  • a still further advantage of some embodiments of the present invention is that CMP end point detection is improved, while dishing and erosion are reduced.

Abstract

An electroplating process for filling damascene structures on substrates, such as wafers having partially fabricated integrated circuits thereon, includes immersing a substrate, under bias, into a copper plating solution to eliminate thin seed layer dissolution and reduce copper oxide, an initiation step to repair discontinuities in a copper seed layer, superfill plating to fill the smallest features, reverse plating to remove the adsorbed plating additives and their by-products from the substrate, a second superfill plating to fill intermediate size features, a second reverse plating to remove adsorbed plating additives and their by-products from the substrate, and a bulk fill plating with high current density to fill large features. The superfill and reverse plating operations may be repeated more than twice prior to bulk filling in order to provide the desired surface morphology.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates generally to the field of electroplating, and more specifically, to electroplating of copper onto wafers to fill damascene structures. [0002]
  • 2. Background [0003]
  • Advances in semiconductor manufacturing technology have led to the development of integrated circuits having multiple levels of interconnect. In such an integrated circuit, patterned conductive material on one interconnect level is electrically insulated from patterned conductive material on another interconnect level by films of material such as, for example, silicon dioxide. These conductive materials are typically a metal or metal alloy. Connections between the conductive material at the various interconnect levels are made by forming openings in the insulating layers and providing an electrically conductive structure such that the patterned conductive material from different interconnect levels are brought into electrical contact with each other. These electrically conductive structures are often referred to as contacts or vias. [0004]
  • Other advances in semiconductor manufacturing technology, such as the ability to repeatably pattern very small features, have led to the integration of millions of transistors, each capable of switching at high speed. A consequence of incorporating so many fast switching transistors into an integrated circuit is an increase in power consumption during operation. One technique for increasing speed while reducing power consumption is to replace the traditional aluminum and aluminum alloy interconnects found on integrated circuits with a metal such as copper, which offers lower electrical resistance. Those skilled in the electrical arts will appreciate that by reducing resistance, electrical signals may propagate more quickly through the interconnect pathways on an integrated circuit. Furthermore, because the resistance of copper is significantly less than that of aluminum, the cross-sectional area of a copper interconnect line, as compared to an aluminum interconnect line, may be made smaller without incurring increased signal propagation delays based on the resistance of the interconnect. Additionally, because the capacitance between two electrical nodes is a function of the overlap area between those nodes, using a smaller copper interconnect line results in a decrease in parasitic capacitance. In this way, replacing aluminum-based interconnects with copper-based interconnects provides, depending on the dimensions chosen, reduced resistance, reduced capacitance, or both. [0005]
  • As noted above, copper has electrical advantages, such as lower resistance per cross-sectional area, the ability to provide for reduced parasitic capacitance, and greater immunity to electromigration. For all these reasons, manufacturers of integrated circuits find it desirable to include copper in their products. [0006]
  • While advantageous electrically, copper is difficult to integrate into the process of making integrated circuits. As is known in this field, copper can adversely affect the performance of metal oxide semiconductor (MOS) field effect transistors (FETs) if the copper is allowed to migrate, or diffuse, into the transistor areas of an integrated circuit. Therefore copper diffusion barriers are used to isolate copper metal from those transistor areas. Additionally, unlike aluminum based metal interconnect systems which are formed by subtractive etch processes, copper interconnects are typically formed by damascene metal processes. Such processes are also sometimes referred to as inlaid metal processes. [0007]
  • In a damascene process, trenches are formed in a first layer, and a metal layer is formed over the first layer including the trenches. Excess metal is then polished off leaving individual interconnect lines in the trenches. FIG. 1 shows a schematic cross-section of a partially processed wafer with a post-plating, pre-polish [0008] damascene structure 100. In this case, a dual damascene structure has been formed with a trench portion 108 and a via portion 110. Trench 108 and via 110 are formed by first patterning, i.e., making openings in, interlayer dielectric 102. A layer 104 that acts as a barrier to copper diffusion is then formed over the surfaces of patterned interlayer dielectric 102, a seed layer is formed over barrier layer 104, and copper or copper alloy is plated over these.
  • Accordingly, there is a need for electroplating methods, materials, and apparatus to that can form, on wafers, very narrow conductive interconnects made from materials such as copper and copper alloys. [0009]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional view of a copper damascene structure. This structure represents a post-plating, pre-polishing state of fabrication. [0010]
  • FIG. 2 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process. [0011]
  • FIG. 3 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process. [0012]
  • FIG. 4 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process. [0013]
  • FIG. 5 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process. [0014]
  • FIG. 6 is a graph showing cathode and anode currents as a function of time in a conventional electroplating process. [0015]
  • FIG. 7 is a graph showing cathode and anode currents as a function of time in an electroplating process in accordance with the present invention. [0016]
  • FIG. 8 is a flow diagram of a process in accordance with the present invention. [0017]
  • FIG. 9 is a flow diagram of a process in accordance with the present invention.[0018]
  • DETAILED DESCRIPTION
  • Methods of copper electroplating are described. In the following description numerous specific details are set forth to provide an understanding of the present invention. It will be apparent, however, to those skilled in the art and having the benefit of this disclosure, that the present invention may be practiced with apparatus and processes that vary from those specified herein. [0019]
  • Reference herein to “one embodiment”, “an embodiment”, or similar formulations, means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearances of such phrases or formulations herein are not necessarily all referring to the same embodiment. Furthermore, various particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. [0020]
  • Terminology [0021]
  • The terms, chip, integrated circuit, monolithic device, semiconductor device or component, microelectronic device or component, and similar terms and expressions, are often used interchangeably in this field. The present invention is applicable to all the above as they are generally understood in the field. [0022]
  • The terms metal line, trace, wire, conductor, signal path and signaling medium are all related. The related terms listed above, are generally interchangeable, and appear in order from specific to general. In this field, metal lines are sometimes referred to as traces, wires, lines, interconnects or simply metal. [0023]
  • The terms contact and via, both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure contact and via refer to the completed structure. [0024]
  • Dishing, as used herein, refers to the amount of material, typically the metal of a metal damascene structure, that is removed during the polishing of the metal damascene structure. Dishing is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the post-polish surface of the interlayer dielectric and the post-polish surface of the metal. Dishing typically occurs in metal structures that are wider than the minimum metal width permitted in a given set of design rules. [0025]
  • Erosion, as used herein, refers to the amount of a layer, typically an interlayer dielectric, that is removed during the polishing of a metal damascene structure. Erosion is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the original surface of the layer and its post-polish surface. Erosion is generally an undesirable result of overpolishing. [0026]
  • As used herein the terms forward current and cathode current are used interchangeably. Also the terms reverse current and anode current are used interchangeably. With respect to the forward and reverse currents, the expression forcing a current is used interchangeably with applying a voltage, since it is the application of the voltage which drives the current flow. [0027]
  • [Inventors: pls confirm that these definitions are OK][0028]
  • The term vertical, as used herein, means substantially perpendicular to the surface of a substrate. [0029]
  • In conventional copper plating processes, single or dual damascene structures are filled by using direct current (DC) plating. However, DC plating tends to result in undesirable “hump” development over small features (e.g., less than 1 micron). Such humps may have a step height of, for example, more than 0.5 microns when the target plated thickness is 1.0 micron. The uneven surface morphology presented by these humps leads to overpolishing in a subsequent chemical mechanical polishing operation. In turn, overpolishing adversely affects the integrated circuits being manufactured by increasing dishing and erosion. [0030]
  • Another undesirable aspect of conventional DC copper electroplating processes, is the high within wafer non-uniformity (>3.5%, 1 sigma) due to the “center thick spot” phenomenon. This center thick spot is caused by a high flow rate and a higher concentration of accelerator at the center of the wafer in the so-called fountain plating process (which includes a center flow nozzle). Accelerators may include one or more organic additives in the plating solution. Sulfopropyl disulfide (SPS) and mercaptopropanesulfonic acid (MPS) are examples of additives or by-products that may be found in a copper electroplating solution. [0031]
  • Electroplating by means of an alternating current (AC) process has been disclosed in the this field. However, these conventional AC electroplating processes have been found to have problems in terms of deposition morphology, as well as consumption of organic additives. [0032]
  • FIGS. [0033] 2-6 illustrate some of the known conventional plating programs. It is noted that the current versus time plating program figures herein, are not all drawn to the same scale, but are merely designed to illustrate, relative times and currents for each such plating program.
  • FIG. 2 shows a plating program in which an initiation, or seed layer repair, operation is performed by forcing a first forward current [0034] 202. A second forward current 204 is then forced to superfill features less than 0.3 microns in width. Finally, a third forward current 204 is forced to perform a bulk fill operation. Forward current 204 has a density less than 30 mA/cm2.
  • FIG. 3 shows a conventional plating program combining forward and reverse current pulses. More particularly, FIG. 3 shows a [0035] first pattern 302 which includes three forward current pulses, each separated from the other by a period of zero current. The width (in time) of the pulses in first pattern 302 range from 1 ms to 100 ms. FIG. 3 also shows a second pattern 304 which includes two reverse current pulses separated from each other by a period of zero current. The pulse width of the second pattern pulses ranges between 1 ms and 100 ms. As shown in FIG. 3, a first pattern set of pulses is followed by a second pattern set of pulses, and so on, with the patterns alternating.
  • FIG. 4 shows a conventional plating program combining forward and reverse current pulses. FIG. 4 begins with the wafer in a plating bath with zero current being forced [0036] 402. Forward current pulses 404, 408, 414 each occur immediately after forward current pulses 404, 408, and 412 respectively. This bi-polar pattern of current pulses uses high intensity, short duration anodic currents to increase Cu dissolution at the neck of a feature, relative to the base. Those features being formed in the interlayer dielectric (e.g., a via opening or a trench).
  • FIG. 5 shows a conventional plating program, for 200 mm wafers, combining forward and reverse pulses with an increasing pattern of forward current magnitude. More particularly, forward [0037] current step 502 is 3.6 mA/cm2, forward current step 504 is 10.9 mA/cm2, reverse current step 506 is 21.8 mA/cm2, forward current step 508 is 14.5 mA/cm2, reverse current step 510 is 21.8 mA/cm2, and forward current step 512 is 65.4 mA/cm2. The plating program of FIG. 5 is characterized by short pulse widths and high current densities.
  • FIG. 6 shows a conventional plating program which uses a two-step DC plating scheme. In this scheme a zero [0038] current induction time 602 is provided, followed by a low DC current 604, and followed in turn by a high current bulk filling step 606.
  • In an embodiment of the present invention, a damascene structure is filled with a conductive material such as copper. Individual interconnect lines are subsequently formed as excess portions of the copper or copper alloy, and any excess conductive barrier layer material are removed. As described more fully below, an illustrative method in accordance with the present invention follows a seven stage electroplating process. Processes embodying the present invention may use (2n+1) electroplating stages, wherein n=1 to 5. [0039]
  • Vias and trenches are first formed in a dielectric layer. This is typically the first part of a damascene process. Organic, inorganic, or a combination of organic and inorganic materials may be used to form the interlayer dielectric (ILD). A copper diffusion barrier is then typically formed over the surfaces of the ILD, including the top surfaces of the ILD and the surfaces of the vertical sidewalls of the trenches and vias. The diffusion barrier may be formed by processes such as, but not limited to, physical vapor deposition (PVD), ionized physical vapor deposition (iPVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD). The diffusion barrier may be formed of Ta, TaN, W, WN, TiN, TaSiN, Mo, MoN, MoSiN, Nb, NbN, NbSiN, and other refractory metals and their alloys. [0040]
  • A process for filling damascene trenches and vias by a multi-step copper electroplating method in accordance with the present invention includes, immersing a wafer under bias into a plating solution; and as indicated in FIG. 7, performing a superfill plating operation; performing reverse plating operation, performing a second superfill operation and a second reverse plating operation; and subsequently performing a bulk fill operation with a high current density. [0041]
  • Referring now to FIG. 7, an illustrative embodiment of the present invention is described in more detail. A wafer, under bias, is immersed into a plating solution to reduce or eliminate thin seed layer dissolution and reduce copper oxidation. An initiation, or seed layer repair operation is performed with forward current [0042] 702. This is followed by forward currents 704, 706 that perform a superfill operation, also referred to as bottom-up plating. Superfill is used to fill the smallest damascene features, for example, openings less than 0.3 micron. After bringing the system to equilibrium 708, a reverse plating operation is performed to remove adsorbed plating additives and their by-products from the wafer. The reverse plating operation is achieved with reverse current 710. After restoring the system to equilibrium 712, a second superfill operation is performed to fill intermediate size damascene features, for example, openings about 0.3 to 0.6 microns in size. The second superfill operation is achieved with forward current 714. Next, the system is again returned to equilibrium 716, and a second reverse plating operation, achieved with reverse current 718, removes adsorbed plating additives and their by-products from the wafer. Once again the system is returned to equilibrium 720. A high current density bulk fill operation 722 fills large size damascene features, for example, those greater than about 0.6 microns. The superfill and reverse plating steps can be repeated a number of times prior to the bulk fill operation in order to provide the desired surface morphology for chemical mechanical polishing (CMP).
  • Various embodiments of the present invention may use a number of forward current steps equal to 2n+1, where n typically is in the range of 1 to 5. These forward current steps may be at a current density of 10 to 30 mA/cm[0043] 2 for a time between 2 and 60 seconds. Forward current steps may include two or more sub-steps. A first sub-step includes plating with lower current density (to build accelerator and Cl diffusion gradients) while the second or subsequent sub-steps include plating at higher current densities (i.e., a bottom-up fill). Before these steps, there is an initiation step at low current density to repair discontinuous portions of the seed layer at current densities between 0.3 and 5 mA/cm2. This initiation step is performed just after the wafer is immersed in the plating bath. The final forward current step is used to fill large features (i.e., greater than 0.6 microns), while the earlier, lower current density, forward current steps are used to fill the smaller features. The reverse current steps may be at a current density of 15 to 60 mA/cm2 with up to 60 Coulombs of passed charge between forward steps. As mentioned above, reverse plating is used to remove adsorbed plating additives and their by-products from the wafer by de-plating copper.
  • Copper alloys such as CuSn, CuMg, CuNi, CuZn, CuPd, CuAu, CuRe, and CuW can be selectively plated into damascene structures to improve electromigration resistance, corrosion resistance, and form self-passivated barriers on the sidewalls of features and the top of Cu lines due to the diffusion of the alloying elements toward the outer surfaces of the interconnect lines. [0044]
  • FIG. 8 is a flow diagram illustrating one embodiment of the present invention. A wafer is electroplated at a first current density to repair the seed layer ([0045] 802). A superfill operation a second current density and a super fill at a third current density are then performed (804, 806). A reverse plating operation (808) removes additive and by-products from the plated surface. This operation is sometimes referred to as de-plating. Another superfill at a fourth current density (810) is followed by another reverse plating operation (812). Finally a bulk fill operation at a fifth current density (814) is performed. The embodiment of the present invention, by implementing a series of current pulses having a newly discovered range of current magnitudes and pulse widths, provides a reduction in void formation and improved surface morphology.
  • FIG. 9 is a flow diagram illustrating an embodiment of the present invention. A wafer is immersed into a plating solution having an electrode therein ([0046] 902). A voltage is applied between the electrode and the wafer to produce a first forward current (904). The applied voltage is changed to produce a second forward current (906), and changed again to provide a third forward current (908). The applied voltage is changed once again to produce a first reverse current (910). The applied voltage is then changed to produce a fourth forward current (912). The applied voltage is then changed to produce a second reverse current (914). Finally, the applied voltage is changed to produce a fifth forward current (916). In this illustrative embodiment, the first and second reverse currents are nominally the same magnitude and between 15 and 60 mA/cm2. The first, second, third, fourth, and fifth forward currents are each different from the other and monotonically increasing in this illustrative embodiment. The magnitudes of the current densities of the first, second, third, and fourth currents is between 10 and 30 mA/cm2 with a duration of between 2 and 60 seconds each.
  • Conclusion [0047]
  • Embodiments of the present invention provide methods of forming copper and copper alloy interconnects on integrated circuits. These copper and copper alloy interconnects are formed by way of a multi-step electroplating process that includes forward and reverse plating currents. The current densities and length of times for each step of the electroplating operation are chosen so that the process as a whole provides a reduction or elimination of voids when filling small openings in an interlayer dielectric film. [0048]
  • An advantage of some embodiments of the present invention is that within die non-uniformity, measured as a reduction of hump step height over small features, is reduced. [0049]
  • A further advantage of some embodiments of the present invention is that production throughput is increased. [0050]
  • A still further advantage of some embodiments of the present invention is improved gap filling. [0051]
  • A still further advantage of some embodiments of the present invention is that CMP end point detection is improved, while dishing and erosion are reduced. [0052]
  • It will be apparent to those skilled in the art that a number of variations or modifications may be made to the illustrative embodiments described above. For example, various combinations of forward and reverse current densities and durations may be used within the scope of the present invention. [0053]
  • Other modifications from the specifically described apparatus, plating baths, and processes will be apparent to those skilled in the art and having the benefit of this disclosure. Accordingly, it is intended that all such modifications and alterations be considered as within the spirit and scope of the invention as defined by the subjoined claims. [0054]

Claims (31)

What is claimed is:
1. A method of forming copper interconnect, comprising:
forming trenches in a dielectric layer disposed on a wafer;
forming a barrier layer over the trenches and dielectric layer
immersing the wafer, under bias, in a plating solution;
performing a first plating operation at a forward current density between 10 and 30 mA/cm2 and for a duration between 2 and 60 seconds;
performing a second plating operation at a reverse current density between 15 and 60 mA/cm2; and
performing a bulk fill plating operation at a forward current density between 30 and 80 mA/cm2.
2. The method of claim 1, wherein the first plating operation comprises a first sub-step at a first current density and a second sub-step at a second current density; wherein the second current density is greater than the first current density.
3. The method of claim 1, further comprising maintaining a period without applying voltage to the wafer between the first plating operation and the second plating operation.
4. The method of claim 1, further comprising performing a third plating operation at a forward current density between 15 and 60 mA/cm2; and a fourth plating operation at a reverse current density between 15 and 60 mA/cm2.
5. The method of claim 4, wherein the first plating operation comprises a first sub-step at a first current density and a second sub-step at a second current density; wherein the second current density is greater than the first current density.
6. The method of claim 5, further comprising maintaining a period without applying voltage to the wafer between the first plating operation and the second plating operation.
7. The method of claim 1, wherein performing the first plating operation, the second plating operation and the bulk fill operation, each comprise forcing a current in the plating solution between the wafer and at least one electrode disposed in the plating solution.
8. The method of claim 7, wherein subsequent to the first plating operation and the second plating operation, and prior to the second plating operation, forcing of currents is stopped for a predetermined length of time.
9. The method of claim 8, wherein the predetermined length of time is approximately one second.
10. A method of electroplating copper, comprising:
immersing a wafer into a plating bath having a electrode therein;
applying a first voltage between the electrode and the wafer to produce a first forward current;
applying a second voltage between the electrode and the wafer to produce a second forward current;
applying a third voltage between the electrode and the wafer to produce a third forward current;
applying a fourth voltage between the electrode and the wafer to produce a first reverse current;
applying a fifth voltage between the electrode and the wafer to produce a fourth forward current;
applying a sixth voltage between the electrode and the wafer to produce a second reverse current; and
applying a seventh voltage between the electrode and the wafer to produce a fifth forward current.
11. The method of claim 10, wherein the second voltage is greater than the first voltage, and the third voltage is greater than the second voltage.
12. The method of claim 11, wherein the fifth voltage is greater than the third voltage, and the seventh voltage is greater than the fifth voltage.
13. The method of claim 10, wherein the fourth and sixth voltages are nominally the same voltage.
14. The method of claim 12, further comprising, subsequent to applying the third voltage, removing the voltage between the electrode and the wafer.
15. The method of claim 12, further comprising, subsequent to applying the fourth voltage, removing the voltage between the electrode and the wafer.
16. The method of claim 12, further comprising:
subsequent to applying the third voltage and prior to applying the fourth voltage, removing the voltage between the electrode and the wafer;
subsequent to applying the fourth voltage and prior to applying the fifth voltage, removing the voltage between the electrode and the wafer;
subsequent to applying the fifth voltage and prior to applying the sixth voltage, removing the voltage between the electrode and the wafer; and
subsequent to applying the sixth voltage and prior to applying the seventh voltage, removing the voltage between the electrode and the wafer.
17. The method of claim 16, wherein the first, second, third, and fourth forward currents have a current density of between 10 and 30 mA/cm2.
18. The method of claim 17, wherein the first and second reverse currents have a current density of between 15 and 60 mA/cm2.
19. The method of claim 17, wherein a final forward current has a current density of between 30 and 80 mA/cm2.
20. The method of claim 19, wherein the final forward current results from applying the seventh voltage.
21. A method of electroplating a metal layer over a seed layer on a wafer, comprising:
placing the wafer in a plating solution having at least one electrode disposed therein;
performing a plurality of low current plating and low current de-plating operations, followed by a higher current bulk fill operation;
wherein the low current plating operations comprise forcing a forward current density of between 10 and 30 mA/cm2, the low current de-plating operations comprise forcing a reverse current density of between 15 and 60 mA/cm2, and the bulk fill operation comprises forcing a forward current density of between 30 and 80 mA/cm2.
22. The method of claim 21, wherein the metal comprises Cu, CuSn, CuMg, CuNi, CuZn, CuPd, CuAu, CuRe and CW.
23. The method of claim 22, wherein the low current plating operations have a duration of between 2 and 60 seconds.
24. The method of claim 23, further comprising forcing zero current between the wafer and the electrode subsequent to a low current plating operation and prior to a low current de-plating operation.
25. The method of claim 24, wherein forcing zero current comprises forcing zero current for approximately 1 second.
26. The method of claim 22, wherein a first one of the plurality of low current plating operations includes three sub-steps with each sub-step characterized by forcing a different current density.
27. The method of claim 26, wherein the different current densities are monotonically increasing.
28. The method of claim 27, wherein the first of the three sub-steps repairs a seed layer
29. The method of claim 28, wherein the second and third of the three substeps fill at least one opening in the wafer, the opening having a lateral width of less than 0.3 microns.
30. The method of claim 24, wherein the low current de-plating operations remove at least one adsorbed chemical from the surface of the wafer.
31. The method of claim 30, wherein the at least one adsorbed chemical is mercaptopropansulfonate.
US09/739,930 2000-12-18 2000-12-18 Method of copper electroplating Expired - Lifetime US6432821B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/739,930 US6432821B1 (en) 2000-12-18 2000-12-18 Method of copper electroplating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/739,930 US6432821B1 (en) 2000-12-18 2000-12-18 Method of copper electroplating

Publications (2)

Publication Number Publication Date
US20020074234A1 true US20020074234A1 (en) 2002-06-20
US6432821B1 US6432821B1 (en) 2002-08-13

Family

ID=24974362

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/739,930 Expired - Lifetime US6432821B1 (en) 2000-12-18 2000-12-18 Method of copper electroplating

Country Status (1)

Country Link
US (1) US6432821B1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6723219B2 (en) * 2001-08-27 2004-04-20 Micron Technology, Inc. Method of direct electroplating on a low conductivity material, and electroplated metal deposited therewith
US20040229462A1 (en) * 2003-05-16 2004-11-18 Gracias David H. Method to reduce the copper line roughness for increased electrical conductivity of narrow interconnects (<100nm)
US20050061683A1 (en) * 2003-09-22 2005-03-24 Semitool, Inc. Thiourea-and cyanide-free bath and process for electrolytic etching of gold
US20050092616A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Baths, methods, and tools for superconformal deposition of conductive materials other than copper
US20050218523A1 (en) * 2004-03-30 2005-10-06 Dubin Valery M Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20060049056A1 (en) * 2002-04-12 2006-03-09 Acm Research, Inc. Electropolishing and electroplating methods
US20070173061A1 (en) * 2005-12-28 2007-07-26 Hong Ji H Copper metal interconnection with a local barrier metal layer
DE10314502B4 (en) * 2003-03-31 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Process for the electrolytic coating of a semiconductor structure
EP3029178A1 (en) * 2014-12-05 2016-06-08 ATOTECH Deutschland GmbH Method and apparatus for electroplating a metal onto a substrate
CN105814679A (en) * 2013-06-28 2016-07-27 英特尔公司 Preservation of fine pitch redistribution lines
CN112091398A (en) * 2020-08-24 2020-12-18 辽宁蓝煜新材料有限公司 Preparation method of high-voltage switch bracket
CN113423874A (en) * 2018-12-28 2021-09-21 盛美半导体设备(上海)股份有限公司 Electroplating device and electroplating method

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
WO2001090446A2 (en) 2000-05-23 2001-11-29 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2004536217A (en) * 2000-10-03 2004-12-02 アプライド マテリアルズ インコーポレイテッド Method and related apparatus for tilting a semiconductor substrate upon entry for metal deposition
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP4000796B2 (en) * 2001-08-08 2007-10-31 株式会社豊田自動織機 Via hole copper plating method
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6736953B1 (en) * 2001-09-28 2004-05-18 Lsi Logic Corporation High frequency electrochemical deposition
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20030188975A1 (en) * 2002-04-05 2003-10-09 Nielsen Thomas D. Copper anode for semiconductor interconnects
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10223957B4 (en) * 2002-05-31 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale An improved method of electroplating copper on a patterned dielectric layer
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7001641B2 (en) * 2002-09-23 2006-02-21 Intel Corporation Seed layer treatment
US20040084318A1 (en) * 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040094511A1 (en) * 2002-11-20 2004-05-20 International Business Machines Corporation Method of forming planar Cu interconnects without chemical mechanical polishing
US20040140219A1 (en) * 2003-01-21 2004-07-22 Texas Instruments Incorporated System and method for pulse current plating
US7195700B2 (en) * 2003-01-30 2007-03-27 Novellus Systems, Inc. Method of electroplating copper layers with flat topography
TW200422443A (en) * 2003-02-18 2004-11-01 Applied Materials Inc Method for immersing a substrate
US20040188265A1 (en) * 2003-03-25 2004-09-30 Yang Cao Methods for reducing protrusions and within die thickness variations on plated thin film
US20040196697A1 (en) * 2003-04-03 2004-10-07 Ted Ko Method of improving surface mobility before electroplating
JP2004315889A (en) * 2003-04-16 2004-11-11 Ebara Corp Method for plating semiconductor substrate
US6884335B2 (en) * 2003-05-20 2005-04-26 Novellus Systems, Inc. Electroplating using DC current interruption and variable rotation rate
US20040256240A1 (en) * 2003-06-20 2004-12-23 Nelsen David C. System and process to control electroplating a metal onto a substrate
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20050045485A1 (en) * 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Ltd. Method to improve copper electrochemical deposition
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7879218B1 (en) 2003-12-18 2011-02-01 Novellus Systems, Inc. Deposit morphology of electroplated copper
DE102004021926A1 (en) * 2004-05-04 2005-12-01 Mtu Aero Engines Gmbh A method of making a coating and anode for use in such a method
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
SG120200A1 (en) 2004-08-27 2006-03-28 Micron Technology Inc Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
KR20070089975A (en) * 2004-11-30 2007-09-04 이 아이 듀폰 디 네모아 앤드 캄파니 Membrane-limited selective electroplating of a conductive surface
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
WO2006102318A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060226014A1 (en) * 2005-04-11 2006-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method and process for improved uniformity of electrochemical plating films produced in semiconductor device processing
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US20070045120A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Methods and apparatus for filling features in microfeature workpieces
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070170066A1 (en) * 2006-01-06 2007-07-26 Beaudry Christopher L Method for planarization during plating
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007262486A (en) * 2006-03-28 2007-10-11 Ebara Corp Method and apparatus for plating substrate
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7504272B2 (en) * 2006-11-06 2009-03-17 Stanley Electric Co., Ltd. Method for producing color-converting light-emitting device using electrophoresis
US20080113508A1 (en) * 2006-11-13 2008-05-15 Akolkar Rohan N Method of fabricating metal interconnects using a sacrificial layer to protect seed layer prior to gap fill
US20090038947A1 (en) * 2007-08-07 2009-02-12 Emat Technology, Llc. Electroplating aqueous solution and method of making and using same
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7905994B2 (en) 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
US9797057B2 (en) * 2009-08-24 2017-10-24 Empire Technology Development Llc Magnetic electro-plating
US8309382B2 (en) * 2009-10-29 2012-11-13 Advantest America, Inc. Multi material secondary metallization scheme in MEMS fabrication
CN102054759B (en) * 2009-11-10 2015-10-14 中芯国际集成电路制造(上海)有限公司 The formation method of copper interconnection structure
TWI487815B (en) * 2010-01-27 2015-06-11 Ebara Corp Plating method and plating apparatus
JP6161863B2 (en) * 2010-12-28 2017-07-12 株式会社荏原製作所 Electroplating method
CN103492617B (en) * 2011-01-26 2017-04-19 恩索恩公司 Process for filling vias in the microelectronics
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
JP3191759B2 (en) * 1998-02-20 2001-07-23 日本電気株式会社 Method for manufacturing semiconductor device
US6340633B1 (en) * 1999-03-26 2002-01-22 Advanced Micro Devices, Inc. Method for ramped current density plating of semiconductor vias and trenches
US6297157B1 (en) * 1999-11-01 2001-10-02 Advanced Micro Devices, Inc. Time ramped method for plating of high aspect ratio semiconductor vias and channels

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723219B2 (en) * 2001-08-27 2004-04-20 Micron Technology, Inc. Method of direct electroplating on a low conductivity material, and electroplated metal deposited therewith
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US20060049056A1 (en) * 2002-04-12 2006-03-09 Acm Research, Inc. Electropolishing and electroplating methods
DE10314502B4 (en) * 2003-03-31 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Process for the electrolytic coating of a semiconductor structure
US7268075B2 (en) * 2003-05-16 2007-09-11 Intel Corporation Method to reduce the copper line roughness for increased electrical conductivity of narrow interconnects (<100nm)
US20040229462A1 (en) * 2003-05-16 2004-11-18 Gracias David H. Method to reduce the copper line roughness for increased electrical conductivity of narrow interconnects (<100nm)
US20050061683A1 (en) * 2003-09-22 2005-03-24 Semitool, Inc. Thiourea-and cyanide-free bath and process for electrolytic etching of gold
US7150820B2 (en) 2003-09-22 2006-12-19 Semitool, Inc. Thiourea- and cyanide-free bath and process for electrolytic etching of gold
US20050092616A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Baths, methods, and tools for superconformal deposition of conductive materials other than copper
US7300860B2 (en) 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20050218523A1 (en) * 2004-03-30 2005-10-06 Dubin Valery M Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20070173061A1 (en) * 2005-12-28 2007-07-26 Hong Ji H Copper metal interconnection with a local barrier metal layer
US7589021B2 (en) * 2005-12-28 2009-09-15 Dongbu Hitek Co., Ltd. Copper metal interconnection with a local barrier metal layer
CN105814679A (en) * 2013-06-28 2016-07-27 英特尔公司 Preservation of fine pitch redistribution lines
EP3029178A1 (en) * 2014-12-05 2016-06-08 ATOTECH Deutschland GmbH Method and apparatus for electroplating a metal onto a substrate
WO2016087507A1 (en) * 2014-12-05 2016-06-09 Atotech Deutschland Gmbh Method and apparatus for electroplating a metal onto a substrate
CN107109677A (en) * 2014-12-05 2017-08-29 埃托特克德国有限公司 For electroplating the method and apparatus of metal on substrate
US10501860B2 (en) 2014-12-05 2019-12-10 Atotech Deutschland Gmbh Method and apparatus for electroplating a metal onto a substrate
US11015257B2 (en) 2014-12-05 2021-05-25 Atotech Deutschland Gmbh Method and apparatus for electroplating a metal onto a substrate
CN113423874A (en) * 2018-12-28 2021-09-21 盛美半导体设备(上海)股份有限公司 Electroplating device and electroplating method
CN112091398A (en) * 2020-08-24 2020-12-18 辽宁蓝煜新材料有限公司 Preparation method of high-voltage switch bracket

Also Published As

Publication number Publication date
US6432821B1 (en) 2002-08-13

Similar Documents

Publication Publication Date Title
US6432821B1 (en) Method of copper electroplating
US7144805B2 (en) Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density
US6290833B1 (en) Method for electrolytically depositing copper on a semiconductor workpiece
US6197181B1 (en) Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
US20120279864A1 (en) Process for electroplating metals into microscopic recessed features
WO2002045142A2 (en) Copper alloy interconnections for integrated circuits and methods of making same
US8785321B2 (en) Low resistance and reliable copper interconnects by variable doping
US7195700B2 (en) Method of electroplating copper layers with flat topography
KR100664870B1 (en) Low-regisistivity copper metal line and method for forming the same
KR100559041B1 (en) Method of forming a copper wiring in a semiconductor device
US20050095854A1 (en) Methods for depositing high yield and low defect density conductive films in damascene structures
KR100389101B1 (en) Process for plating metal in submicron structures, process for forming a semiconductor device structure using the same and semiconductor device structures
EP1125007B1 (en) Submicron metallization using electrochemical deposition
KR100363847B1 (en) Method of forming a metal wiring in a semiconductor device
US20050224358A1 (en) Method for improved local planarity control during electropolishing
KR100456259B1 (en) Method of forming a copper wiring in a semiconductor device
KR20040086706A (en) Method of manufacturing inductor in a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DUBIN, VALERY M.;JENTZ, DAVE W.;COLLAZO-DAVILA, CHRISTOPHER;REEL/FRAME:011787/0775;SIGNING DATES FROM 20010426 TO 20010430

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
AS Assignment

Owner name: SONY CORPORATION OF AMERICA, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:032893/0199

Effective date: 20140402

FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11