US20020064951A1 - Treatment of low-k dielectric films to enable patterning of deep submicron features - Google Patents

Treatment of low-k dielectric films to enable patterning of deep submicron features Download PDF

Info

Publication number
US20020064951A1
US20020064951A1 US09/997,775 US99777501A US2002064951A1 US 20020064951 A1 US20020064951 A1 US 20020064951A1 US 99777501 A US99777501 A US 99777501A US 2002064951 A1 US2002064951 A1 US 2002064951A1
Authority
US
United States
Prior art keywords
low
imd
resist pattern
forming
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/997,775
Inventor
Mona Eissa
Guoqiang Xing
Kenneth Brennan
Hyesook Hong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US09/997,775 priority Critical patent/US20020064951A1/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EISSA, MONA M., HONG, HYESOOK, XING, GUOQIANG, BRENNAN, KENNETH D.
Priority to US10/143,314 priority patent/US6605536B2/en
Publication of US20020064951A1 publication Critical patent/US20020064951A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Definitions

  • the invention is generally related to the field of forming interconnect layers in a semiconductor device and more specifically to patterning low-k dielectric films.
  • Suitable copper etches for a semiconductor fabrication environment are not readily available.
  • damascene processes have been developed.
  • the IMD is formed first.
  • the IMD is then patterned and etched to form a trench for the interconnect line. If connection vias have not already been formed, a dual damascene process may be used.
  • a dual damascene process after the trench is formed in the IMD, a via is etched in the ILD for connection to lower interconnect levels.
  • a barrier layer and a copper seed layer are then deposited over the structure.
  • the barrier layer is typically tantalum nitride or some other binary transition metal nitride.
  • the copper layer is electrochemically deposited (ECD) using the seed layer over the entire structure.
  • ECD electrochemically deposited
  • CMP'd chemically-mechanically polished
  • resist poisoning occurs during a patterning step such as via pattern or trench pattern. It is a result of the interaction between a DUV (deep ultra-violet) resist and low-k films. Resist poisoning causes poor resist sidewall profiles, resist scumming, large CD variations, and requires a large resist exposure dose. Furthermore, the required pattern energy to achieve the target CD becomes too high and varies with film aging. A process to reduce or eliminate resist poisoning in low-k dielectrics is therefore desired.
  • the invention is a treatment for low-k films that improves patterning.
  • the surface of the low-k film is oxidized using a highly oxidizing wet solution.
  • Example wet solutions include H 2 SO 4 :H 2 O 2 , HNO 3 :H 2 O 2 , H 2 O 2 :H 2 O, and O 3 :H 2 O.
  • the wet solution affects the surface of the film rendering patterning possible without disturbing the bulk properties of the low-k film.
  • Wet treatment can be performed at various places in the semiconductor fabrication process with or without the presence of resist.
  • An advantage of the invention is providing a treatment to reduce resist poisoning of low-k dielectric films.
  • FIGS. 1 A- 1 F are cross-sectional diagrams of a process for forming metal interconnects according to the embodiments of the invention.
  • the interlevel dielectrics IMD 104 and ILD 102 of FIG. 1A are patterned and etched to form trenches in IMD 104 and vias in ILD 102 .
  • IMD 104 and ILD 102 of FIG. 1A an interaction between the resist pattern and the low-k material causes resist poisoning.
  • the cause of resist poisoning is believed to be the interaction of between the DUV resist and nitrogen from the low-k films.
  • Possible sources of nitrogen include: the low-k film, the silicon nitride cap (if used), N 2 in the clean (ash) process, N 2 in the etch chemistry, use of a silicon-nitride etchstop layer, and the resist itself.
  • Example chemistries for the highly oxidizing wet solution include H 2 SO 4 : H 2 O 2 , HNO 3 :H 2 O 2 , H 2 O 2 :H 2 O, and O 3 :H 2 O.
  • H 2 SO 4 :H 2 O 2 is used at a ratio on the order of 4 : 1 at a temperature on the order of 120° C. and a duration of around 30 minutes. WOULD THE WAFERS TYPICALLY BE SET IN A BATH OF THE WET SOLUTION?
  • the above treatment using a highly oxidizing wet solution is beneficial at a variety of places in a metal interconnect process.
  • the embodiments described below provide examples of where the wet treatment may be performed to reduce or eliminate resist poisoning. These embodiments may be combined to further reduce or eliminate resist poisoning.
  • a semiconductor body 100 is processed through formation of the ILD 102 /IMD 104 .
  • Semiconductor body 100 typically comprises a silicon substrate having transistors and other elements formed therein.
  • IMD 104 is the dielectric for a copper interconnect level 114 .
  • Copper interconnect level 114 may be the first or any subsequent metal interconnect level of the semiconductor device 120 .
  • ILD 102 is formed over semiconductor body 100 .
  • IMD 104 is formed over ILD 102 .
  • An etchstop layer (not shown) may optionally be placed between ILD 102 and IMD 104 .
  • ILD 102 and IMD 104 comprise low-k or ultra low-k dielectrics, such as organo-silicate glass (OSG). WHAT OTHER CLASSES OF DIELECTRIC MAY BE USED?
  • OSG organo-silicate glass
  • ILD 102 and IMD 104 comprise the same material. However, ILD 102 and IMD 104 may alternatively comprise different materials.
  • a capping layer (not shown) may be formed over IMD 104 if desired. Typically, the capping layer comprises silicon nitride. Alternatively, a TEOS (tetraethyoxtsilane) capping layer or no capping layer may be used.
  • the wet treatment (using a highly oxidizing wet solution 136 as described above) is performed prior to forming the via pattern 130 .
  • This oxidizes the top monolayers of the IMD 104 .
  • the via pattern 130 is formed as shown in FIG. 1B.
  • the wet pre-treatment reduces resist poisoning at the via pattern level.
  • the wet treatment (using a highly oxidizing wet solution) may be used to rework via pattern 130 .
  • the wet treatment both strips the resist pattern and oxidizes the top monolayers of IMD 104 .
  • the via 106 is then etched through IMD 104 and ILD 102 , as shown in FIG. 1C.
  • a post etch clean is then used to remove via pattern 106 . WOULD A N2/H2 ASH BE USED HERE? THE PATENT COMMITTEE COMMENTED THAT THE H2O2 SHOULD NOT BE USED WITH THE VIAS OPENED.
  • vias 106 may be partially or completely filled.
  • a BARC material may be deposited over the structure and etched back such that BARC material remains only in the vias 106 .
  • the exposed surfaces of IMD 104 may be pre-treated with the highly oxidizing wet solution prior to forming the trench pattern.
  • Pre-treatment prior to forming the trench pattern eliminates or reduces resist poisoning at the trench pattern level.
  • a trench pattern 132 may be formed over IMD 104 , as shown in FIG. 1D. If rework of the trench pattern 132 is desired, the wet treatment may be used to rework the trench pattern 132 , according to a fifth embodiment of the invention. The wet treatment functions to strip the trench pattern and reduce resist poisoning at the trench pattern level.
  • a trench 108 is etched in IMD 104 , as shown in FIG. 1 E. Copper interconnect structures will subsequently be formed in trench 108 . Trench pattern 132 is then removed. WOULD A N2/H2 ASH BE USED FOR THIS?
  • Barrier layer 110 is deposited over IMD 104 including in trench 108 and via 106 .
  • Barrier layer 110 functions to prevent copper diffusion into the ILD and IMD layers. Suitable barrier materials such as Ta/TaN are known in the art.
  • a seed layer is deposited over barrier layer 110 .
  • Electrochemical deposition may then be used to deposit copper layer 124 .
  • Various copper ECD processes are known in the art. In one example, a 3-step process is used. After placing the wafer in the plating solution, a current of approximately 0.75 Amps is passed through the seed layer for a time on the order of 15 secs. The current is then increased to around 3 Amps for approximately 60 seconds. Final plating occurs at a current of about 7.5 Amps with the duration determined by the final desired thickness. A quick spin-rinse dry (SRD) is performed in the plating cell above the plating solution. The wafer is then transferred to the SRD cell and a post-ECD SRD is used to clean the plating residue.
  • SRD quick spin-rinse dry
  • the copper 124 and barrier 110 are chemically mechanically polished (CMP) to remove the material from above IMD 104 .
  • CMP chemically mechanically polished
  • FIG. 1 F Processing may then continue to form additional metal interconnect levels and package the device.

Abstract

Treating a low-k dielectric layer (104) using a highly oxidizing wet solution (e.g., H2O2) to improve patterning. Resist poisoning occurs due to an interaction between low-k films (104), such as OSG, and DUV resist (130,132). The wet treatment is performed to either pre-treat a low-k dielectric (104) before forming the pattern (130,132) or during a rework of the pattern (130,132) to reduce resist poisoning.

Description

    FIELD OF THE INVENTION
  • The invention is generally related to the field of forming interconnect layers in a semiconductor device and more specifically to patterning low-k dielectric films. [0001]
  • BACKGROUND OF THE INVENTION
  • As the density of semiconductor devices increases, the demands on interconnect layers for connecting the semiconductor devices to each other also increases. Therefore, there is a desire to switch from the traditional aluminum metal interconnects to copper interconnects and from traditional silicon-dioxide-based dielectrics to low-k dielectrics, such as organo-silicate glass (OSG). Semiconductor fabrication processes for working with the copper interconnects and newer low-k dielectrics are still needed. [0002]
  • Suitable copper etches for a semiconductor fabrication environment are not readily available. To overcome the copper etch problem, damascene processes have been developed. In a damascene process, the IMD is formed first. The IMD is then patterned and etched to form a trench for the interconnect line. If connection vias have not already been formed, a dual damascene process may be used. In a dual damascene process, after the trench is formed in the IMD, a via is etched in the ILD for connection to lower interconnect levels. A barrier layer and a copper seed layer are then deposited over the structure. The barrier layer is typically tantalum nitride or some other binary transition metal nitride. The copper layer is electrochemically deposited (ECD) using the seed layer over the entire structure. The copper is then chemically-mechanically polished (CMP'd) to remove the copper from over the IMD, leaving copper interconnect lines and vias. A metal etch is thereby avoided. [0003]
  • When low-k dielectrics such as OSG are used for the IMD and ILD, a problem known as resist poisoning occurs. Resist poisoning occurs during a patterning step such as via pattern or trench pattern. It is a result of the interaction between a DUV (deep ultra-violet) resist and low-k films. Resist poisoning causes poor resist sidewall profiles, resist scumming, large CD variations, and requires a large resist exposure dose. Furthermore, the required pattern energy to achieve the target CD becomes too high and varies with film aging. A process to reduce or eliminate resist poisoning in low-k dielectrics is therefore desired. [0004]
  • SUMMARY OF THE INVENTION
  • The invention is a treatment for low-k films that improves patterning. The surface of the low-k film is oxidized using a highly oxidizing wet solution. Example wet solutions include H[0005] 2SO4:H2O2, HNO3:H2O2, H2O2:H2O, and O3:H2O. The wet solution affects the surface of the film rendering patterning possible without disturbing the bulk properties of the low-k film. Wet treatment can be performed at various places in the semiconductor fabrication process with or without the presence of resist.
  • An advantage of the invention is providing a treatment to reduce resist poisoning of low-k dielectric films. [0006]
  • This and other advantages will be apparent to those of ordinary skill in the art having reference to the specification in conjunction with the drawings. [0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings: [0008]
  • FIGS. [0009] 1A-1F are cross-sectional diagrams of a process for forming metal interconnects according to the embodiments of the invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The invention will now be described in conjunction with dual damascene copper interconnect process. It will be apparent to those of ordinary skill in the art that the benefits of the invention may be applied generally to patterning of low-k (K<3.5???) and ultra-low-k (K<2.5) films. [0010]
  • In order to form the copper interconnects using a dual damascene process, the interlevel dielectrics (IMD [0011] 104 and ILD 102 of FIG. 1A) are patterned and etched to form trenches in IMD 104 and vias in ILD 102. When low-k or ultra low-k materials are used for the interlevel dielectrics, an interaction between the resist pattern and the low-k material causes resist poisoning. The cause of resist poisoning is believed to be the interaction of between the DUV resist and nitrogen from the low-k films. Possible sources of nitrogen include: the low-k film, the silicon nitride cap (if used), N2 in the clean (ash) process, N2 in the etch chemistry, use of a silicon-nitride etchstop layer, and the resist itself.
  • In order to reduce or eliminate the resist poisoning, a highly oxidizing wet treatment is performed on the surface of the low-k films. Only the top monolayers of the low-k film are affects. HOW DOES OXIDIZING THE TOP MONOLAYERS PREVENT RESIST POISONING?[0012]
  • The bulk properties of the film are unchanged. There is no significant change in the thickness, the refractive index, or the FTIR spectra of the low-k films subjected to a highly oxidizing wet solution according to the invention. Example chemistries for the highly oxidizing wet solution include H[0013] 2SO4: H2O2, HNO3:H2O2, H2O2:H2O, and O3:H2O. In the preferred embodiment, H2SO4:H2O2 is used at a ratio on the order of 4:1 at a temperature on the order of 120° C. and a duration of around 30 minutes. WOULD THE WAFERS TYPICALLY BE SET IN A BATH OF THE WET SOLUTION?
  • The above treatment using a highly oxidizing wet solution is beneficial at a variety of places in a metal interconnect process. The embodiments described below provide examples of where the wet treatment may be performed to reduce or eliminate resist poisoning. These embodiments may be combined to further reduce or eliminate resist poisoning. [0014]
  • Embodiments of the invention will now be discussed with reference to FIGS. [0015] 1A-1F. A semiconductor body 100 is processed through formation of the ILD 102/IMD 104. Semiconductor body 100 typically comprises a silicon substrate having transistors and other elements formed therein. IMD 104 is the dielectric for a copper interconnect level 114. Copper interconnect level 114 may be the first or any subsequent metal interconnect level of the semiconductor device 120.
  • An ILD [0016] 102 is formed over semiconductor body 100. IMD 104 is formed over ILD 102. An etchstop layer (not shown) may optionally be placed between ILD 102 and IMD 104. ILD 102 and IMD 104 comprise low-k or ultra low-k dielectrics, such as organo-silicate glass (OSG). WHAT OTHER CLASSES OF DIELECTRIC MAY BE USED?
  • In the preferred embodiment, ILD [0017] 102 and IMD 104 comprise the same material. However, ILD 102 and IMD 104 may alternatively comprise different materials. A capping layer (not shown) may be formed over IMD 104 if desired. Typically, the capping layer comprises silicon nitride. Alternatively, a TEOS (tetraethyoxtsilane) capping layer or no capping layer may be used.
  • In a first embodiment of the invention the wet treatment (using a highly oxidizing [0018] wet solution 136 as described above) is performed prior to forming the via pattern 130. This oxidizes the top monolayers of the IMD 104. SHOULD I SHOWA SEPARATE SURFACE LAYER AT THE SURFACE OF IMD 104 AFTER THE WET TREATMENT? Then, the via pattern 130 is formed as shown in FIG. 1B. The wet pre-treatment reduces resist poisoning at the via pattern level.
  • It is sometimes necessary to rework a resist pattern. Rework is a process of removing the photoresist and/or BARC material for re-patterning. Pattern rework significantly worsens the resist poisoning problem. Accordingly, in a second embodiment of the invention, the wet treatment (using a highly oxidizing wet solution) may be used to rework via [0019] pattern 130. The wet treatment both strips the resist pattern and oxidizes the top monolayers of IMD 104.
  • After any desired pattern re-work, the via [0020] 106 is then etched through IMD 104 and ILD 102, as shown in FIG. 1C. A post etch clean is then used to remove via pattern 106. WOULD A N2/H2 ASH BE USED HERE? THE PATENT COMMITTEE COMMENTED THAT THE H2O2 SHOULD NOT BE USED WITH THE VIAS OPENED.
  • In order to protect the bottom of [0021] vias 106 during the subsequent trench etch, vias 106 may be partially or completely filled. For example, a BARC material may be deposited over the structure and etched back such that BARC material remains only in the vias 106.
  • In a third embodiment of the invention, the exposed surfaces of IMD [0022] 104 (and ILD 102 if appropriate) may be pre-treated with the highly oxidizing wet solution prior to forming the trench pattern. CAN THE WET TREATMENT BE USED AT THIS POINT? Pre-treatment prior to forming the trench pattern eliminates or reduces resist poisoning at the trench pattern level.
  • After a post etch clean and wet pre-treatment if desired, a [0023] trench pattern 132 may be formed over IMD 104, as shown in FIG. 1D. If rework of the trench pattern 132 is desired, the wet treatment may be used to rework the trench pattern 132, according to a fifth embodiment of the invention. The wet treatment functions to strip the trench pattern and reduce resist poisoning at the trench pattern level.
  • After any desired pattern rework, a trench [0024] 108 is etched in IMD 104, as shown in FIG. 1 E. Copper interconnect structures will subsequently be formed in trench 108. Trench pattern 132 is then removed. WOULD A N2/H2 ASH BE USED FOR THIS?
  • [0025] Barrier layer 110 is deposited over IMD 104 including in trench 108 and via 106. Barrier layer 110 functions to prevent copper diffusion into the ILD and IMD layers. Suitable barrier materials such as Ta/TaN are known in the art. A seed layer is deposited over barrier layer 110.
  • Electrochemical deposition (ECD) may then be used to deposit [0026] copper layer 124. Various copper ECD processes are known in the art. In one example, a 3-step process is used. After placing the wafer in the plating solution, a current of approximately 0.75 Amps is passed through the seed layer for a time on the order of 15 secs. The current is then increased to around 3 Amps for approximately 60 seconds. Final plating occurs at a current of about 7.5 Amps with the duration determined by the final desired thickness. A quick spin-rinse dry (SRD) is performed in the plating cell above the plating solution. The wafer is then transferred to the SRD cell and a post-ECD SRD is used to clean the plating residue.
  • After copper ECD, the [0027] copper 124 and barrier 110 are chemically mechanically polished (CMP) to remove the material from above IMD 104. The resulting structure is shown in FIG. 1 F. Processing may then continue to form additional metal interconnect levels and package the device.
  • While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments. [0028]

Claims (14)

1. A method for fabricating an integrated circuit, comprising the steps of:
forming a low-k dielectric layer over a semiconductor body;
treating a surface of said low-k dielectric layer with a highly oxidizing wet solution;
forming a resist pattern over said low-k dielectric layer; and
etching said low-k dielectric layer using said resist pattern.
2. The method of claim 1, wherein said highly oxidizing wet solution comprises H2O2.
3. The method of claim 1, wherein said highly oxidizing wet solution is selected from the group consisting of H2SO4:H2O2, HNO3:H2O2, H2O2:H2O, and O3:H2O.
4. The method of claim 1, wherein said low-k dielectric layer comprises organo-silicate glass.
5. The method of claim 1, wherein said low-k dielectric layer comprises an ultralow-k dielectric layer having a dielectric constant less than 2.5.
6. The method of claim 1, wherein said treating step occurs prior to forming said resist pattern.
7. The method of claim 1, wherein said treating step removes said resist pattern as part of a pattern re-work step.
8. A method of fabricating an integrated circuit having copper metal interconnects, comprising the steps of:
forming an interlevel dielectric (ILD) over a semiconductor body;
forming an intrametal dielectric (IMD) over the ILD;
oxidizing said IMD with a highly oxidizing wet solution;
forming a via resist pattern over said IMD;
etching a via in said IMD and ILD using said via resist pattern;
at least partially filling said via with a material;
forming a trench resist pattern over said IMD;
etching a trench in said IMD using said trench resist pattern;
removing said trench resist pattern and said material in said via; and
forming a copper interconnect in said via and said trench.
9. The method of claim 8, wherein said highly oxidizing wet solution comprises H2O2.
10. The method of claim 8, wherein said highly oxidizing wet solution is selected from the group consisting of H2SO4:H2O2, HNO3:H2O2, H2O2:H2O, and O3:H2O.
11. The method of claim 8, wherein said oxidizing step occurs prior to the step of forming the via resist pattern.
12. The method of claim 11, further comprising the step of additionally treating the IMD using a highly oxidizing wet solution after said step of at least partially filling the via and prior to the step of etching the trench.
13. The method of claim 8, wherein said oxidizing step occurs after the step of forming the via resist pattern as part of a pattern re-work step.
14. The method of claim 8, wherein said oxidizing step occurs after said step of at least partially filling the via and prior to the step of etching the trench.
US09/997,775 2000-11-30 2001-11-30 Treatment of low-k dielectric films to enable patterning of deep submicron features Abandoned US20020064951A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/997,775 US20020064951A1 (en) 2000-11-30 2001-11-30 Treatment of low-k dielectric films to enable patterning of deep submicron features
US10/143,314 US6605536B2 (en) 2000-11-30 2002-05-10 Treatment of low-k dielectric films to enable patterning of deep submicron features

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25024100P 2000-11-30 2000-11-30
US09/997,775 US20020064951A1 (en) 2000-11-30 2001-11-30 Treatment of low-k dielectric films to enable patterning of deep submicron features

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/143,314 Continuation US6605536B2 (en) 2000-11-30 2002-05-10 Treatment of low-k dielectric films to enable patterning of deep submicron features

Publications (1)

Publication Number Publication Date
US20020064951A1 true US20020064951A1 (en) 2002-05-30

Family

ID=26940718

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/997,775 Abandoned US20020064951A1 (en) 2000-11-30 2001-11-30 Treatment of low-k dielectric films to enable patterning of deep submicron features
US10/143,314 Expired - Lifetime US6605536B2 (en) 2000-11-30 2002-05-10 Treatment of low-k dielectric films to enable patterning of deep submicron features

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/143,314 Expired - Lifetime US6605536B2 (en) 2000-11-30 2002-05-10 Treatment of low-k dielectric films to enable patterning of deep submicron features

Country Status (1)

Country Link
US (2) US20020064951A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620560B2 (en) * 2000-11-09 2003-09-16 Texax Instruments Incorporated Plasma treatment of low-k dielectric films to improve patterning
US20030237052A1 (en) * 2002-06-20 2003-12-25 Magnus Danielsson Method and an apparatus for styling a web service
US20040121265A1 (en) * 2002-12-23 2004-06-24 Thomas Werner Technique for reducing resist poisoning in forming a metallization layer including a low-k dielectric
US20050093169A1 (en) * 2003-11-05 2005-05-05 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US20110114597A1 (en) * 2004-07-08 2011-05-19 Texas Instruments Incorporated Barrier integration scheme for high-reliability vias
CN102449882A (en) * 2009-05-27 2012-05-09 Abb有限公司 Arrangement for attaching a magnet to a rotor, and a rotor

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861348B2 (en) * 2000-12-14 2005-03-01 Texas Instruments Incorporated Pre-pattern surface modification of low-k dielectrics
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
JP4076131B2 (en) * 2002-06-07 2008-04-16 富士通株式会社 Manufacturing method of semiconductor device
US6674168B1 (en) * 2003-01-21 2004-01-06 International Business Machines Corporation Single and multilevel rework
US7262129B2 (en) * 2004-11-19 2007-08-28 Texas Instruments Incorporated Minimizing resist poisoning in the manufacture of semiconductor devices
KR100641553B1 (en) * 2004-12-23 2006-11-01 동부일렉트로닉스 주식회사 Method for forming pattern of a layer in semiconductor device
US7253097B2 (en) * 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process
JP4567554B2 (en) * 2005-08-31 2010-10-20 パナソニック株式会社 Manufacturing method of semiconductor device
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453401A (en) * 1991-05-01 1995-09-26 Motorola, Inc. Method for reducing corrosion of a metal surface containing at least aluminum and copper
US6187216B1 (en) * 1997-08-27 2001-02-13 Motorola, Inc. Method for etching a dielectric layer over a semiconductor substrate
US6200901B1 (en) * 1998-06-10 2001-03-13 Micron Technology, Inc. Polishing polymer surfaces on non-porous CMP pads
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6417098B1 (en) * 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
US6242344B1 (en) * 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620560B2 (en) * 2000-11-09 2003-09-16 Texax Instruments Incorporated Plasma treatment of low-k dielectric films to improve patterning
US20030237052A1 (en) * 2002-06-20 2003-12-25 Magnus Danielsson Method and an apparatus for styling a web service
US20040121265A1 (en) * 2002-12-23 2004-06-24 Thomas Werner Technique for reducing resist poisoning in forming a metallization layer including a low-k dielectric
US20050093169A1 (en) * 2003-11-05 2005-05-05 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US20110114597A1 (en) * 2004-07-08 2011-05-19 Texas Instruments Incorporated Barrier integration scheme for high-reliability vias
CN102449882A (en) * 2009-05-27 2012-05-09 Abb有限公司 Arrangement for attaching a magnet to a rotor, and a rotor

Also Published As

Publication number Publication date
US20020127876A1 (en) 2002-09-12
US6605536B2 (en) 2003-08-12

Similar Documents

Publication Publication Date Title
US6720247B2 (en) Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6797633B2 (en) In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US7125793B2 (en) Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US6245663B1 (en) IC interconnect structures and methods for making same
US6583046B1 (en) Post-treatment of low-k dielectric for prevention of photoresist poisoning
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US8101524B2 (en) Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US20020064951A1 (en) Treatment of low-k dielectric films to enable patterning of deep submicron features
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US6534397B1 (en) Pre-treatment of low-k dielectric for prevention of photoresist poisoning
US6232237B1 (en) Method for fabricating semiconductor device
KR20040060112A (en) Method for forming a contact using dual damascene process in semiconductor fabrication
US6713382B1 (en) Vapor treatment for repairing damage of low-k dielectric
JP2005019721A (en) Method for manufacturing semiconductor device
US7253124B2 (en) Process for defect reduction in electrochemical plating
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US6620560B2 (en) Plasma treatment of low-k dielectric films to improve patterning
US7176141B2 (en) Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
US7232748B2 (en) BARC/resist via etchback process
US6861348B2 (en) Pre-pattern surface modification of low-k dielectrics
US7192877B2 (en) Low-K dielectric etch process for dual-damascene structures
EP1235263A2 (en) Gas switching during an etch process to modulate the characteristics of the etch
KR20040101008A (en) Manufacturing method for semiconductor apparatus
US6511904B1 (en) Reverse mask and nitride layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EISSA, MONA M.;XING, GUOQIANG;BRENNAN, KENNETH D.;AND OTHERS;REEL/FRAME:012344/0689;SIGNING DATES FROM 20011017 TO 20011019

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION