US20020039803A1 - Method for CVD process control for enhancing device performance - Google Patents

Method for CVD process control for enhancing device performance Download PDF

Info

Publication number
US20020039803A1
US20020039803A1 US09/974,951 US97495101A US2002039803A1 US 20020039803 A1 US20020039803 A1 US 20020039803A1 US 97495101 A US97495101 A US 97495101A US 2002039803 A1 US2002039803 A1 US 2002039803A1
Authority
US
United States
Prior art keywords
film
species
concentration
substrate
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/974,951
Inventor
Shahab Khandan
Christopher Fulmer
Lori Washington
Herman Diniz
Lance Scudder
Arkadii Samoilov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/974,951 priority Critical patent/US20020039803A1/en
Publication of US20020039803A1 publication Critical patent/US20020039803A1/en
Priority to US10/665,026 priority patent/US6911401B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]

Definitions

  • the invention relates to semiconductor processing techniques, more particularly, to controlling constituents of a film introduced onto a substrate.
  • Typical films include dielectric material films, such as transistor gate oxide or interconnect isolation films, as well as conductive material or semiconducting material films.
  • Interconnect metal films and polysilicon electrode films, respectively, are examples of conductive and semiconducting material films.
  • constituents are often introduced onto a substrate such as a wafer or a structure on a substrate to change the chemical or conductive properties of the substrate or the structure.
  • this type of constituent introduction includes, for example, the deposition of a refractory metal onto an electrode or junction to form a silicide and the deposition of germane onto a substrate to form a silicon germanium junction in a bipolar transistor.
  • the introduction of constituents onto a substrate or structure on a substrate such as described is referred to herein as a subset of film formation.
  • One way to enhance the performance of integrated circuit devices is to improve control of the introduction of the constituents, such as improved control of the introduction of process gas species in deposition introduction.
  • Many wafer process chambers including the EPI Centura system, commercially available from Applied Materials, Inc. of Sunnyvale, Calif., utilize mass flow controllers to introduce process gas species.
  • a mass flow controller functions by permitting a desired flow rate of a gas species based on an input signal to the mass flow controller demanding the Flow rate.
  • the concentration profile of a species constituent within a film deposited on a substrate is then a function of the mass flow rate of species introduced.
  • the relationship between a species concentration profile or gradient introduced into or onto a substrate, for example a wafer, and the mass flow rate of the species introduced is not necessarily linear.
  • mass flow controllers are used to either supply a constant flow rate or a variable flow rate from a first flow set point to a second flow set point over a period of time.
  • One common flow ramp between a first set point and a second set point is a linear flow ramp.
  • a linear ramp does not necessarily produce a desired concentration profile, e.g., a linear profile, of the species in the introduced film.
  • a graded film is desirable in many situations.
  • the desired graded profile in the film for example germanium concentration profile, may be linear or non-linear.
  • the method to control a mass flow controller to precisely control the amount of flow and produce the desired germanium concentration profile in the junction, whether it is linear or non-linear, is of significant importance.
  • targeting a desired concentration profile, for example a linear profile has generally not proved possible through a linearly increasing or decreasing constant flow introduction of the germanium species by a mass flow controller.
  • a method and system for controlling the introduction of a species of a film comprising the species introduced on a substrate comprises controlling the flow rate of a species according to a determined graded concentration profile of a film introduced on a substrate, and introducing a film on a substrate, the film comprising the species at a first concentration at a first point in the growth of the film and a second concentration different than the first concentration at a second point in the growth of the film.
  • the concentration profile used to control the flow rate is established by experimentally determining a concentration of the species introduced on a substrate for a first plurality of flow rates and determining an introduction rate, e.g., a growth rate, of the species introduced, e.g., grown on a substrate.
  • an introduction rate e.g., a growth rate
  • the invention also offers the ability to control the amount or the thickness of a film formed on a substrate.
  • a bipolar transistor is also disclosed.
  • the bipolar transistor includes a collector layer of a first conductivity type, a base layer of a second conductivity type forming a first junction with the collector layer, and an emitter layer of the first conductivity type forming a second junction with the base layer.
  • An electrode configured to direct carriers through the emitter layer to the base layer and into the collector layer is also included.
  • at least one of the first junction and the second junction is between different semiconductor materials to form at least one heterojunction.
  • the heterojunction has a concentration profile of a semiconductor material such that an electric field changes in an opposite way to that of a mobility change.
  • FIG. 1 schematically illustrates a side view of a portion of a substrate having a film with a graded concentration profile introduced according to an embodiment of the invention.
  • FIG. 2 illustrates the concentration gradient of a germanium species in a film according to an embodiment of the invention.
  • FIG. 3 illustrates a schematic view of an embodiment of a system for introducing a species to a substrate according to the invention.
  • FIG. 4 illustrates a curve fit of the experimentally-determined concentration of germanium of a film introduced according to six discrete germane flow rates and a constant silane flow rate.
  • FIG. 5 illustrates the experimentally-determined growth rate of silicon germanium in a film introduced on a substrate for six discrete germane flow rates and a constant silane flow rate.
  • FIG. 6 illustrates a block diagram for the introduction of a germanium species to a substrate in accordance with an embodiment of the invention.
  • FIG. 7 is a Secondary Ion Mass Spectroscopy (SIMS) profile of an epitaxial silicon-germanium film introduced according to the invention to have a linearly graded profile of germanium.
  • SIMS Secondary Ion Mass Spectroscopy
  • FIG. 8 is the flow rate of germane (GeH 4 ) per unit time to produce the graded profile of FIG. 7.
  • FIG. 9 is a SIMS profile of an epitaxial silicon-germanium film introduced according to the invention to have a concave graded profile of germanium.
  • FIG. 10 is the flow rate of GeH 4 per unit time to produce the graded profile of FIG. 9.
  • FIG. 11 schematically illustrates a heterojunction bipolar transistor formed according to an embodiment of the invention.
  • a method and a system for the controlled introduction of a species to a substrate are disclosed.
  • the method includes controlling the flow rate of a species into a chamber according to determined concentration and introduction rate profiles to introduce (e.g., deposit) a film on a substrate in the chamber.
  • the determined concentration and introduction rate profiles may be established through experimental data related to a concentration of a species in a formed film according to a plurality of selected flow rates of the species constituent (hereinafter “species”) into the chamber. This information is utilized to adjust the introduction rate of a species per unit time to form a film having a desired concentration profile as well as a desired thickness.
  • FIG. 1 shows a side view of a portion of a semiconductor substrate having a silicon germanium (Si 1-x Ge x ) epitaxially-introduced film thereon.
  • Structure 10 includes substrate 25 that is, for example, a silicon semiconductor wafer with Si 1-x Ge x film 30 introduced on a surface thereof.
  • Si 1-x Ge x film 30 in this embodiment, has a graded concentration profile of germanium (Ge), represented by concentration points 35 and 40 .
  • Concentration points 35 and 40 represent, for example, two of many concentration points.
  • the concentration profile from concentration point 35 to concentration point 40 is desired to be linear with the highest concentration of Ge present at concentration point 40 and the lowest concentration at concentration point 35 .
  • the concentration profile of Ge in Si 1-x Ge x film 30 varies linearly from a concentration of approximately zero percent Ge at concentration point 35 to a concentration of 20 percent Ge at concentration point 40 .
  • FIG. 2 graphically represents the concentration profile of germanium in Si 1-x Ge x film 30 of FIG. 1.
  • the concentration profile is measured from the surface of the film (represented by concentration point 35 ) to the silicon-Si 1-x Ge x film interface (represented by concentration point 40 ).
  • the film thickness is measured from the surface of film 30 to the interface of film 30 and substrate 25 .
  • the concentration profile varies in a linear fashion through the film. It is to be appreciated that the invention method and system is capable of producing a variety of concentration profiles, including non-linear profiles such as profile 210 and profile 220 in FIG. 2.
  • FIG. 3 is an example of a process environment utilizing a system of the invention to introduce a species to form a film such as film 30 on substrate 25 of FIG. 1.
  • an EPI Centura system commercially available from Applied Materials, Inc. of Sunnyvale, Calif., modified according to the invention is described. It is to be appreciated that the system is not limited to an EPI Centura system but can be accommodated in other systems, particularly where a mass flow controller is utilized to introduce a species into a reaction chamber.
  • a Si 1-x Ge x chemical vapor deposition (CVD) film formation process is also described. Similarly, it is to be appreciated that the invention is not limited to CVD Si 1-x Ge x film formation systems but will apply to other systems and methods, particularly where a species is introduced into a chamber to form a film.
  • CVD chemical vapor deposition
  • the system includes chamber 100 that accommodates substrate 25 , such as a semiconductor wafer, for processing.
  • substrate 25 is seated on stage 125 that is, in one embodiment, a susceptor plate.
  • Heating lamps 102 and 104 are used to heat substrate 25 .
  • Processor 110 controls the temperature and pressure inside chamber 100 .
  • the temperature is measured via, for example, pyrometers 106 and 108 coupled to the chamber.
  • the pressure may be monitored by one or more pressure sensors, such as BARATRON® pressure sensors, commercially available from MKS Instruments of Andover, Massachusetts, and regulated by a pressure control value.
  • pyrometer 106 and pyrometer 108 are coupled to processor 110 through signal line 115 .
  • Processor 110 uses received information about the substrate temperature to control heat lamps 102 and 104 .
  • the one or more pressure sensors are coupled to processor 110 through signal line 135 .
  • Processor 110 uses received information about the chamber pressure to control the pressure through, for example, controlling a vacuum source and a pressure control value coupled to the chamber.
  • Processor 110 also controls the entry of constituents into chamber 100 .
  • the system includes at least two source gases 120 and 130 coupled to manifold 105 .
  • Processor 110 controls the introduction of each of source gas 120 and source gas 130 , as desired, through manifold 105 and controls the flow of the source gas or gases through mass flow controllers 160 and 162 , respectively.
  • mass flow controller 160 is, for example, a one standard liter per minute (SLM) of silane (SiH 4 ) unit and mass flow controller 162 is a 150 standard cubic centimeters per minute (sccm) of germane (GeH 4 ) unit.
  • Processor 110 also controls the introduction of a process gas (source gas 180 ), such as for example, nitrogen (N 2 ) or hydrogen (H 2 ), through mass flow controller 168 as known in the art.
  • a process gas such as for example, nitrogen (N 2 ) or hydrogen (H 2 )
  • mass flow controller is, for example, a unit commercially available from UNIT Instruments, Inc. of Yorba Linda, Calif.
  • processor 110 controls the introduction of a source gas to form a Si 1-x Ge x film on substrate 25 , such as Si 1-x Ge x film 30 in FIG. 1.
  • Source gas 120 is, for example, the constituent silane (SiH 4 ) and source gas 130 is, for example, the constituent germane (GeH 4 ).
  • one goal is to introduce a film having a concentration gradient of the species germanium (Ge) through the thickness of the Si 1-x Ge x film. Still further, this gradient is desired, in one embodiment, to be linear between a concentration of Ge at a surface of the film (concentration point 35 of FIG. 1) of zero percent and a maximum at an interface between the silicon wafer and the film (concentration point 40 of FIG. 1).
  • mass flow controllers such as mass flow controller 160 and mass flow controller 162
  • concentration change of a species such as Ge over a thickness of a film may be accomplished at the mass flow controller by changing the flow rate of the source gas into chamber 100 .
  • this flow rate change is generally linear.
  • a linear flow rate change for example, from higher to lower mass flow and thus lower introduction amount of species, does not necessarily produce a linear concentration gradient of the species in the formed film. This is particularly the case with the constituent GeH 4 , where a linear increase or decrease of flow rate does not generally result in a linear change in concentration of the species constituent Ge in the formed film.
  • a concentration profile of Ge in a formed film generally more closely resembles the convex profile represented by dashed line 210 .
  • a concentration profile such as represented by line 200 may be obtained by controlling mass flow controller 162 to introduce source gas 130 at a non-linear rate.
  • a method is presented wherein a desired concentration profile of the species, including the linear concentration profile illustrated in FIG. 2 (line 200 ), is produced.
  • experimental determinations of the concentration of a species such as Ge is measured in a film formed according to a plurality of flow rates of the constituent GeH 4 through mass flow controller 162 on a sacrificial wafer.
  • the concentration of Ge in a film formed on a wafer is measured for six discrete flow rates of GeH 4 through mass flow controller 162 .
  • Each experimental measurement corresponds to a single unit of film introduced on a wafer by introducing a constant flow rate of GeH 4 through mass flow controller 162 .
  • a single experimental measurement is obtained from a film on a single wafer by placing a sacrificial wafer in chamber 100 and the reaction conditions of the chamber established.
  • a film is formed at a chamber pressure of 100 Torr and a temperature of 680° C.
  • process gas is introduced in chamber 100 according to the following flow rate recipe: Source Gas Constituent Flow Rate 120 SiH 4 1 SLM 130 GeH 4 varied 180 H 2 30 SLM
  • a Gauss-Jurdan numerical algorithm is used to calculate the coefficients of a third order polynomial that best fits the six experimental measurements.
  • This method of curve fitting is known as the Least Square Fit (LSF) method of curve fit.
  • LSF Least Square Fit
  • the Gauss-Jurdan numerical algorithm is not the only method to calculate coefficients of an LSF polynomial.
  • the LSF method as well as the Gauss-Jurdan method are not limited to six data points but may be used, for example, with as few as three data points or more than six data points.
  • the flow rate of GeH 4 (e.g., six discrete flow rate measurements) is also measured against the introduction rate, e.g., growth rate, of the Si 1-x Ge x film introduced on the sacrificial wafer. It is to be appreciated that the same six flow rates as utilized in FIG. 4 may be utilized to compare the growth rate of Si 1-x Ge x
  • the experimentally-obtained Si 1-x Ge x growth rates are measured from the same film grown on the same six sacrificial wafers used to measure Ge concentration.
  • FIG. 5 shows a plot of GeH 4 flow rate versus Si 1-x Ge x growth rate and a curve fit through the plotted points. The same numerical method of LSF is used to determine the best curve fit to the experimentally-obtained growth rate measurements.
  • the coefficients of the third order polynomial are calculated using, for example, the Gauss-Jurdan method noted above with respect to FIG. 4.
  • the experimentally-determined data for concentration of a species as a function of flow rate and the experimentally-determined data for growth rate as a function of flow rate is input into processor 110 .
  • a desired Ge concentration profile as a function of the Si 1-x Ge x film thickness is input into processor 110 .
  • Si 1-x Ge x film 30 in FIG. 1 is formed for input germanium concentrations of 20% at point 40 and 0% at point 35 having a linear change in concentration from point 40 to point 35 over a 500 Angstroms Si 1-x Ge x input film thickness identified as film 30 .
  • processor 110 When wafer 10 is placed in processor 110 , processor 110 first calculates the curves of FIGS. 4 and 5 using the six experimentally determined measures for concentration and growth rate. Processor 110 next uses the desired input concentration profile over the desired growth thickness as a guide to calculate the set points for GeH 4 mass flow controller 162 . For a desired Ge concentration, the corresponding GeH 4 flow rate is calculated from FIG. 4. This flow rate is then used to calculate the Si 1-x Ge x growth rate, from FIG. 5. The corresponding growth rate used along with a selected time interval ( ⁇ t) establishes the desired growth thickness of a portion of Si 1-x Ge x film for the time interval.
  • ⁇ t selected time interval
  • the thickness of the Si 1-x Ge x grown within a selected time interval is subtracted from the total desired film thickness to establish the thickness left to be grown.
  • the desired input concentration profile as a function of thickness is used to calculate a corresponding new Si 1-x Ge x concentration value.
  • the above process of using the data from FIGS. 4 and 5 will be repeated to calculate a new thickness of Si 1-x Ge x grown for a second time interval, ⁇ t. This iterative process will continue until the total desired thickness of Si 1-x Ge x is on wafer 10 .
  • a Si 1-x Ge x film has a Ge concentration of 20 percent at the wafer film interface (concentration point 40 ) and zero at the film surface (concentration point 35 ).
  • a linear concentration profile is desired. Given the desired concentration (e.g., 20 percent), the data obtained from FIG. 4 is queried to obtain the desired flow rate of GeH 4 species through mass flow controller 162 (flow as a function of concentration). Once the flow is established, the data collected and represented by FIG. 5 is utilized to calculate a growth rate for the desired flow (growth rate as a function of flow rate).
  • the amount of film 30 introduced on substrate 25 during a time interval of 0.2 seconds may be determined for the desired concentration.
  • concentration in a Si 1-x Ge x film and a film thickness is known.
  • a corresponding concentration is determined for a second time interval.
  • subsequent concentration points along the path of line 200 may be calculated for time intervals, ⁇ t.
  • a time interval of 0.2 seconds is used to control mass flow controller 162 and the corresponding GeH 4 flow rate (FIG. 4) as well as growth rate (FIG. 5) is calculated to obtain a linear profile (line 200 ). The process continues until a desired film thickness of film 30 is formed.
  • Processor 110 contains, in one embodiment, a suitable algorithm to calculate the desired flow rate of a constituent to mass flow controller 162 as a function of concentration.
  • Processor 110 also contains, in this embodiment, a suitable algorithm to calculate a growth rate as a function of flow rate.
  • processor 110 is supplied with software instruction logic that is a computer program stored in a computer-readable medium such as memory in processor 110 .
  • the memory is, for example, a hard disk drive.
  • Additional memory associated with processor 110 stores, among another items, the experimentally determined data of concentration of the constituent species over a desired flow rate spectrum (FIG. 4), and experimentally-determined data related to the growth rate of the constituent species over the desired flow rate spectrum (FIG. 5) as well as the corresponding curve fit algorithms.
  • FIG. 6 shows an illustrative block diagram of the hierarchical structure of system logic according to one embodiment of the invention for forming a film having a desired concentration profile and thickness on a substrate which is a wafer.
  • control logic would constitute a program to be run on processor 110 .
  • a suitable programming language for such a program includes, but is not limited to, C, C + ⁇ , and other languages.
  • the program may be supplied directly on processor 110 or to processor 110 by way of an outside device, such as a computer.
  • processor 110 As a first operation, certain user inputs are supplied to processor 110 and stored in the form of either internal or external memory.
  • the information supplied to processor 110 for the system logic includes experimental data for introduction rate, e.g., concentration of a species such as Ge concentration as a function of mass flow rate (block 310 ), experimental data for growth rate of an introduced film, such as Si 1-x Ge x as a function of mass flow rate (block 320 ), the desired thickness of a film on a substrate, such as a Si 1-x Ge x film on a wafer (block 330 ), and the desired concentration profile of a film formed on a substrate such as a wafer (block 340 ).
  • concentration of a species such as Ge concentration as a function of mass flow rate
  • experimental data for growth rate of an introduced film such as Si 1-x Ge x as a function of mass flow rate
  • the desired thickness of a film on a substrate such as a Si 1-x Ge x film on a wafer
  • the system logic calculates a flow rate of a species, such as GeH 4 , for a value of concentration desired by the user (block 350 ) for a predetermined time interval. System logic is then used to control mass flow controller 162 to regulate the corresponding flow rate of source gas 130 of GeH 4 .
  • a species such as GeH 4
  • the system logic calculates an introduction rate, e.g., a growth rate, of a corresponding film on a substrate, such as a wafer for the calculated flow rate (block 360 ).
  • an amount of film can further be calculated for a given time interval (block 370 ).
  • This information is used by processor 110 to introduce a constituent, such as GeH 4 , through mass flow controller 162 to introduce a film on substrate 25 for a selected time interval.
  • 0.2 seconds is an example of a desired time interval as 0.2 seconds represents the time interval utilized for ramp-up or ramp-down of flow through a mass flow controller, for example, a UNIT mass flow controller used in an EPI Centura system.
  • the system logic determines whether the desired film thickness is achieved by comparing the calculated film thickness with the desired film thickness (block 380 ). If the desired input film thickness with the desired input concentration profile has not been achieved, the system logic of processor 110 calculates a new value for film thickness representing the additional thickness amount needed to obtain the total desired input thickness (block 390 ), and calculates the corresponding desired input concentration value for the newly calculated thickness (block 395 ). Processor 110 returns to block 350 and uses the newly calculated value of desired concentration to calculate a corresponding flow rate. Processor 110 continues this process until a desired film thickness is achieved. Once a desired film thickness is achieved, the system logic discontinues the loop and completes the film formation (block 396 ). It is to be appreciated that calculations of flow rates and film growth may precede the introduction of a constituent into the chamber.
  • a film-forming constituent can be controlled, through control of a mass flow controller, to achieve a desired concentration profile of a species in a film and a desired film thickness introduced on a substrate, such as a wafer.
  • a method of achieving a linear concentration profile is described.
  • FIG. 7 shows a SIMS profile of a epitaxially grown Si 1-x Ge x film on a silicon substrate having a linear concentration profile of Ge introduced according to a method of the invention.
  • the SIMS profile illustrates the atomic profile of Ge from the surface (0 depth) to the interface of the Si 1-x Ge x and the silicon substrate. Thus, the depth represents the depth into the Si 1-x Ge x film.
  • the thickness of the Si 1-x Ge x film is approximately 1000 ⁇ .
  • the concentration at the surface of the film is zero (represented as beginning at a depth of approximately 500 ⁇ to account for a cap on the SIMS system).
  • the concentration profile through the film is linear to a Ge concentration of 16 percent at the Si 1-x Ge x /silicon interface.
  • FIG. 8 shows a plot of the flow rate of GeH 4 introduced to produce the linear Ge profile illustrated in FIG. 7.
  • FIG. 8 illustrates that a linear concentration profile is formed but the flow rate of GeH 4 that produced the profile is varied in a non-linear fashion.
  • the principles of the invention are not limited to a method and system for introducing a film having a linear concentration profile of a species constituent, but are equally applicable to situations where a non-linear concentration profile is desired.
  • a profile such as illustrated by lines 210 and 220 in FIG. 2 or other profile may be desired.
  • the invention provides a technique for controlling a mass flow controller to achieve a desired concentration profile in a film introduced on a substrate.
  • FIG. 9 shows a film profile of epitaxially grown silicon-germanium film on a silicon substrate having a concave concentration profile of Ge formed according to a method of the invention. Similar to FIG. 7, the film's profile illustrates the atomic profile of Ge from the surface (zero depth) to the interface of the Si 1-x Ge x and the silicon substrate. The thickness of the silicon-germanium film is approximately 1000 ⁇ . The concentration profile adapts a concave representation from a Ge concentration of zero percent at the surface of the film to a concentration of 15 percent at the interface.
  • FIG. 10 shows a plot of the flowchart of GeH 4 introduced to produce the profile illustrated in FIG. 9.
  • FIG. 10 illustrates that the concave profile produced in FIG. 9 is not the result of a linear change in the flow rate of GeH 4 .
  • the invention offers a method and system for defining the thickness of a film introduced on a wafer. Accordingly, the invention may be practiced so as to achieve a desired concentration profile of a species, introduced by mass flow meter, having a desired concentration profile and a desired film thickness.
  • HBTs heterojunction bipolar transistors
  • Bipolar transistors are utilized in a variety of applications including as amplifying and switching devices.
  • HBTs generally offer improved performance over traditional bipolar transistors and metal oxide semiconductor (MOS) transistors in high frequency applications, particularly applications approaching 50 gigahertz (gHz).
  • MOS metal oxide semiconductor
  • gHz gigahertz
  • the invention contemplates improved performance of HBTs by utilizing Si 1-x Ge x graded junctions having optimized concentration profiles.
  • FIG. 11 shows a representative example of an HBT according to the invention.
  • HBT 400 includes emitter region 410 , base region 420 and collector region 430 .
  • Emitter-base (E-B) spacer 435 is positioned between emitter region 410 and base region 420 .
  • Base-collection (B-C) spacer 445 is positioned between base region 420 and collector region 430 .
  • HBT 400 is characterized by base region 420 of an epitaxially formed Si 1-x Ge x film as are E-B spacer 435 and B-C spacer 445 .
  • the bipolar transistor in this embodiment, comprises N-type emitter region 410 , P-type base region 420 , and N-type collector region 430 (a NPN transistor).
  • FIG. 11 illustrates the movement of electrons through transistor 400 in response to a voltage applied through electrode 450 .
  • at least E-B spacer 435 will be formed with concentration gradient analagous to that shown in FIG. 9 (i.e., profile).
  • Si 1-x Ge x in an HBT generally enables high-frequency performance.
  • One of the major advantages of Si 1-x Ge x is a smaller energy gap than that of silicon.
  • the energy gap drops from approximately 1.1 electron-volts (eV) in silicon to 1.0 eV for Si 0.8 Ge 0.2 .
  • the lattice constant of Si 1-x Ge x is also larger than the lattice constant in silicon. If the thickness of the Si 1-x Ge x alloy is below a critical value, the mismatch in lattice constant is accommodated elastically, no dislocations are formed, and the Si 1-x Ge x film is strained. Strain lifts the degeneracy of both valence and conduction bands. As a result, the energy gap of strained Si 1-x Ge x decreases even more than unstrained Si 1-x Ge x ,to approximately 0.9 eV for strained Si 0.8 Ge 0.2 .
  • Charge carrier drift mobility, ⁇ , through the base region of an HBT is proportional to the scattering time, ⁇ , and inversely proportional to the effective mass of the carrier, m*:
  • the scattering time diminishes with increasing Ge concentration because of alloy scattering.
  • the effective mass, m* becomes anisotropic between “in-plane” and “perpendicular to the junction” directions of motion because of strain.
  • the effective mass of holes is significantly smaller than Si 1-x Ge x due to valence band offset.
  • the electron mobility is larger than the hole mobility over the majority of the practically useful range of the Ge concentration (i.e., x between 0 and 0.2).
  • the transistor configuration of choice for high-speed applications is generally the NPN transistor because the species travelling from the emitter region to the collector region are electrons.
  • Typical P-type doping levels for Si 1-x Ge x base region 420 of NPN transistor 400 are in the 10 18 cm ⁇ 3 -10 19 cm ⁇ 3 range. In one aspect, this choice is generally determined by the requirement of having fairly low sheet (i.e., in-plane) resistance of base region 420 .
  • the dopant concentration becomes large, two effects are generally thought to occur: first, the carrier perpendicular mobility is significantly reduced. For instance, for electrons, the perpendicular mobility is approximately 250 cm 2 /Vs and 120 cm 2 /Vs for doping levels of 10 18 cm ⁇ 3 and 10 19 cm ⁇ 3 , respectively.
  • carrier mobility, ⁇ is almost x-independent for doping levels in the 10 18 cm ⁇ 3 -10 19 cm ⁇ 3 range.
  • the effects of dopant concentration is presented in detail in the treatise Semiconductors and Semimetals, Vol. 56, “Germanium Silicon: Physics and Materials,” edited by R. Hull and J. C. Bean, in the article by S. A. Ringel and P. N. Grillot, “Electronic Properties and Deep Levels in Ge-Si,” at pages 293-346 (1999).
  • the significant reduction in carrier mobility can be counterweighted by reducing the perpendicular size of the base (to 200 ⁇ -300 ⁇ or thinner) and by the drift electric field (i.e., built-in potential) (see Equation 1).
  • base region 420 generally results in degraded overall performance of devices because of low leakage currents and reduced breakdown voltages.
  • lightly doped spacers at the emitter-base and collector-base regions e.g., E-B spacer 435 and C-B spacer 445 . See Meyerson, B. S., et al., “Silicon: Germanium Heterojunction Bipolar Transistors; from Experiment to Technology,” Selected Topics in Electronics and Systems, Vol. 2, “Current Trends in Heterojunction Bipolar Transistors,” edited by M. F. Chang.
  • E-B spacer 435 and C-B spacer 445 may be intrinsic (i.e., no doping) or lightly N-type doped (e.g., typically below 10 17 cm ⁇ 3 ).
  • lightly doped Si 1-x Ge x the electron perpendicular mobility generally depends on the Ge concentration.
  • the built-in electric field is constant across the junction (Equation 1). This means that passing through the spacers, electrons spend significantly more time in the high Ge concentration regions (where their mobility is lower) than they do in the regions where the Ge concentration is lower.
  • the invention recognizes that the overall transient time can be substantially reduced by creating a Ge concentration profile in such a way that the electric field would be higher in the regions where mobility is lower.
  • the electric field changes in an opposite way to that of the mobility change (i.e., the electric field increases through the heterojunction if carrier mobility decreases and vice versa) to enhance the cut-off frequency of the transistor.
  • the transient time, ⁇ , through E-B spacer 435 is determined for the following two profiles of Ge concentration: Profile A is a linear grade, and Profile B where the electric field is inversely proportional to the electron perpendicular mobility to yield a concave gradient.
  • Profile A is a linear grade
  • Profile B where the electric field is inversely proportional to the electron perpendicular mobility to yield a concave gradient.
  • E-B spacer 435 has a thickness, W, in which the Ge concentration changes from 0 to x 1 .
  • the transient time is given by the integration across base region 420 from 0 to W:
  • the velocity is a function of x which in turn is a function of the distance, l, across base region 420 .
  • ⁇ A (w 2 / ⁇ X 1 2 ) ln( ⁇ 0 / ⁇ 1 ). (7)
  • ⁇ A / ⁇ B [ ( ⁇ 0 / ⁇ -x 1 2 /2)ln( ⁇ 0 / ⁇ 1 ) ]/x 1 . (12)
  • the transient time for a concentration profile according to Profile B is shorter than for the linear profile of Profile A.
  • the electric field is smaller near emitter region 410 and increases towards base region 420 .
  • This change in electric field may be attributed to the band gap changing more slowly near emitter region 410 than it does near base region 420 (see Equation 1).
  • Equation 5 this in turn means that for Profile B, the Ge concentration changes more slowly near emitter region 410 and faster near base region 420 .
  • the Ge profile has a concave curvature (similar to line 220 in FIG. 2) with the Ge concentration being smaller on the emitter side of E-B spacer 435 and increasing to a maximum at the interface between E-B spacer 435 and the base region.
  • a NPN HBT transistor was described having a Si 1-x Ge x base region with an E-B spacer and a B-C spacer.
  • the specific example described the E-B spacer.
  • similar beneficial results may be obtained with a similarly optimized B-C spacer, as well as an optimized base region.
  • hole mobility generally increases with increasing Ge concentration (a behavior opposite to that of the electron mobility). Therefore, in the case of a PNP HBT, a convex profile (similar to line 210 of FIG. 2) of Ge concentration in a spacer will generally result in the shortest transient time and the highest cut-off frequency of PNP HBTs.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Bipolar Transistors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A method and system for controlling the introduction of a species according to a determined concentration profile of a film comprising the species introduced on a substrate. In one aspect, the method comprises controlling the flow rate of a species according to a determined concentration profile of a film introduced on a substrate, and introducing a film on a substrate, the film comprising the species at a first concentration at a first point in the film and a second concentration different than the first concentration at a second point in the film. Also, a bipolar transistor including a collector layer of a first conductivity type, a base layer of a second conductivity type forming a first junction with the collector layer, and an emitter layer of the first conductivity type forming a second junction with the base layer. An electrode configured to direct carriers through the emitter layer to the base layer and into the collector layer is also included. In one embodiment, at least one of the first junction and the second junction is between different semiconductor materials to form at least one heterojunction. The heterojunction has a concentration profile of a semiconductor material such that an electric field changes in an opposite way to that of a mobility change.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The invention relates to semiconductor processing techniques, more particularly, to controlling constituents of a film introduced onto a substrate. [0002]
  • 2. Description of Related Art [0003]
  • In the formation of modern integrated circuit devices, many constituents are introduced to a substrate such as a wafer to form films. Typical films include dielectric material films, such as transistor gate oxide or interconnect isolation films, as well as conductive material or semiconducting material films. Interconnect metal films and polysilicon electrode films, respectively, are examples of conductive and semiconducting material films. [0004]
  • In addition to the above-noted material films, other constituents are often introduced onto a substrate such as a wafer or a structure on a substrate to change the chemical or conductive properties of the substrate or the structure. Examples of this type of constituent introduction includes, for example, the deposition of a refractory metal onto an electrode or junction to form a silicide and the deposition of germane onto a substrate to form a silicon germanium junction in a bipolar transistor. The introduction of constituents onto a substrate or structure on a substrate such as described is referred to herein as a subset of film formation. [0005]
  • One way to enhance the performance of integrated circuit devices is to improve control of the introduction of the constituents, such as improved control of the introduction of process gas species in deposition introduction. Many wafer process chambers, including the EPI Centura system, commercially available from Applied Materials, Inc. of Sunnyvale, Calif., utilize mass flow controllers to introduce process gas species. In general, a mass flow controller functions by permitting a desired flow rate of a gas species based on an input signal to the mass flow controller demanding the Flow rate. The concentration profile of a species constituent within a film deposited on a substrate is then a function of the mass flow rate of species introduced. In general, the relationship between a species concentration profile or gradient introduced into or onto a substrate, for example a wafer, and the mass flow rate of the species introduced is not necessarily linear. [0006]
  • In general, mass flow controllers are used to either supply a constant flow rate or a variable flow rate from a first flow set point to a second flow set point over a period of time. One common flow ramp between a first set point and a second set point is a linear flow ramp. A linear ramp, however, does not necessarily produce a desired concentration profile, e.g., a linear profile, of the species in the introduced film. In the example of a species of germane (GeH[0007] 4) introduced to form a silicon-germanium film, a graded film is desirable in many situations. The desired graded profile in the film, for example germanium concentration profile, may be linear or non-linear. The method to control a mass flow controller to precisely control the amount of flow and produce the desired germanium concentration profile in the junction, whether it is linear or non-linear, is of significant importance. In commercial use, targeting a desired concentration profile, for example a linear profile, has generally not proved possible through a linearly increasing or decreasing constant flow introduction of the germanium species by a mass flow controller.
  • What is needed is a way to control the introduction of a species to form a film having a desired concentration profile of the species in the film. The ability to quantitatively control the introduction of a species through a mass flow controller to form a film with a specific film thickness is also desirable. [0008]
  • SUMMARY OF THE INVENTION
  • A method and system for controlling the introduction of a species of a film comprising the species introduced on a substrate is disclosed. In one aspect, the method comprises controlling the flow rate of a species according to a determined graded concentration profile of a film introduced on a substrate, and introducing a film on a substrate, the film comprising the species at a first concentration at a first point in the growth of the film and a second concentration different than the first concentration at a second point in the growth of the film. In one embodiment, the concentration profile used to control the flow rate is established by experimentally determining a concentration of the species introduced on a substrate for a first plurality of flow rates and determining an introduction rate, e.g., a growth rate, of the species introduced, e.g., grown on a substrate. According to the invention, more accurate control of a species concentration in a formed film can be obtained over prior art methods. The invention also offers the ability to control the amount or the thickness of a film formed on a substrate. [0009]
  • A bipolar transistor is also disclosed. In one embodiment, the bipolar transistor includes a collector layer of a first conductivity type, a base layer of a second conductivity type forming a first junction with the collector layer, and an emitter layer of the first conductivity type forming a second junction with the base layer. An electrode configured to direct carriers through the emitter layer to the base layer and into the collector layer is also included. In this embodiment, at least one of the first junction and the second junction is between different semiconductor materials to form at least one heterojunction. The heterojunction has a concentration profile of a semiconductor material such that an electric field changes in an opposite way to that of a mobility change. [0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically illustrates a side view of a portion of a substrate having a film with a graded concentration profile introduced according to an embodiment of the invention. [0011]
  • FIG. 2 illustrates the concentration gradient of a germanium species in a film according to an embodiment of the invention. [0012]
  • FIG. 3 illustrates a schematic view of an embodiment of a system for introducing a species to a substrate according to the invention. [0013]
  • FIG. 4 illustrates a curve fit of the experimentally-determined concentration of germanium of a film introduced according to six discrete germane flow rates and a constant silane flow rate. [0014]
  • FIG. 5 illustrates the experimentally-determined growth rate of silicon germanium in a film introduced on a substrate for six discrete germane flow rates and a constant silane flow rate. [0015]
  • FIG. 6 illustrates a block diagram for the introduction of a germanium species to a substrate in accordance with an embodiment of the invention. [0016]
  • FIG. 7 is a Secondary Ion Mass Spectroscopy (SIMS) profile of an epitaxial silicon-germanium film introduced according to the invention to have a linearly graded profile of germanium. [0017]
  • FIG. 8 is the flow rate of germane (GeH[0018] 4) per unit time to produce the graded profile of FIG. 7.
  • FIG. 9 is a SIMS profile of an epitaxial silicon-germanium film introduced according to the invention to have a concave graded profile of germanium. [0019]
  • FIG. 10 is the flow rate of GeH[0020] 4 per unit time to produce the graded profile of FIG. 9.
  • FIG. 11 schematically illustrates a heterojunction bipolar transistor formed according to an embodiment of the invention. [0021]
  • DETAILED DESCRIPTION OF THE INVENTION
  • A method and a system for the controlled introduction of a species to a substrate are disclosed. In one embodiment, the method includes controlling the flow rate of a species into a chamber according to determined concentration and introduction rate profiles to introduce (e.g., deposit) a film on a substrate in the chamber. The determined concentration and introduction rate profiles may be established through experimental data related to a concentration of a species in a formed film according to a plurality of selected flow rates of the species constituent (hereinafter “species”) into the chamber. This information is utilized to adjust the introduction rate of a species per unit time to form a film having a desired concentration profile as well as a desired thickness. [0022]
  • FIG. 1 shows a side view of a portion of a semiconductor substrate having a silicon germanium (Si[0023] 1-xGex) epitaxially-introduced film thereon. Structure 10 includes substrate 25 that is, for example, a silicon semiconductor wafer with Si1-xGex film 30 introduced on a surface thereof. Si1-xGex film 30, in this embodiment, has a graded concentration profile of germanium (Ge), represented by concentration points 35 and 40. Concentration points 35 and 40 represent, for example, two of many concentration points. In one example, the concentration profile from concentration point 35 to concentration point 40 is desired to be linear with the highest concentration of Ge present at concentration point 40 and the lowest concentration at concentration point 35. In one example, the concentration profile of Ge in Si1-xGex film 30 varies linearly from a concentration of approximately zero percent Ge at concentration point 35 to a concentration of 20 percent Ge at concentration point 40.
  • FIG. 2 graphically represents the concentration profile of germanium in Si[0024] 1-xGex film 30 of FIG. 1. In this representation, the concentration profile is measured from the surface of the film (represented by concentration point 35) to the silicon-Si1-xGex film interface (represented by concentration point 40). Thus, the film thickness is measured from the surface of film 30 to the interface of film 30 and substrate 25. In one example, denoted by the solid line, the concentration profile varies in a linear fashion through the film. It is to be appreciated that the invention method and system is capable of producing a variety of concentration profiles, including non-linear profiles such as profile 210 and profile 220 in FIG. 2.
  • FIG. 3 is an example of a process environment utilizing a system of the invention to introduce a species to form a film such as film [0025] 30 on substrate 25 of FIG. 1. In this embodiment, an EPI Centura system, commercially available from Applied Materials, Inc. of Sunnyvale, Calif., modified according to the invention is described. It is to be appreciated that the system is not limited to an EPI Centura system but can be accommodated in other systems, particularly where a mass flow controller is utilized to introduce a species into a reaction chamber. A Si1-xGex chemical vapor deposition (CVD) film formation process is also described. Similarly, it is to be appreciated that the invention is not limited to CVD Si1-xGex film formation systems but will apply to other systems and methods, particularly where a species is introduced into a chamber to form a film.
  • Referring to FIG. 3, the system includes [0026] chamber 100 that accommodates substrate 25, such as a semiconductor wafer, for processing. Substrate 25 is seated on stage 125 that is, in one embodiment, a susceptor plate. Heating lamps 102 and 104 are used to heat substrate 25. Processor 110 controls the temperature and pressure inside chamber 100. The temperature is measured via, for example, pyrometers 106 and 108 coupled to the chamber. Similarly, the pressure may be monitored by one or more pressure sensors, such as BARATRON® pressure sensors, commercially available from MKS Instruments of Andover, Massachusetts, and regulated by a pressure control value. In the schematic illustration shown in FIG. 3, pyrometer 106 and pyrometer 108 are coupled to processor 110 through signal line 115. Processor 110 uses received information about the substrate temperature to control heat lamps 102 and 104. The one or more pressure sensors are coupled to processor 110 through signal line 135. Processor 110 uses received information about the chamber pressure to control the pressure through, for example, controlling a vacuum source and a pressure control value coupled to the chamber.
  • [0027] Processor 110 also controls the entry of constituents into chamber 100. In one embodiment, the system includes at least two source gases 120 and 130 coupled to manifold 105. Processor 110 controls the introduction of each of source gas 120 and source gas 130, as desired, through manifold 105 and controls the flow of the source gas or gases through mass flow controllers 160 and 162, respectively. For use in a Si1-xGex film formation process, mass flow controller 160 is, for example, a one standard liter per minute (SLM) of silane (SiH4) unit and mass flow controller 162 is a 150 standard cubic centimeters per minute (sccm) of germane (GeH4) unit. Processor 110 also controls the introduction of a process gas (source gas 180), such as for example, nitrogen (N2) or hydrogen (H2), through mass flow controller 168 as known in the art. Each mass flow controller is, for example, a unit commercially available from UNIT Instruments, Inc. of Yorba Linda, Calif.
  • In one embodiment, [0028] processor 110 controls the introduction of a source gas to form a Si1-xGex film on substrate 25, such as Si1-xGex film 30 in FIG. 1. Source gas 120 is, for example, the constituent silane (SiH4) and source gas 130 is, for example, the constituent germane (GeH4). In this embodiment, one goal is to introduce a film having a concentration gradient of the species germanium (Ge) through the thickness of the Si1-xGex film. Still further, this gradient is desired, in one embodiment, to be linear between a concentration of Ge at a surface of the film (concentration point 35 of FIG. 1) of zero percent and a maximum at an interface between the silicon wafer and the film (concentration point 40 of FIG. 1).
  • In general, mass flow controllers, such as [0029] mass flow controller 160 and mass flow controller 162, can vary (e.g., increase or decrease) the flow rate change of a species introduced into a chamber. The concentration change of a species such as Ge over a thickness of a film may be accomplished at the mass flow controller by changing the flow rate of the source gas into chamber 100. For any measurable control, this flow rate change is generally linear. However, a linear flow rate change, for example, from higher to lower mass flow and thus lower introduction amount of species, does not necessarily produce a linear concentration gradient of the species in the formed film. This is particularly the case with the constituent GeH4, where a linear increase or decrease of flow rate does not generally result in a linear change in concentration of the species constituent Ge in the formed film.
  • Instead, a concentration profile of Ge in a formed film generally more closely resembles the convex profile represented by dashed [0030] line 210. According to the invention, however, a concentration profile such as represented by line 200 may be obtained by controlling mass flow controller 162 to introduce source gas 130 at a non-linear rate.
  • In an embodiment of the invention, a method is presented wherein a desired concentration profile of the species, including the linear concentration profile illustrated in FIG. 2 (line [0031] 200), is produced. According to this method, experimental determinations of the concentration of a species such as Ge is measured in a film formed according to a plurality of flow rates of the constituent GeH4 through mass flow controller 162 on a sacrificial wafer. In one embodiment, the concentration of Ge in a film formed on a wafer is measured for six discrete flow rates of GeH4 through mass flow controller 162. Each experimental measurement corresponds to a single unit of film introduced on a wafer by introducing a constant flow rate of GeH4 through mass flow controller 162. In one embodiment, six concentrations of Ge in six discrete films introduced by six discrete GeH4 flow rates through mass flow controller 162 on six wafers are measured. Each film is analyzed for species Ge concentration through analytical methods such as Secondary Ion Mass Spectroscopy (SIMS), x-ray diffraction, or ellipsometry. The six discrete flow rates are plotted versus the Ge concentration in a corresponding film as illustrated in FIG. 4. In this example, a single experimental measurement is obtained from a film on a single wafer by placing a sacrificial wafer in chamber 100 and the reaction conditions of the chamber established. In one embodiment, a film is formed at a chamber pressure of 100 Torr and a temperature of 680° C. In one example, process gas is introduced in chamber 100 according to the following flow rate recipe:
    Source Gas Constituent Flow Rate
    120 SiH 4  1 SLM
    130 GeH4 varied
    180 H2 30 SLM
  • It is to be appreciated, that other recipes may be utilized to introduce the films on the wafers. Such recipes will generally depend on the desired process parameters. For example, in the introduction of a Si[0032] 1-xGex film, additional constituents such as hydrochloric acid (HCl), may be added to modify the properties of the film. One objective in collecting the experimental data is to mimic the desired process conditions as closely as possible.
  • According to the above recipe, six flow rates of [0033] source gas 130 of the constituent GeH4 are selected between 0 and 300 sccm. It is to be appreciated that GeH4 flow rates higher than 300 sccm can be selected. One limit of GeH4 flow may be considered as one beyond which the Ge concentration in the introduced film will not further increase for an increase in the GeH4 flow rate. A corresponding concentration of Ge is measured in a film formed on the sacrificial wafer. Once the data is collected, a curve is established through a curve fit algorithm such as a Gauss-Jurdan algorithm. FIG. 4 illustrates the curve fit for six points. In one example, a Gauss-Jurdan numerical algorithm is used to calculate the coefficients of a third order polynomial that best fits the six experimental measurements. This method of curve fitting is known as the Least Square Fit (LSF) method of curve fit. It is to be appreciated that the Gauss-Jurdan numerical algorithm is not the only method to calculate coefficients of an LSF polynomial. Similarly, the LSF method as well as the Gauss-Jurdan method are not limited to six data points but may be used, for example, with as few as three data points or more than six data points.
  • According to an embodiment of the invention, the flow rate of GeH[0034] 4 (e.g., six discrete flow rate measurements) is also measured against the introduction rate, e.g., growth rate, of the Si1-xGex film introduced on the sacrificial wafer. It is to be appreciated that the same six flow rates as utilized in FIG. 4 may be utilized to compare the growth rate of Si1-xGex In one embodiment, the experimentally-obtained Si1-xGex growth rates are measured from the same film grown on the same six sacrificial wafers used to measure Ge concentration. FIG. 5 shows a plot of GeH4 flow rate versus Si1-xGex growth rate and a curve fit through the plotted points. The same numerical method of LSF is used to determine the best curve fit to the experimentally-obtained growth rate measurements. The coefficients of the third order polynomial are calculated using, for example, the Gauss-Jurdan method noted above with respect to FIG. 4.
  • The experimentally-determined data for concentration of a species as a function of flow rate and the experimentally-determined data for growth rate as a function of flow rate is input into [0035] processor 110. Also, a desired Ge concentration profile as a function of the Si1-xGex film thickness is input into processor 110. For example, Si1-xGex film 30 in FIG. 1 is formed for input germanium concentrations of 20% at point 40 and 0% at point 35 having a linear change in concentration from point 40 to point 35 over a 500 Angstroms Si1-xGex input film thickness identified as film 30.
  • When [0036] wafer 10 is placed in processor 110, processor 110 first calculates the curves of FIGS. 4 and 5 using the six experimentally determined measures for concentration and growth rate. Processor 110 next uses the desired input concentration profile over the desired growth thickness as a guide to calculate the set points for GeH4 mass flow controller 162. For a desired Ge concentration, the corresponding GeH4 flow rate is calculated from FIG. 4. This flow rate is then used to calculate the Si1-xGex growth rate, from FIG. 5. The corresponding growth rate used along with a selected time interval (Δt) establishes the desired growth thickness of a portion of Si1-xGex film for the time interval. The thickness of the Si1-xGex grown within a selected time interval is subtracted from the total desired film thickness to establish the thickness left to be grown. Using the new thickness that yet needs to be grown, the desired input concentration profile as a function of thickness is used to calculate a corresponding new Si1-xGex concentration value. Using the new concentration value, the above process of using the data from FIGS. 4 and 5 will be repeated to calculate a new thickness of Si1-xGex grown for a second time interval, Δt. This iterative process will continue until the total desired thickness of Si1-xGex is on wafer 10.
  • In one example, a Si[0037] 1-xGex film has a Ge concentration of 20 percent at the wafer film interface (concentration point 40) and zero at the film surface (concentration point 35). In this example, a linear concentration profile is desired. Given the desired concentration (e.g., 20 percent), the data obtained from FIG. 4 is queried to obtain the desired flow rate of GeH4 species through mass flow controller 162 (flow as a function of concentration). Once the flow is established, the data collected and represented by FIG. 5 is utilized to calculate a growth rate for the desired flow (growth rate as a function of flow rate). For a predetermined time interval (e.g., 0.2 seconds), the amount of film 30 introduced on substrate 25 during a time interval of 0.2 seconds may be determined for the desired concentration. Thus, by using the experimental data and a predetermined time interval, the concentration in a Si1-xGex film and a film thickness is known.
  • In the example where a linear variance in concentration is desired, such as illustrated by [0038] line 200 in FIG. 2, a corresponding concentration is determined for a second time interval. Thus, in reference to FIG. 2, knowing the concentration profile as a function of film thickness and starting from a desired concentration point of film 30, subsequent concentration points along the path of line 200 may be calculated for time intervals, Δt. In one embodiment, a time interval of 0.2 seconds is used to control mass flow controller 162 and the corresponding GeH4 flow rate (FIG. 4) as well as growth rate (FIG. 5) is calculated to obtain a linear profile (line 200). The process continues until a desired film thickness of film 30 is formed.
  • [0039] Processor 110 contains, in one embodiment, a suitable algorithm to calculate the desired flow rate of a constituent to mass flow controller 162 as a function of concentration. Processor 110 also contains, in this embodiment, a suitable algorithm to calculate a growth rate as a function of flow rate. For example, processor 110 is supplied with software instruction logic that is a computer program stored in a computer-readable medium such as memory in processor 110. The memory is, for example, a hard disk drive. Additional memory associated with processor 110 stores, among another items, the experimentally determined data of concentration of the constituent species over a desired flow rate spectrum (FIG. 4), and experimentally-determined data related to the growth rate of the constituent species over the desired flow rate spectrum (FIG. 5) as well as the corresponding curve fit algorithms.
  • FIG. 6 shows an illustrative block diagram of the hierarchical structure of system logic according to one embodiment of the invention for forming a film having a desired concentration profile and thickness on a substrate which is a wafer. Such control logic would constitute a program to be run on [0040] processor 110. A suitable programming language for such a program includes, but is not limited to, C, C+−, and other languages. The program may be supplied directly on processor 110 or to processor 110 by way of an outside device, such as a computer.
  • As a first operation, certain user inputs are supplied to [0041] processor 110 and stored in the form of either internal or external memory. The information supplied to processor 110 for the system logic includes experimental data for introduction rate, e.g., concentration of a species such as Ge concentration as a function of mass flow rate (block 310), experimental data for growth rate of an introduced film, such as Si1-xGex as a function of mass flow rate (block 320), the desired thickness of a film on a substrate, such as a Si1-xGex film on a wafer (block 330), and the desired concentration profile of a film formed on a substrate such as a wafer (block 340).
  • Once the above-described data is supplied to [0042] processor 110, the system logic calculates a flow rate of a species, such as GeH4, for a value of concentration desired by the user (block 350) for a predetermined time interval. System logic is then used to control mass flow controller 162 to regulate the corresponding flow rate of source gas 130 of GeH4.
  • In addition to calculating a corresponding flow rate of a species for a desired concentration, the system logic calculates an introduction rate, e.g., a growth rate, of a corresponding film on a substrate, such as a wafer for the calculated flow rate (block [0043] 360). For a calculated growth rate of film, an amount of film can further be calculated for a given time interval (block 370). This information is used by processor 110 to introduce a constituent, such as GeH4, through mass flow controller 162 to introduce a film on substrate 25 for a selected time interval. 0.2 seconds is an example of a desired time interval as 0.2 seconds represents the time interval utilized for ramp-up or ramp-down of flow through a mass flow controller, for example, a UNIT mass flow controller used in an EPI Centura system.
  • Once a constituent is introduced into [0044] chamber 100 to form a portion of film 30 according to the method described herein for a predetermined time interval, the system logic determines whether the desired film thickness is achieved by comparing the calculated film thickness with the desired film thickness (block 380). If the desired input film thickness with the desired input concentration profile has not been achieved, the system logic of processor 110 calculates a new value for film thickness representing the additional thickness amount needed to obtain the total desired input thickness (block 390), and calculates the corresponding desired input concentration value for the newly calculated thickness (block 395). Processor 110 returns to block 350 and uses the newly calculated value of desired concentration to calculate a corresponding flow rate. Processor 110 continues this process until a desired film thickness is achieved. Once a desired film thickness is achieved, the system logic discontinues the loop and completes the film formation (block 396). It is to be appreciated that calculations of flow rates and film growth may precede the introduction of a constituent into the chamber.
  • According to the method and system described, a film-forming constituent can be controlled, through control of a mass flow controller, to achieve a desired concentration profile of a species in a film and a desired film thickness introduced on a substrate, such as a wafer. In the above embodiment, a method of achieving a linear concentration profile is described. FIG. 7 shows a SIMS profile of a epitaxially grown Si[0045] 1-xGex film on a silicon substrate having a linear concentration profile of Ge introduced according to a method of the invention. The SIMS profile illustrates the atomic profile of Ge from the surface (0 depth) to the interface of the Si1-xGex and the silicon substrate. Thus, the depth represents the depth into the Si1-xGex film.
  • In FIG. 7, the thickness of the Si[0046] 1-xGex film is approximately 1000Å. The concentration at the surface of the film is zero (represented as beginning at a depth of approximately 500Å to account for a cap on the SIMS system). The concentration profile through the film is linear to a Ge concentration of 16 percent at the Si1-xGex /silicon interface.
  • FIG. 8 shows a plot of the flow rate of GeH[0047] 4 introduced to produce the linear Ge profile illustrated in FIG. 7. FIG. 8 illustrates that a linear concentration profile is formed but the flow rate of GeH4 that produced the profile is varied in a non-linear fashion.
  • It is to be appreciated that the principles of the invention are not limited to a method and system for introducing a film having a linear concentration profile of a species constituent, but are equally applicable to situations where a non-linear concentration profile is desired. For example, a profile such as illustrated by [0048] lines 210 and 220 in FIG. 2 or other profile may be desired. In one aspect, the invention provides a technique for controlling a mass flow controller to achieve a desired concentration profile in a film introduced on a substrate.
  • FIG. 9 shows a film profile of epitaxially grown silicon-germanium film on a silicon substrate having a concave concentration profile of Ge formed according to a method of the invention. Similar to FIG. 7, the film's profile illustrates the atomic profile of Ge from the surface (zero depth) to the interface of the Si[0049] 1-xGex and the silicon substrate. The thickness of the silicon-germanium film is approximately 1000Å. The concentration profile adapts a concave representation from a Ge concentration of zero percent at the surface of the film to a concentration of 15 percent at the interface.
  • FIG. 10 shows a plot of the flowchart of GeH[0050] 4 introduced to produce the profile illustrated in FIG. 9. FIG. 10 illustrates that the concave profile produced in FIG. 9 is not the result of a linear change in the flow rate of GeH4.
  • In addition to providing the ability to establish a desired concentration profile of a film introduced on a substrate, such as a wafer, the invention offers a method and system for defining the thickness of a film introduced on a wafer. Accordingly, the invention may be practiced so as to achieve a desired concentration profile of a species, introduced by mass flow meter, having a desired concentration profile and a desired film thickness. [0051]
  • One application of controlling the introduction of constituents onto a semiconductor substrate to yield a graded film is in the formation of heterojunction bipolar transistors (HBTs). Bipolar transistors are utilized in a variety of applications including as amplifying and switching devices. HBTs generally offer improved performance over traditional bipolar transistors and metal oxide semiconductor (MOS) transistors in high frequency applications, particularly applications approaching 50 gigahertz (gHz). As higher frequency applications (e.g., 50 gHz or greater) become desirable, a need for improved HBTs exist. The invention contemplates improved performance of HBTs by utilizing Si[0052] 1-xGex graded junctions having optimized concentration profiles.
  • FIG. 11 shows a representative example of an HBT according to the invention. [0053] HBT 400 includes emitter region 410, base region 420 and collector region 430. Emitter-base (E-B) spacer 435 is positioned between emitter region 410 and base region 420. Base-collection (B-C) spacer 445 is positioned between base region 420 and collector region 430. HBT 400 is characterized by base region 420 of an epitaxially formed Si1-xGex film as are E-B spacer 435 and B-C spacer 445. The bipolar transistor, in this embodiment, comprises N-type emitter region 410, P-type base region 420, and N-type collector region 430 (a NPN transistor). FIG. 11 illustrates the movement of electrons through transistor 400 in response to a voltage applied through electrode 450. In one example that follows, at least E-B spacer 435 will be formed with concentration gradient analagous to that shown in FIG. 9 (i.e., profile).
  • The use of Si[0054] 1-xGex in an HBT generally enables high-frequency performance. One of the major advantages of Si1-xGex is a smaller energy gap than that of silicon. In unstrained bulk Si1-xGex the energy gap drops from approximately 1.1 electron-volts (eV) in silicon to 1.0 eV for Si0.8Ge0.2. The lattice constant of Si1-xGex is also larger than the lattice constant in silicon. If the thickness of the Si1-xGex alloy is below a critical value, the mismatch in lattice constant is accommodated elastically, no dislocations are formed, and the Si1-xGex film is strained. Strain lifts the degeneracy of both valence and conduction bands. As a result, the energy gap of strained Si1-xGex decreases even more than unstrained Si1-xGex,to approximately 0.9 eV for strained Si0.8Ge0.2.
  • The change in the energy gap in strained Si[0055] 1-xGex film, Δ, allows for a fast transmit of charge carriers in the base region (e.g., base region 420) of HBTs under the action of the drift electric field, E:
  • E=−dΔ/d1,  (1)
  • where 1 is the distance across the base region. For example, the band gap reduction of 0.2 eV across a 500Å base region, translates to a drift electric field of 40 kV/cm. [0056]
  • Charge carrier drift mobility, μ, through the base region of an HBT is proportional to the scattering time, τ, and inversely proportional to the effective mass of the carrier, m*:[0057]
  • μ˜τ/m*.  (2)
  • The scattering time diminishes with increasing Ge concentration because of alloy scattering. The effective mass, m*, becomes anisotropic between “in-plane” and “perpendicular to the junction” directions of motion because of strain. For the perpendicular direction, the effective mass of holes is significantly smaller than Si[0058] 1-xGex due to valence band offset. The resulting hole mobility augments with the increase of x, from 450 cm2/Vs for x=0 to 1000 cm2/Vs for x=0.2 in Si1-xGex with low dopant (i.e., P-type, N-type) concentration. The opposite trend takes place for electrons: their perpendicular mobility drops from 14000 cm2/Vs for x=0 to 750 cm2/Vs for x=0.2. Despite the decrease in the electron mobility with increasing x, the electron mobility is larger than the hole mobility over the majority of the practically useful range of the Ge concentration (i.e., x between 0 and 0.2). Accordingly, the transistor configuration of choice for high-speed applications is generally the NPN transistor because the species travelling from the emitter region to the collector region are electrons.
  • Typical P-type doping levels for Si[0059] 1-xGex base region 420 of NPN transistor 400 are in the 1018 cm−3-1019 cm−3 range. In one aspect, this choice is generally determined by the requirement of having fairly low sheet (i.e., in-plane) resistance of base region 420. When the dopant concentration becomes large, two effects are generally thought to occur: first, the carrier perpendicular mobility is significantly reduced. For instance, for electrons, the perpendicular mobility is approximately 250 cm2/Vs and 120 cm2/Vs for doping levels of 1018 cm−3 and 1019 cm−3, respectively. Second, the mobility dependence on the Ge concentration is reduced: carrier mobility, μ, is almost x-independent for doping levels in the 1018 cm−3-1019 cm−3 range. The effects of dopant concentration is presented in detail in the treatise Semiconductors and Semimetals, Vol. 56, “Germanium Silicon: Physics and Materials,” edited by R. Hull and J. C. Bean, in the article by S. A. Ringel and P. N. Grillot, “Electronic Properties and Deep Levels in Ge-Si,” at pages 293-346 (1999). The significant reduction in carrier mobility can be counterweighted by reducing the perpendicular size of the base (to 200Å-300Å or thinner) and by the drift electric field (i.e., built-in potential) (see Equation 1).
  • The small size of [0060] base region 420 generally results in degraded overall performance of devices because of low leakage currents and reduced breakdown voltages. In order to overcome this problem, it has been suggested to use lightly doped spacers at the emitter-base and collector-base regions, e.g., E-B spacer 435 and C-B spacer 445. See Meyerson, B. S., et al., “Silicon: Germanium Heterojunction Bipolar Transistors; from Experiment to Technology,” Selected Topics in Electronics and Systems, Vol. 2, “Current Trends in Heterojunction Bipolar Transistors,” edited by M. F. Chang. E-B spacer 435 and C-B spacer 445 may be intrinsic (i.e., no doping) or lightly N-type doped (e.g., typically below 1017cm−3). As for lightly doped Si1-xGex the electron perpendicular mobility generally depends on the Ge concentration.
  • If the band energy gap changes linearly, the built-in electric field is constant across the junction (Equation 1). This means that passing through the spacers, electrons spend significantly more time in the high Ge concentration regions (where their mobility is lower) than they do in the regions where the Ge concentration is lower. The invention recognizes that the overall transient time can be substantially reduced by creating a Ge concentration profile in such a way that the electric field would be higher in the regions where mobility is lower. In other words, the electric field changes in an opposite way to that of the mobility change (i.e., the electric field increases through the heterojunction if carrier mobility decreases and vice versa) to enhance the cut-off frequency of the transistor. [0061]
  • In one example, the transient time, τ, through [0062] E-B spacer 435 is determined for the following two profiles of Ge concentration: Profile A is a linear grade, and Profile B where the electric field is inversely proportional to the electron perpendicular mobility to yield a concave gradient. As a simplification, a linear relationship between the electron drift velocity, v, and the electric field is assumed:
  • v−μE.  (3)
  • In this example, [0063] E-B spacer 435 has a thickness, W, in which the Ge concentration changes from 0 to x1. The corresponding changes in the band gap and in the mobility are from Δ0=1.1 eV to Δ1 and from μ0=14000 cm2/Vs to μ1. The transient time is given by the integration across base region 420 from 0 to W:
  • τ=∫dl/v[x(l)].  (4)
  • Note that the velocity is a function of x which in turn is a function of the distance, l, across [0064] base region 420.
  • Literature data on the band gap and mobility for x=0-0.2 can be closely approximated by the following linear relations:[0065]
  • Δ=Δ0−αx, μ=μ0−βx,  (5)
  • respectively, with α=1 eV and β=3250 cm[0066] 2/Vs. For Profile A, the electric field is constant: E=αx1/W, mobility is μ=μ0−(βx1/W) 1, and Equation 4 is reduced to
  • τ=(W/ax1)∫dl/(μ0−β*l )  (6)
  • with β*=βx[0067] 1/W. Integrating Equation 6, the transient time of electron carriers through E-B spacer 435 for Profile A becomes:
  • τA=(w2/αβX1 2) ln(μ01).  (7)
  • For Profile B, the velocity is constant: v=v[0068] 0, and therefore
  • τB=W/V0.  (8)
  • From Eqs. 1 and 5, we have for the electric field:[0069]
  • E=αdx/dl.  (9)
  • Using Eqs. 3, 5 and 9, we obtain:[0070]
  • αdx/d1(μ0−βx)=v0.  (10)
  • After integration Equation [0071] 9 and substitution for the transient time of electron carriers through E-B spacer 435 in Profile B becomes:
  • τB=W2/(αμ0x1−αβx1 2 /2).  (11)
  • From Eqs. [0072] 9 and 11, we finally have:
  • τAB=[ (μ0/β-x1 2 /2)ln(μ0 1) ]/x1.  (12)
  • Substituting data for x[0073] 1=0.15, Equation 12 becomes: τAB =l.5.
  • According to the above analysis, the transient time for a concentration profile according to Profile B is shorter than for the linear profile of Profile A. For Profile B, the electric field is smaller [0074] near emitter region 410 and increases towards base region 420. This change in electric field may be attributed to the band gap changing more slowly near emitter region 410 than it does near base region 420 (see Equation 1). According to Equation 5, this in turn means that for Profile B, the Ge concentration changes more slowly near emitter region 410 and faster near base region 420. In other words, moving across E-B spacer 435, the Ge profile has a concave curvature (similar to line 220 in FIG. 2) with the Ge concentration being smaller on the emitter side of E-B spacer 435 and increasing to a maximum at the interface between E-B spacer 435 and the base region.
  • In the above example, a NPN HBT transistor was described having a Si[0075] 1-xGex base region with an E-B spacer and a B-C spacer. The specific example described the E-B spacer. It is to be appreciated that similar beneficial results may be obtained with a similarly optimized B-C spacer, as well as an optimized base region. It is also to be appreciated that hole mobility generally increases with increasing Ge concentration (a behavior opposite to that of the electron mobility). Therefore, in the case of a PNP HBT, a convex profile (similar to line 210 of FIG. 2) of Ge concentration in a spacer will generally result in the shortest transient time and the highest cut-off frequency of PNP HBTs.
  • In the preceding detailed description, the invention is described with reference to specific embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the invention as set forth in the claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense. [0076]

Claims (22)

What is claimed is:
1. A method comprising:
controlling the flow rate of a species according to a determined concentration profile of a film comprising the species introduced on a substrate; and
introducing a film on a substrate, the film comprising the species at a first concentration at a first point in the film and a second concentration different than the first concentration at a second point in the film.
2. The method of claim 1, wherein determining the concentration profile comprises:
determining a concentration of the species introduced on a substrate for a first plurality of flow rates;
determining a growth rate of the species grown on a substrate for a second plurality of flow rates; and
determining a concentration profile of the species for a unit of time.
3. The method of claim 1, wherein the introduced film comprises a thickness, the method further comprising:
controlling the flow rate to introduce the film at a graded concentration of the species throughout the thickness of the film.
4. The method of claim 3, wherein the flow rate is controlled so that the graded concentration of the species comprises a linear gradient.
5. The method of claim 1, wherein controlling the flow rate comprises controlling the mass flow rate of the species.
6. The method of claim 1, wherein the introduction of the film on a substrate comprises introducing the species and growing the film on the substrate.
7. A machine readable medium comprising executable program instructions that when executed cause a digital processing system to perform a method comprising:
controlling the flow rate of a species according to a determined concentration profile of a film comprising the species introduced on a substrate, the film comprising the species at a first concentration at a first point in the film and a second concentration different than the first concentration at a second point in the film.
8. The medium of claim 7, wherein determining the concentration profile comprises:
determining the concentration profile of the species for a unit of time according to data stored in a memory comprising:
determining a concentration of the species introduced on a substrate for a first plurality of flow rates;
determining a growth rate of the species grown on a substrate for a second plurality of flow rates.
9. The medium of claim 7, wherein the instructions of the medium further comprise:
controlling the flow rate to introduce a film at a graded concentration of the species throughout a thickness of the film.
10. The medium of claim 9, wherein the flow rate is controlled so that the graded concentration of the species comprises a linear gradient.
11. The medium of claim 7, wherein controlling the flow rate comprises controlling the mass flow rate of the species.
12. The medium of claim 7, wherein the introduction of the film on a substrate comprises introducing the species and growing the film on the substrate.
13. A system for growing a film on a substrate comprising:
a chamber;
a species source comprising a species, the species source coupled to the chamber to introduce the species into the chamber;
a mass flow meter coupled to the species source; and
a processor coupled to the species source comprising a machine readable medium comprising executable program instructions that when executed cause the processor to perform a method comprising:
controlling the introduction of the species into the chamber according to a determined concentration gradient of a film comprising the species introduced on a substrate to introduce a film on a substrate, the film comprising the species at a first concentration at a first point in the film and a second concentration different than the first concentration at a second point in the film.
14. The system of claim 13, wherein the medium further comprises instructions that when executed cause the processor to perform a method comprising:
determining the concentration profile of the species for a unit of time according to data comprising:
determining a concentration of the species introduced on a substrate for a first plurality of flow rates;
determining a growth rate of the species grown on a substrate for a second plurality of flow rates.
15. The system of claim 14, wherein the data relating to the concentration of the species and the growth rate are stored in the processor.
16. The medium of claim 14, wherein the introduced film comprises a thickness, the instructions of the medium further comprise:
controlling the flow rate to introduce a film at a graded concentration of the species throughout a thickness of the film.
17. The medium of claim 16, wherein the flow rate is controlled so that the graded concentration of the species comprises a linear gradient.
18. A bipolar transistor comprising:
a collector layer of a first conductivity type; a base layer of a second conductivity type forming a first junction with said collector layer; and
an emitter layer of the first conductivity type forming a second junction with said base layer;
an electrode configured to direct carriers through the emitter layer to the base layer and into the collector layer,
wherein at least one of the first junction and the seconds junction is between different semiconductor materials to form at least one heterojunction,
wherein the heterojunction has a concentration profile of a semiconductor material such that an electric field changes in an opposite way to that of a mobility.
19. The bipolar transistor of claim 18, wherein the base layer comprises Si1-xGex, where x is less than or equal to 1.
20. The bipolar transistor of claim 19, wherein the conductivity type of the base layer is defined by a first dopant concentration and wherein the base layer comprises a first spacer region between the emitter layer and the base layer and a second spacer region between the base layer and the collector layer, each spacer defined by a dopant concentration less than the first dopant concentration.
21. The bipolar transistor of claim 20, wherein the concentration profile of the semiconductor material of the heterojunction comprises the concentration profile of at least one of the first spacer region and the second spacer region.
22. The bipolar transistor of claim 21, wherein the conductivity type of the collector layer is N-type, the conductivity type of the base layer is P-type and the conductivity type of the emitter type is N-type.
US09/974,951 1999-12-03 2001-10-10 Method for CVD process control for enhancing device performance Abandoned US20020039803A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/974,951 US20020039803A1 (en) 1999-12-03 2001-10-10 Method for CVD process control for enhancing device performance
US10/665,026 US6911401B2 (en) 1999-12-03 2003-09-16 Method for CVD process control for enhancing device performance

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/454,423 US6342453B1 (en) 1999-12-03 1999-12-03 Method for CVD process control for enhancing device performance
US09/974,951 US20020039803A1 (en) 1999-12-03 2001-10-10 Method for CVD process control for enhancing device performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/454,423 Division US6342453B1 (en) 1999-12-03 1999-12-03 Method for CVD process control for enhancing device performance

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/665,026 Division US6911401B2 (en) 1999-12-03 2003-09-16 Method for CVD process control for enhancing device performance

Publications (1)

Publication Number Publication Date
US20020039803A1 true US20020039803A1 (en) 2002-04-04

Family

ID=23804545

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/454,423 Expired - Lifetime US6342453B1 (en) 1999-12-03 1999-12-03 Method for CVD process control for enhancing device performance
US09/974,951 Abandoned US20020039803A1 (en) 1999-12-03 2001-10-10 Method for CVD process control for enhancing device performance
US10/665,026 Expired - Lifetime US6911401B2 (en) 1999-12-03 2003-09-16 Method for CVD process control for enhancing device performance

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/454,423 Expired - Lifetime US6342453B1 (en) 1999-12-03 1999-12-03 Method for CVD process control for enhancing device performance

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/665,026 Expired - Lifetime US6911401B2 (en) 1999-12-03 2003-09-16 Method for CVD process control for enhancing device performance

Country Status (1)

Country Link
US (3) US6342453B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020094681A1 (en) * 2001-01-16 2002-07-18 Armbrust Douglas S. In-situ monitoring of chemical vapor deposition process by mass spectrometry

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
JP3546169B2 (en) * 2000-05-26 2004-07-21 三菱重工業株式会社 Semiconductor device and manufacturing method thereof
US6514886B1 (en) * 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TW200705553A (en) * 2005-06-28 2007-02-01 Nxp Bv Doping profile improvement of in-situ doped n-type emitters
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
JPS5183473A (en) 1975-01-20 1976-07-22 Hitachi Ltd Fujunbutsuno doopinguhoho
JPS51143583A (en) 1975-06-06 1976-12-09 Hitachi Ltd Method for regulating gas-phase chemical reaction
JPS55158623A (en) 1979-05-29 1980-12-10 Hitachi Ltd Method of controlling semiconductor vapor phase growth
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
JPS63500918A (en) 1985-09-16 1988-04-07 エアー・プロダクツ・アンド・ケミカルズ・インコーポレーテツド vacuum steam transmission control
US4859277A (en) * 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
JPH0784662B2 (en) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 Chemical vapor deposition method and apparatus
US5098741A (en) 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5254369A (en) * 1991-04-17 1993-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a silicon diffusion and/or overlay coating on the surface of a metallic substrate by chemical vapor deposition
US5695819A (en) * 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
ATE171732T1 (en) 1992-07-02 1998-10-15 Balzers Hochvakuum METHOD FOR PRODUCING A METAL OXIDE LAYER, VACUUM TREATMENT SYSTEM THEREFOR AND PART COATED WITH AT LEAST ONE METAL OXIDE LAYER
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
JP3590416B2 (en) 1993-11-29 2004-11-17 アネルバ株式会社 Thin film forming method and thin film forming apparatus
US5520969A (en) 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
JP3116331B2 (en) 1994-03-03 2000-12-11 住友電気工業株式会社 Monitoring apparatus, monitoring method and manufacturing method for carbon coated optical fiber
US5451260A (en) 1994-04-15 1995-09-19 Cornell Research Foundation, Inc. Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle
JP3401121B2 (en) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 Rotary coating device for substrates
US5666325A (en) 1995-07-31 1997-09-09 Nordson Corporation Method and apparatus for monitoring and controlling the dispensing of materials onto a substrate
US5631845A (en) 1995-10-10 1997-05-20 Ford Motor Company Method and system for controlling phosphate bath constituents
JP3254997B2 (en) 1995-12-25 2002-02-12 ソニー株式会社 Plasma CVD method and semiconductor device having metal film formed thereby
JP2748917B2 (en) * 1996-03-22 1998-05-13 日本電気株式会社 Semiconductor device
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020094681A1 (en) * 2001-01-16 2002-07-18 Armbrust Douglas S. In-situ monitoring of chemical vapor deposition process by mass spectrometry
US7094614B2 (en) * 2001-01-16 2006-08-22 International Business Machines Corporation In-situ monitoring of chemical vapor deposition process by mass spectrometry

Also Published As

Publication number Publication date
US6342453B1 (en) 2002-01-29
US20040133361A1 (en) 2004-07-08
US6911401B2 (en) 2005-06-28

Similar Documents

Publication Publication Date Title
US6342453B1 (en) Method for CVD process control for enhancing device performance
US6153920A (en) Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
JP3083802B2 (en) Intermittent "delta-like" doping in Si and SiGe films by UHV-CVD
KR101020014B1 (en) MOBILITY ENHANCEMENT IN SiGe HETEROJUNCTION BIPOLAR TRANSISTORS
JPH0744189B2 (en) In-situ doped n-type silicon layer deposition method and NPN transistor
US5387545A (en) Impurity diffusion method
US7115895B2 (en) Formation of high-mobility silicon—germanium structures by low-energy plasma enhanced chemical vapor deposition
US20070148890A1 (en) Oxygen enhanced metastable silicon germanium film layer
JP5018473B2 (en) Manufacturing method of semiconductor device
Loo et al. Avoiding loading effects and facet growth: Key parameters for a successful implementation of selective epitaxial SiGe deposition for HBT-BiCMOS and high-mobility hetero-channel pMOS devices
US20110180848A1 (en) HIGH PERFORMANCE SiGe:C HBT WITH PHOSPHOROUS ATOMIC LAYER DOPING
US6949474B2 (en) Method of manufacturing a semiconductor device and a semiconductor manufacture system
US7485538B1 (en) High performance SiGe HBT with arsenic atomic layer doping
Liao et al. High‐Temperature and High‐Electron Mobility Metal‐Oxide‐Semiconductor Field‐Effect Transistors Based on N‐Type Diamond
McGregor et al. Measured In-plane hole drift and hall mobility in heavily-doped strained p-type Si 1− x Ge x
JP3494467B2 (en) Method of forming semiconductor thin film
KR101082773B1 (en) Compound semiconductor element and process for fabricating the same
JPH10203895A (en) Production of silicon germanium mixed crystal film
Grasby et al. SiGe (C) epitaxial technologies—issues and prospectives
CN109920837B (en) Semiconductor device and method of forming the same
JPH0684809A (en) Formation method for epitaxial layer
Loup et al. Fine control of low-temperature CVD epitaxial growth
Nguyen et al. Low-temperature chemical vapor deposition of highly doped n-type epitaxial Si at high growth rate
Loo et al. Vertical Si p-MOS transistor selectively grown by low pressure chemical vapour deposition
Kamins POLYCRYSTALLINE-SILICON THIN-FILM TECHNOLOGY FOR ULSI APPLICATIONS

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION