US11798789B2 - Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features - Google Patents

Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features Download PDF

Info

Publication number
US11798789B2
US11798789B2 US16/960,818 US201816960818A US11798789B2 US 11798789 B2 US11798789 B2 US 11798789B2 US 201816960818 A US201816960818 A US 201816960818A US 11798789 B2 US11798789 B2 US 11798789B2
Authority
US
United States
Prior art keywords
edge ring
shaped
lift pin
substrate support
pin receiving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US16/960,818
Other languages
English (en)
Other versions
US20200395195A1 (en
Inventor
Alejandro Sanchez
Grayson FORD
Darrell Ehrlich
Aravind ALWAN
Kevin Leung
Anthony Contreras
Zhumin HAN
Raphael Casaes
Joanna Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US16/960,818 priority Critical patent/US11798789B2/en
Publication of US20200395195A1 publication Critical patent/US20200395195A1/en
Application granted granted Critical
Publication of US11798789B2 publication Critical patent/US11798789B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation

Definitions

  • the present disclosure relates to moveable edge rings in substrate processing systems.
  • Substrate processing systems may be used to treat substrates such as semiconductor wafers.
  • Example processes that may be performed on a substrate include, but are not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD), conductor etch, and/or other etch, deposition, or cleaning processes.
  • a substrate may be arranged on a substrate support, such as a pedestal, an electrostatic chuck (ESC), etc. in a processing chamber of the substrate processing system.
  • gas mixtures including one or more precursors may be introduced into the processing chamber and plasma may be used to initiate chemical reactions.
  • the substrate support may include a ceramic layer arranged to support a wafer.
  • the wafer may be clamped to the ceramic layer during processing.
  • the substrate support may include an edge ring arranged around an outer portion (e.g., outside of and/or adjacent to a perimeter) of the substrate support. The edge ring may be provided to confine plasma to a volume above the substrate, protect the substrate support from erosion caused by the plasma, shape and position a plasma sheath, etc.
  • a first edge ring for a substrate support includes an annular-shaped body and one or more lift pin receiving elements.
  • the annular-shaped body is sized and shaped to surround an upper portion of the substrate support.
  • the annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface.
  • the one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.
  • the collapsible edge ring assembly for a substrate support.
  • the collapsible edge ring assembly includes edge rings and three or more alignment and spacing elements.
  • the edge rings are arranged in a stack. At least one of the edge rings is shaped and sized to surround an upper portion of the substrate support.
  • the edge rings include a top edge ring and at least one intermediate edge ring.
  • the three or more ring alignment and spacing elements contact each of the edge rings and are configured to maintain radial alignment and vertical spacing of the edge rings.
  • the three or more ring alignment and spacing elements are configured to lift the at least one intermediate edge ring while the top edge ring is lifted.
  • a collapsible edge ring assembly for a substrate support.
  • the collapsible edge ring assembly includes multiple edge rings and a stepped outer edge ring.
  • the edge rings are arranged in a stack. At least one of the edge rings is shaped and sized to surround an upper portion of the substrate support.
  • the edge rings include a top edge ring and at least one intermediate edge ring.
  • the stepped outer edge ring includes levels. The edge rings are disposed respectively on the levels.
  • the stepped outer edge ring is configured to maintain radial alignment and vertical spacing of the plurality of edge rings.
  • the stepped outer edge ring is configured to lift the at least one intermediate edge ring while the top edge ring is lifted.
  • FIG. 1 is a functional block diagram of an example of a substrate processing system including an edge ring assembly in accordance with an embodiment of the present disclosure
  • FIG. 2 A is a cross-sectional side view of an example of a moveable edge ring having a lift pin receiving element in accordance with an embodiment of the present disclosure
  • FIG. 3 A is a radial cross-sectional view of an example of a portion of an edge ring assembly, a substrate support and a substrate in accordance with an embodiment of the present disclosure
  • FIG. 3 B is an azimuthal cross-sectional view through section line A-A of FIG. 3 A ;
  • FIG. 4 is an example of an azimuthal cross-sectional view of a portion of a top edge ring and corresponding lift pin illustrating pin-to-groove interaction in accordance with an embodiment of the present disclosure
  • FIG. 5 is a perspective view of an example of a lift pin receiving element having a ‘V’-shaped groove in accordance with an embodiment of the present disclosure
  • FIG. 6 is a bottom view of an example of a top edge ring having multiple lift pin receiving elements with respective ‘V’-shaped grooves in accordance with an embodiment of the present disclosure
  • FIG. 7 is a cross-sectional side view of a portion of a top edge ring illustrating dimensions of a ‘V’-shaped groove in accordance with an embodiment of the present disclosure
  • FIG. 8 is a cross-sectional side view of a portion of an edge ring stack illustrating an example of a top edge ring having a lift pin receiving element in the form of a groove with a flat recessed top portion in accordance with an embodiment of the present disclosure
  • FIG. 9 is a perspective view of the lift pin receiving element of FIG. 8 ;
  • FIG. 10 is a cross-sectional side view of a portion of an edge ring stack illustrating an example of a top edge ring having lift pin receiving element in the form of a divot in accordance with an embodiment of the present disclosure
  • FIG. 11 is a perspective view of the lift pin receiving element of FIG. 10 ;
  • FIG. 12 is a cross-sectional side view of an example of an edge ring assembly, substrate support and substrate illustrating an example of a top edge ring with a lift pin receiving element including a groove having a recessed top portion with quarter spherically shaped ends in accordance with an embodiment of the present disclosure
  • FIG. 13 is a perspective view of the lift pin receiving element of the top edge ring of FIG. 12 ;
  • FIG. 15 is a cross-sectional side view of an example of an edge ring assembly, a substrate support and a substrate illustrating a top edge ring with peripherally located lift pin receiving elements in accordance with an embodiment of the present disclosure
  • FIG. 16 is top view of an example of a portion of the top edge ring of FIG. 15 ;
  • FIG. 17 is a bottom view of one of the peripherally located lift pin receiving elements of FIG. 15 ;
  • FIG. 18 is a bottom view of the top edge ring of FIG. 15 ;
  • FIG. 19 is a cross-sectional side view of an edge ring system, a substrate support and a substrate illustrating an example of a collapsible edge ring assembly in accordance with an embodiment of the present disclosure
  • FIG. 20 is a cross-sectional side view of an example of a portion of another collapsible edge ring assembly in a first partially expanded state and illustrating a corresponding plasma sheath tilt angle in accordance with an embodiment of the present disclosure
  • FIG. 21 is a cross-sectional side view of the portion of the collapsible edge ring assembly of FIG. 20 in a second partially expanded state and illustrating a corresponding plasma sheath tilt angle;
  • FIG. 22 A is a cross-sectional side view of an example of a portion of another collapsible edge ring assembly including a ring alignment and spacing element that is inner disposed and in a collapsed state in accordance with an embodiment of the present disclosure
  • FIG. 22 B is a cross-sectional side view of the portion of the collapsible edge ring assembly of FIG. 22 A , where the ring alignment and spacing element is in an expanded state;
  • FIG. 23 A is a cross-sectional side view of an example of a portion of another collapsible edge ring assembly including a ring alignment and spacing element in an expanded state in accordance with an embodiment of the present disclosure
  • FIG. 23 B is a bottom view of the collapsible edge ring assembly of FIG. 23 A ;
  • FIG. 24 is a cross-sectional side view of a portion of an edge ring system, a substrate support and a substrate illustrating an example of a collapsible edge ring assembly including edge rings lifted by a stepped outer ring in accordance with an embodiment of the present disclosure
  • FIG. 25 is a cross-sectional side view of an example of an edge ring assembly, a substrate support and a substrate illustrating edge rings lifted by stepped lift pins in accordance with an embodiment of the present disclosure.
  • FIG. 26 is a cross-sectional side view of an example of a portion of another collapsible edge ring assembly including a ring alignment and spacing element with telescopic sections in accordance with an embodiment of the present disclosure.
  • a substrate support in a substrate processing system may include an edge ring.
  • An upper surface of the edge ring may extend above an upper surface of the substrate support, causing the upper surface of the substrate support (and, in some examples, an upper surface of a substrate (or wafer) arranged on the substrate support) to be recessed relative to the edge ring. This recess may be referred to as a pocket.
  • a distance between the upper surface of the edge ring and the upper surface of the substrate may be referred to as a “pocket depth.” The pocket depth may be fixed according to a height of the edge ring relative to the upper surface of the substrate.
  • Some substrate processing systems may implement a moveable (e.g., tunable) and/or replaceable edge ring.
  • a height of the edge ring may be adjusted during processing to control etch uniformity, shape of a plasma sheath, and an ion tilt angle.
  • An actuator raises and lowers the edge ring.
  • a controller of the substrate processing system controls operation of the actuator to adjust a height of the edge ring during a process and according to a particular recipe being performed and associated gas injection parameters.
  • Edge rings and other corresponding components may include consumable materials that wear/erode over time. Accordingly, the heights of the edge rings may be adjusted to compensate for erosion.
  • the edge rings may be removable and replaceable to be replaced when in an eroded and/or damaged state such that the edge rings have unusable geometries.
  • the term “removable” as used herein refers to the ability of an edge ring to be removed from a processing chamber while under vacuum using, for example, a vacuum transfer arm. The edge ring may be lifted via lift pins to a height at which the vacuum transfer arm is able to move the edge ring out of the corresponding processing chamber and replace the edge ring with another edge ring.
  • Edge rings can have flat bottom surfaces, which contact top ends of lift pins when placed on the lift pins. Placement on lift pins can vary for a single edge ring and can be different for different edge rings. For example, a first edge ring may be placed relative to the lift pins such that the lift pins contact the first edge ring at first points. The lift pins may be raised and lowered multiple times throughout a lifecycle of the first edge ring. The positions of the contact points may vary due to, for example, plasma erosion over time of the first edge ring, horizontal movement of the first edge ring, etc. As a result, relative positioning of the first edge ring relative to a corresponding substrate support and a substrate being processed is different. This can affect processing of the substrate.
  • the first edge ring may be replaced with a second edge ring.
  • the second edge ring may have the same dimensions as the first edge ring when the first edge ring was new and unused.
  • the second edge ring may be placed relative to the lift pins such that the lift pins contact the second edge ring at second points.
  • the second points may be different than the first points.
  • the assemblies for plasma sheath tuning that include features for predictable, repeatable and consistent positioning of edge rings such that lift pins contact the edge rings at the same locations. This is true for a single edge ring that is raised and lowered multiple times during multiple processes such that lift pins are moved into contact with the edge ring and moved away from the edge ring multiple times. This also holds true for different edge rings, where for example, a first edge ring is replaced with a second edge ring.
  • the assemblies include edge ring positioning, alignment and centering features, such as kinematic coupling features, stabilizing features, chamfered surfaces, beveled surfaces, stepped lift pins, lift pin sets allocated for respective edge rings, etc.
  • the kinematic coupling features include grooves, pockets, notches, and/or other lift pin receiving and/or recessed portions of edge rings for receiving lift pins.
  • the assemblies also referred to as “kits”
  • the stabilizing features include stabilizing edge rings, springs, etc.
  • kinematic coupling refers to the use of lift pin receiving elements having constraining features, which constrain the lateral movement of corresponding edge rings. Kinematic coupling does not refer to confining features or features that simply limit movement in a lateral direction.
  • kinematic coupling may be provided by one or more lift pin receiving elements.
  • a groove may be shaped and sized to contact one or more lift pins. For example, a linear groove may contact, for example, one or two lift pins, whereas a circular groove may contact three lift pins.
  • the constraining features include surfaces of the lift pin receiving elements, for example, surfaces of a ‘V’-shaped groove, which contact a corresponding lift pin at two lift pin contact points. Each lift pin contacts one of the lift pin receiving elements at two contact points.
  • an edge ring is laterally constrained when the edge ring has three lift pin receiving elements, where each of the lift pin receiving elements contacts a respective lift pin at two contact points.
  • each of the lift pin receiving elements does not contact the respective lift pin at more than two contact points.
  • Kinematic coupling is not, however, limited to this example.
  • An alternative technique to achieve the same effect involves three lift pins, one which contacts the edge ring at precisely three points (a cone or a pyramid shaped divot), a second which contacts the edge ring at precisely two points (V-Groove), and a third which makes a single point of contact. Other similar techniques exist.
  • each example technique constrains the edge ring with precision by making a total of 6 points of contact to achieve complete control of all 6 degrees of freedom (X, Y, Z, pitch, roll, and yaw).
  • constraining is different than confining.
  • An edge ring may be confined if, for example, the edge ring includes three cube-shaped notches configured to receive three lift pins. A width of the cube-shaped notches may be larger than diameters of the lift pins such that a gap exists between the lift pins and the cube-shaped notches.
  • the edge ring may be confined (or limited in lateral movement), but is not constrained.
  • FIG. 1 shows a substrate processing system 100 , which, as an example, may perform etching using RF plasma and/or perform other substrate processing operations.
  • the substrate processing system 100 includes a processing chamber 102 that encloses some of the components of the substrate processing system 100 and contains the RF plasma.
  • the substrate processing chamber 102 includes an upper electrode 104 and a substrate support 106 , such as an electrostatic chuck (ESC). During operation, a substrate 108 is arranged on the substrate support 106 .
  • ESC electrostatic chuck
  • substrate processing system 100 and chamber 102 are shown as an example, the principles of the present disclosure may be applied to other types of substrate processing systems and chambers, such as a substrate processing system that generates plasma in-situ, implements remote plasma generation and delivery (e.g., using a plasma tube, a microwave tube), etc.
  • a substrate processing system that generates plasma in-situ implements remote plasma generation and delivery (e.g., using a plasma tube, a microwave tube), etc.
  • the upper electrode 104 may include a gas distribution device such as a showerhead 109 that introduces and distributes process gases (e.g., etch process gases).
  • the showerhead 109 may include a stem portion including one end connected to a top surface of the processing chamber 102 .
  • a base portion is generally cylindrical and extends radially outwardly from an opposite end of the stem portion at a location that is spaced from the top surface of the processing chamber 102 .
  • a substrate-facing surface or faceplate of the base portion of the showerhead 109 includes holes through which process gas or purge gas flows.
  • the upper electrode 104 may include a conducting plate and the process gases may be introduced in another manner.
  • the substrate support 106 includes a conductive baseplate 110 that acts as a lower electrode.
  • the baseplate 110 supports a ceramic layer (or top plate) 112 .
  • the ceramic layer 112 may include a heating layer, such as a ceramic multi-zone heating plate.
  • a thermal resistance layer 114 (e.g., a bond layer) may be arranged between the ceramic layer 112 and the baseplate 110 .
  • the baseplate 110 may include one or more coolant channels 116 for flowing coolant through the baseplate 110 .
  • An RF generating system 120 generates and outputs an RF voltage to one of the upper electrode 104 and the lower electrode (e.g., the baseplate 110 of the substrate support 106 ).
  • the other one of the upper electrode 104 and the baseplate 110 may be DC grounded, AC grounded or floating.
  • the RF generating system 120 may include an RF voltage generator 122 that generates the RF voltage that is fed by a matching and distribution network 124 to the upper electrode 104 or the baseplate 110 .
  • the plasma may be generated inductively or remotely.
  • the RF generating system 120 corresponds to a capacitively coupled plasma (CCP) system
  • CCP capacitively coupled plasma
  • the principles of the present disclosure may also be implemented in other suitable systems, such as, for example only transformer coupled plasma (TCP) systems, CCP cathode systems, remote microwave plasma generation and delivery systems, etc.
  • a gas delivery system 130 includes one or more gas sources 132 - 1 , 132 - 2 , . . . , and 132 -N (collectively gas sources 132 ), where N is an integer greater than zero.
  • the gas sources supply one or more gases (e.g., etch gas, carrier gases, purge gases, etc.) and mixtures thereof.
  • the gas sources may also supply purge gas.
  • the gas sources 132 are connected by valves 134 - 1 , 134 - 2 , . . . , and 134 -N (collectively valves 134 ) and mass flow controllers 136 - 1 , 136 - 2 , . . .
  • a manifold 140 An output of the manifold 140 is fed to the processing chamber 102 .
  • the output of the manifold 140 is fed to the showerhead 109 .
  • a temperature controller 142 may be connected to heating elements, such as thermal control elements (TCEs) 144 arranged in the ceramic layer 112 .
  • TCEs thermal control elements
  • the heating elements 144 may include, but are not limited to, macro heating elements corresponding to respective zones in a multi-zone heating plate and/or an array of micro heating elements disposed across multiple zones of a multi-zone heating plate.
  • the temperature controller 142 may control power to the heating elements 144 to control a temperature of the substrate support 106 and the substrate 108 .
  • the temperature controller 142 may communicate with a coolant assembly 146 to control coolant flow through the channels 116 .
  • the coolant assembly 146 may include a coolant pump and reservoir.
  • the temperature controller 142 operates the coolant assembly 146 to selectively flow the coolant through the channels 116 to cool the substrate support 106 .
  • a valve 150 and pump 152 may be used to evacuate reactants from the processing chamber 102 .
  • a system controller 160 may be used to control components of the substrate processing system 100 .
  • a robot 170 may be used to deliver substrates onto, and remove substrates from, the substrate support 106 .
  • the robot 170 may transfer substrates between the substrate support 106 and a load lock 172 .
  • the temperature controller 142 may be implemented within the system controller 160 .
  • a protective seal 176 may be provided around a perimeter of the thermal resistance layer 114 between the ceramic layer 112 and the baseplate 110 .
  • the substrate support 106 includes an edge ring 180 .
  • the edge rings disclosed herein are annularly-shaped including the edge ring 180 .
  • the edge ring 180 may be a top ring, which may be supported by a bottom ring 184 . In some examples, the edge ring 180 may be further supported by one or more middle rings (not shown in FIG. 1 ) and/or other portions of the substrate support 106 .
  • the edge ring 180 may include pin receiving elements 182 that receive top ends of lift pins 185 . Examples of the lift pin receiving elements 182 and corresponding edge rings and ring alignment and spacing elements are described below with respect to at least FIGS. 2 A- 26 .
  • the edge ring 180 is moveable (e.g., moveable upward and downward in a vertical direction) relative to the substrate 108 .
  • the edge ring 180 may be controlled via an actuator responsive to the controller 160 .
  • the edge ring 180 may be vertically moved during substrate processing (i.e., the edge ring 180 may be tunable).
  • the edge ring 180 may be removable using, for example, the robot 170 , via an airlock, while the processing chamber 102 is under vacuum.
  • the edge ring 180 may be both tunable and removable.
  • the edge ring 180 may be implemented as a collapsible edge ring assembly, as further described below.
  • FIGS. 2 A and 2 B show an example substrate support 200 having a substrate 204 arranged thereon is shown.
  • the substrate support 200 may include a base or pedestal having an inner portion (e.g., corresponding to an ESC) 208 and an outer portion 212 .
  • the outer portion 212 may be independent from, and moveable in relation to, the inner portion 208 .
  • the outer portion 212 may include a bottom ring 216 and a top edge ring 220 .
  • the substrate 204 is arranged on the inner portion 208 (e.g., on a ceramic layer (or top plate) 224 ) for processing.
  • a controller 228 controls operation of one or more actuators 232 to selectively raise and lower the edge ring 220 .
  • the edge ring 220 may be raised and/or lowered to adjust a pocket depth of the support 200 during processing.
  • the edge ring 220 may be raised to facilitate removal and replacement of the edge ring 220 .
  • the edge ring 220 is shown in a fully lowered position in FIG. 2 A and in a fully raised position in FIG. 2 B .
  • the actuators 232 correspond to pin actuators configured to selectively extend and retract lift pins 236 in a vertical direction.
  • the edge ring 220 may be formed of ceramic, quartz and/or other suitable materials (e.g., silicon carbide, yttria, etc.).
  • the controller 228 communicates with the actuators 232 to directly raise and lower the edge ring 220 via the lift pins 236 .
  • the inner portion 208 is moveable relative to the outer portion 212 .
  • the edge ring 220 includes lift pin receiving elements 240 that receive top ends of the lift pins 236 .
  • the edge ring 220 may include one or more lift pin receiving elements for receiving three or more lift pins.
  • the edge ring 220 includes three lift pin receiving elements that receive respectively three lift pins.
  • the three lift pin receiving elements may be disposed 120° apart from each other (an example of this arrangement is shown in FIG. 6 ).
  • the lift pin receiving elements 240 may include grooves, divots, pockets, notches, recessed regions, and/or other suitable lift pin receiving elements.
  • the contact between the lift pin receiving elements 240 and the lift pins provides kinematic coupling which positions the edge ring 220 on the lift pins and maintains a position of the edge ring 220 in horizontal (or lateral) directions (e.g., in X and Y directions) and vertical directions (e.g., Z directions).
  • This provides an anti-walk feature.
  • Edge ring “walking” refers to positional drift of a top edge ring relative to a substrate being processed over time, which leads to a drift in extreme edge (EE) uniformity.
  • the anti-walk feature aids in preventing the substrate 204 from moving horizontally: when unclamped (or floating) on the substrate support 200 ; during thermal cycling; when thermal differences associated with differing coefficients of thermal expansion exist; poor de-chucking of a substrate; and/or during vibration events.
  • Examples of the lift pin receiving elements are shown in at least FIGS. 3 A- 13 , 15 - 21 and 25 .
  • the controller 228 is configured to control a tunable range of the edge ring 220 .
  • the edge ring 220 may be raised from a fully lowered position (e.g., 0.0 inches (′′)) to a fully raised position (e.g., 0.25′′).
  • the lift pins 236 may be raised a predetermined amount (e.g., 0.050′′) from an initial position before coming in contact with the edge ring 220 .
  • FIGS. 3 A and 3 B show a portion 300 of an edge ring assembly 302 , a substrate support 304 and a substrate 306 .
  • the edge ring assembly 302 may include a top edge ring 308 , a middle edge ring 310 and a bottom edge ring 312 .
  • the substrate support 304 may include a top plate 314 and a baseplate 318 .
  • the top edge ring 308 is cupped with a top member 319 and an outer flange 320 , which extends downward from the top member 319 .
  • the top member 319 includes lift pin receiving elements (one lift pin receiving element 322 is shown).
  • the lift pin receiving element 322 is located on a bottom side of the top member 319 and faces a lift pin 324 , which extends through the baseplate 318 , a hole 328 in the bottom edge ring 312 , and a hole 329 in the middle edge ring 310 .
  • the lift pin 324 extends through a hole 330 in the baseplate 318 .
  • the outer flange 320 protects the middle edge ring 310 , an upper portion of the lift pin 324 , an upper portion of the bottom edge ring 312 , and a portion of the substrate support 304 from directly receiving and/or being in contact with plasma. This prevents erosion and increases life of the middle edge ring 310 , the lift pin 324 , the bottom edge ring 312 and the substrate support 304 .
  • the bottom edge ring 312 protects a portion of the lift pin 324 and the baseplate 318 from direct exposure and/or contact with plasma.
  • the hole 329 is oversized to prevent the lift pin 324 from contacting the middle edge ring 310 .
  • a top end 332 of the lift pin 324 is received in the lift pin receiving element 322 .
  • the lift pin receiving element 322 may be, for example, a ‘V’-shaped groove having half conically shaped (or quarter spherically shaped) ends. The ‘V’-shape of the groove can be seen in FIGS. 3 B, 4 , 5 and 7 .
  • the top end 332 of the lift pin 324 may be hemi-spherically shaped or may have (i) a rounded edge portion 340 that contacts surfaces 342 , 344 of the lift pin receiving element 322 , and (ii) a flat top surface 346 .
  • the top end 332 is shaped such that two points of the lift pin 324 contact the lift pin receiving element 322 and no other portions of the lift pin 324 .
  • the top end 332 may have a flat top surface 346 to: increase ease in manufacturing the lift pin 324 and thus decrease manufacturing cost; increase yield; and/or prevent the top end 332 from contacting a vertex portion (or curved portion) of the lift pin receiving element 322 .
  • the vertex portion is identified with numerical designator 400 in FIG. 4 . Contact between the top end 332 and the vertex portion 400 is referred to as “bottoming out” the lift pin 324 in the ‘V’-shaped groove.
  • the middle edge ring 310 includes an instep 350 that transitions from a first top surface 352 to a second top surface 354 .
  • the substrate 306 is disposed on the first top surface 352 .
  • the top edge ring 308 is disposed on the second top surface 354 .
  • the second top surface 354 is at a lower level than the first top surface 352 .
  • the top edge ring 308 may be raised to a level higher than a level of the first top surface 352 and/or a level of a top surface of the substrate 306 . As an example, the top edge ring 308 may be lifted 0.24′′-0.60′′ relative to the middle edge ring 310 .
  • the top edge ring 308 may be lifted, for example, 0.15′′-0.2′′ above the level of the top surface of the substrate 306 .
  • the top edge ring 308 When the top edge ring 308 is in a fully down (or retracted) position, the substrate 306 is disposed radially inward of the top edge ring 308 .
  • the top edge ring 308 When the top edge ring 308 is in a fully raised (or extended) position, the top edge ring 308 may be higher than the substrate 306 .
  • the instep 350 (i) aids in declamping the substrate 306 from the substrate support 304 , (ii) aids in maintaining positioning of the top edge ring 308 including preventing the top edge ring from tilting relative to the substrate 306 , and (iii) aids in preventing the substrate 306 from moving under the top edge ring 308 when, for example, the substrate 306 is not clamped to the substrate support 304 .
  • the top edge ring 308 may include one or more of the lift pin receiving elements 322 , as shown in FIG. 6 . This along with contact with corresponding lift pins provides kinematic coupling and anti-walk features. The top edge ring 308 is centered relative to the substrate support 304 based on the interaction between the lift pins and the lift pin receiving elements 322 . If the top edge ring 308 is initially placed such that the lift pin receiving elements 322 are within a predetermined distance of the corresponding lift pins, then the lift pin receiving elements 322 move to receive the lift pins, thereby positioning the top edge ring 308 .
  • top edge ring 308 if the top edge ring 308 is placed within a predetermined distance of a target position (a position where top ends of the lift pins are located in the lift pin receiving elements), then the top edge ring 308 moves to the target position. This is due to the inclusion of the ‘V’-shaped grooves and may also be due to beveled opening edges of the lift pin receiving elements. Example beveled opening edges are shown in FIGS. 5 and 7 . As an example, if the top edge ring 308 is positioned within ⁇ 5% of the target position, then the top edge ring 308 moves to the target position defined by the lift pin receiving elements 322 . The top edge ring 308 drops into place and is held on the lift pins by gravity.
  • the kinematic coupling between the lift pin receiving elements of the top edge ring 308 and the lift pins allows the top edge ring 308 to be centered to a same location relative to the substrate support 304 independent of erosion over time of the edge rings 308 , 310 , 312 . This consistent centering occurs due to the uniform erosion (i.e. erosion at a same rate) of the surfaces 342 , 344 and uniform erosion of the top end 332 .
  • the kinematic coupling also allows certain tolerances to be relaxed (i.e. increased). For example, tolerances of the dimensions of the lift pin receiving elements may be increased, since the edge ring 308 is positioned in approximately a same location relative to the lift pins each time the edge ring 308 is placed.
  • gaps between the edge rings 308 , 310 , 312 may be increased due to the consistent placement of the edge ring 308 on the lift pins.
  • the uniform erosion maintains centering of the top edge ring 308 for the usable lifetime of the top edge ring 308 .
  • a center of the top edge ring 308 is (i) offset from a center of the substrate support 304 and/or a top plate of the substrate support 304 , and/or (ii) offset from a center of the substrate 306 .
  • These offsets may be determined and will consistently exist.
  • the controllers 142 , 160 of FIG. 1 may account for and/or compensate for these offsets when processing the substrate 306 . This may include adjust parameters, such as gas pressures, electrode voltages, bias voltages, etc. to compensate for these offsets.
  • the edge rings 308 , 310 , 312 are formed of quartz and/or one or more other suitable non-volatile materials.
  • the lift pin 324 may be formed of sapphire and/or one or more other suitable volatile materials. This minimizes erosion and particle generation during processing. Examples of volatile materials are alumina, silicon carbide and sapphire.
  • FIG. 4 shows a portion of the top edge ring 308 and corresponding lift pin 324 illustrating pin-to-groove interaction.
  • the top end 332 of the lift pin 324 contacts the surfaces 342 , 344 at respectively two points 401 , 402 via a rounded edge portion 340 .
  • This provides minimal contact for minimal reaction forces.
  • the minimal contact minimizes erosion of the surfaces 342 , 344 and the top end 332 .
  • This radial to flat contact between the rounded edge portion 340 and the surfaces 342 , 344 follows Hertz's Law.
  • a diameter D 1 of the lift pin 324 may be 0.040′′ and may be up to 0.0250′′. In an embodiment, the diameter D 1 is 0.060′′-0.080′′.
  • a diameter of the hole 329 in the middle edge ring 310 may be 2-3 times the diameter of the lift pin 324 .
  • the flat top portion 346 of the lift pin 324 does not contact the vertex portion 400 .
  • FIG. 5 shows the lift pin receiving element 322 , which includes a ‘V’-shaped groove 500 with the surfaces 342 , 344 and half conically shaped (or quarter spherically shaped) ends 502 , 504 .
  • the surfaces 342 , 344 and the ends 502 , 504 meet at a vertex portion 506 , which may be rounded, as shown.
  • the surfaces 342 , 344 and the ends 502 , 504 have beveled opening edges 508 , 510 , 512 , 514 .
  • the beveled opening edges 508 , 510 , 512 , 514 aid in positioning lift pins into the ‘V’-shaped groove 500 .
  • FIG. 6 shows the top edge ring 308 having multiple lift pin receiving elements 322 , 322 ′, 322 ′′ including respective ‘V’-shaped grooves having half conically-shaped ends as shown or quarter hemi-spherically shaped ends.
  • Each of the ‘V’-shaped grooves allows the corresponding lift pin to move radially relative to the ‘V’-shaped groove, but prevents annular movement of the corresponding lift pin.
  • Three lift pins 600 are shown, one of which may be the lift pin 324 of FIG. 3 A .
  • FIG. 7 shows a portion of the top edge ring 308 illustrating dimensions of a ‘V’-shaped groove 700 .
  • the ‘V’-shaped groove 700 includes the side surfaces 342 , 344 , a vertex portion 702 , and beveled edges 704 , 706 .
  • the vertex portion 702 may have a first predetermined radius R 1 and the beveled edges 704 , 706 may have respective predetermined radii R 2 , R 3 .
  • the radii R 1 , R 2 , R 3 may be 0.015′′.
  • Radius R 1 may be between 0.002′′ and 0.125′′.
  • Radius R 2 may be between 0.002′′ and 0.125′′.
  • Radius R 3 may be between 0.002′′ and 0.125′′.
  • Each of the surfaces 342 , 344 may be at a predetermined angle A 1 relative to a center line 710 of the ‘V’-shaped groove 700 .
  • the surfaces 342 , 344 may be at a predetermined angle A 2 relative to each other.
  • the predetermined angle A 1 may be 45°.
  • the predetermined angle A 1 may be between 5° and 90°.
  • the predetermined angle A 2 may be 90°.
  • the predetermined angle A 2 may be between 10° and 180°.
  • the ‘V’-shaped groove 700 has a predetermined opening width W 1 .
  • the beveled edges 704 , 706 have a predetermined opening width W 2 , which is greater than W 1 .
  • the predetermined opening width W 1 may be 0.104′′.
  • the predetermined opening width W 1 may be between 0.020′′ and 0.500′′.
  • the predetermined opening width W 2 may be between 0.024′′ and 0.750′′.
  • the ‘V’-shaped groove 700 has a predetermined depth DP 1 .
  • the depth DP 1 may be between 0.010′′ and 0.250′′.
  • a ratio between the depth DP 1 and a diameter D 1 (shown in FIG. 4 ) of a corresponding lift pin 324 may be approximately equal to 1 (or 1:1).
  • the ratio between the depth DP 1 and the diameter D 1 may be between 10:1 and 1:8.
  • the depth DP 1 is 0.062′′.
  • the depth DP 1 may be between 0.005′′ and 0.250′′.
  • a ratio between the width W 1 and the diameter D 1 may be 2:1.
  • the ratio between the width W 2 and the diameter D 1 may be between 20:1 and 1:4.
  • a ratio between a depth DP 2 of the lift pin 324 in the ‘V’-shaped groove 700 and the depth DP 1 may be approximately equal to 5.0:6.2 or 80%, where the depth DP 2 is 0.050′′ and the depth DP 1 is 0.062′′.
  • the ratio between the depth DP 2 and the depth DP 1 may be between 10:1 and 99:100.
  • the depth DP 2 may be between 0.001′′ and 0.500′′. In an embodiment, the depth DP 2 is 0.050′′.
  • the angle A 2 is 90°
  • the depth DP 2 is 0.050′′
  • the diameter D 1 is 0.060′′
  • the depth DP 1 is 0.0062′′
  • the width W 1 is 0.104′′.
  • the edge ring 308 may have an overall thickness T 2 and a top surface to ‘V’-shaped groove thickness T 1 .
  • the thickness T 2 may be between 0.025′′ and 10′′.
  • the thickness T 1 may be between 0.02′′ and 9.995′′. In an embodiment, the thickness T 2 is 0.145′′ and the thickness T 1 is 0.083′′.
  • the smaller the angle A 2 the deeper is the groove 700 and the smaller is the thickness T 1 , which reduces lifetime of the top edge ring 308 .
  • the wider the width W 1 of the opening, while maintaining the angle A 2 at a constant value the smaller the thickness T 1 and the less restrictive the horizontal placement of the lift pin 324 .
  • the narrower the width W 1 while maintaining the angle A 2 at constant value, the larger the thickness T 1 and the more restrictive the horizontal placement of the lift pin 324 .
  • FIG. 8 shows a portion 800 of an edge ring stack illustrating an example of a top edge ring 802 having a lift pin receiving element 803 in the form of a groove with a flat recessed top portion 804 .
  • This style lift pin receiving element may replace or be used in combination with the lift pin receiving element shown in FIGS. 3 A- 7 , as further described below.
  • the top edge ring 802 may be disposed on a middle edge ring 806 , which may be disposed on a bottom edge ring 808 .
  • the lift pin receiving element 803 includes ‘V’-shaped side walls 810 , 812 that extend inward towards the flat recessed portion 804 .
  • the flat recessed portion 804 is cup-shaped and includes side walls 814 , 816 that are part of a continuous slot-shaped side wall 818 (shown in FIG. 9 ) of the flat recessed portion 804 .
  • FIG. 9 shows the lift pin receiving element 803 .
  • the flat recessed portion 804 further includes a flat top surface 820 .
  • a lift pin 822 may be disposed in the lift pin receiving element 803 and contacts top portions of the side walls 810 , 812 .
  • the lift pin 822 does not contact the flat recessed portion 804 .
  • a top portion 824 of the lift pin 822 may protrude into an open area defined by the flat recessed portion 804 .
  • the top portion 824 may have a top flat surface, as shown.
  • the lift pin receiving element 803 as shown in FIG. 9 , further includes half conically shaped ends 830 , 832 , which are adjacent the side walls 810 , 812 .
  • FIG. 10 shows a portion 1000 of an edge ring stack illustrating an example of a top edge ring 1001 having a lift pin receiving element 1004 in the form of a divot.
  • the edge ring stack includes edge rings 1001 , 1002 , 1003 .
  • the divot 1004 may include a chamfered side wall 1006 and a hemi-spherically shaped portion 1010 .
  • a top portion 1012 of a lift pin 1014 may be hemi-spherically shaped and be disposed in the hemi-spherically shaped portion 1010 .
  • the portions 1010 , 1012 may have top flat surfaces, as shown.
  • FIG. 11 shows the divot 1004 and illustrates the chamfered side wall 1006 and the hemi-spherically shaped portion 1010 .
  • FIG. 12 shows a portion 1200 of an edge ring assembly 1201 , substrate support 1202 and substrate 1204 illustrating an example of a top edge ring 1206 with a lift pin receiving element 1208 in the form of a groove having a recessed top portion 1210 with quarter spherically shaped ends 1212 , 1214 .
  • the portion 1200 may be similar to the portion 300 of FIG. 3 A , but includes the top edge ring 1206 having the lift pin receiving element 1208 instead of the groove 322 .
  • FIG. 13 shows the lift pin receiving element 1208 .
  • the lift pin receiving element 1208 includes ‘V’-shaped side walls 1320 , 1322 , conically shaped end walls 1324 , 1326 , a ‘U’-shaped top wall 1328 and the quarter spherically shaped ends 1212 , 1214 .
  • FIG. 14 shows a portion 1400 of an edge ring assembly 1402 , a substrate support 1404 and substrate 1406 illustrating incorporation of stability elements 1408 , 1410 .
  • the stability elements 1408 , 1410 are disposed respectively within a top edge ring 1412 and a substrate support 1414 . Although two stability elements are shown, any number of stability elements may be included.
  • the top edge ring 1412 may include three or more stability elements.
  • the substrate support 1414 may include three or more stability elements.
  • the stability elements of the top edge ring 1412 are disposed 120° apart from each other.
  • the stability elements of the substrate support 1414 are disposed 120° apart from each other.
  • the stability elements may include and/or be implemented as springs.
  • the stability element 1408 is disposed in an inner pocket 1430 of the top edge ring 1412 and applies pressure on an outer peripheral surface 1432 of the edge ring 1416 .
  • the stability elements 1410 is disposed in an outer pocket 1440 of the substrate support 1414 and applies pressure on an inner surface 1442 of the edge ring 1416 .
  • stability elements are shown as being disposed in the top edge ring 1412 and the substrate support 1410 , the stability elements may be located in other edge rings, such as in the edge ring 1416 .
  • the stability elements are included without use of lift pin receiving elements in the top edge ring 1412 . Tops of lift pins may abut a bottom inner surface 1420 of the top edge ring 1412 . In another embodiment, the stability elements are incorporated in combination with lift pin receiving elements, such as the lift pin receiving elements shown in FIGS. 1 - 13 , 15 - 21 and 25 .
  • FIG. 15 shows a portion 1500 of an edge ring assembly 1502 , a substrate support 1504 and a substrate 1506 .
  • the edge ring assembly 1502 includes a top edge ring 1508 , an inner stabilizing edge ring 1510 , an edge ring stack 1512 , and a liner 1514 .
  • the edge ring stack 1512 includes an outer peripheral edge ring 1520 , a middle edge ring 1522 , and a bottom edge ring 1524 .
  • the substrate support 1504 includes a top plate 1526 and a baseplate 1532 .
  • a lift pin 1534 is received in a shield 1538 .
  • the lift pin 1534 extends through a channel 1540 of the base plate 1532 .
  • the shield 1538 is disposed on the base plate 1532 and extends through holes 1542 , 1544 and 1546 respectively in the edge rings 1524 , 1522 , 1520 .
  • the shield 1538 protects an upper portion of the lift pin 1534 from erosion.
  • the liner 1514 is annular-shaped and disposed outside of and protects from erosion an outer periphery of the edge rings 1522 , 1524 and a bottom of an outer periphery of the outer peripheral edge ring 1520 .
  • the top edge ring 1508 includes peripherally located lift pin receiving elements (one lift pin receiving element 1550 is shown).
  • the lift pin receiving elements are in the form of notches located at an outer bottom periphery of the top edge ring 1508 .
  • Lift pin receiving elements of a different style may be incorporated. Examples of the notches are shown in FIGS. 16 - 18 .
  • the lift pin 1534 is moved upward in the base plate 1532 and the shield 1538 and into the lift pin receiving element 1550 to raise the top edge ring 1508 .
  • the top edge ring 1508 may be raised, such that a bottom surface 1552 of the top edge ring 1508 is above a top surface 1554 of the substrate 1506 .
  • the top edge ring 1508 may be raised 0.24′′-0.60′′. In an embodiment, the top edge ring 1508 is raised 0.15′′-0.2′′ during processing of the substrate 1506 . Raising the top edge ring 1508 moves and shapes a plasma sheath located above the substrate 1506 and the top edge ring 1508 , which affects how ions are directed at the substrate 1506 . The higher the top edge ring 1508 is raised relative to the substrate 1506 , the more a tilt angle of the plasma sheath is changed. Example tilt angles are shown in FIGS. 20 - 21 . The top edge ring 1508 may be raised up to a first level during processing. The top edge ring 1508 may be raised up to a second level to be removed via an arm, as described above. The second level may be higher than the first level.
  • the stabilizing edge ring 1510 includes a first top surface 1560 and a second top surface 1562 and an instep 1564 .
  • the first top surface 1560 is disposed under the substrate 1506 .
  • the second top surface 1562 is disposed under the top edge ring 1508 .
  • the first top surface 1560 transitions to the second top surface 1562 via the instep 1564 .
  • a height of the instep 1564 from the second top surface 1562 to the first top surface 1560 may be 0.30′′.
  • the instep 1564 (i) aids in declamping the substrate 1506 from the substrate support 1504 , (ii) aids in maintaining positioning of the top edge ring 1508 including preventing the top edge ring from tilting relative to the substrate 1506 , and (iii) aids in preventing the substrate 1506 from moving under the top edge ring 1508 when, for example, the substrate 1506 is not clamped to the substrate support 1504 .
  • the edge rings 1508 and 1520 may be formed of a non-volatile material, such as quartz.
  • the edge ring 1510 may be formed of a volatile material, such as silicon carbide and/or sapphire.
  • the edge rings 1522 and 1524 may be formed of a volatile material, such as alumina.
  • the liner 1514 may be formed of a metallic material.
  • FIGS. 16 - 17 show portions 1600 , 1602 of the top edge ring 1508 illustrating the lift pin receiving element 1550 .
  • the lift pin receiving element 1550 is shown in the form of a notch and includes ‘V’-shaped side walls 1604 , 1606 , and a half conically shaped (or quarter spherically shaped) end 1608 .
  • the lift pin receiving element 1550 may include a beveled edge 1610 along a bottom outer portion of the lift pin receiving element 1550 .
  • a lift pin 1620 is shown as being received in the lift pin receiving element 1550 .
  • the lift pin receiving element 1550 extends from a peripheral edge 1622 of the top edge ring 1508 .
  • the lift pin receiving element 1550 may include a vertex portion 1624 that may be flat, cup-shaped, and/or rounded.
  • the ‘V’-shaped side walls 1604 , 1606 may be beveled upward near the peripheral edge to provide beveled sections 1626 , 1628 .
  • FIG. 18 shows the top edge ring 1508 , which may include three or more of the lift pin receiving elements.
  • three lift pin receiving elements 1800 are shown, one of which may be the lift pin receiving element 1550 .
  • the three lift pin receiving elements 1800 may be 120° spaced apart along a peripheral edge of the top edge ring 1508 .
  • FIG. 19 shows an edge ring system 1900 , a substrate support 1902 and a substrate 1904 .
  • the edge ring system 1900 includes a collapsible edge ring assembly 1906 , an upper outer edge ring 1908 , a lower outer edge ring 1910 , an alignment pin 1911 , and a liner 1912 .
  • the alignment pin 1911 maintains alignment between the edge rings 1908 , 1910 .
  • the liner 1912 protects the outer periphery of the lower outer edge ring 1910 and a bottom portion of the upper outer edge ring 1908 from erosion.
  • the substrate support 1902 includes a top plate 1926 , seals 1928 , 1930 , and a baseplate 1932 .
  • a lift pin 1938 extends through the edge rings 1908 , 1910 and into the collapsible edge ring assembly 1906 .
  • the collapsible edge ring assembly 1906 includes a top edge ring 1940 , one or more intermediate edge rings (intermediate edge rings 1942 , 1944 , 1946 are shown), and three or more ring alignment and spacing elements (one ring alignment and spacing element 1948 is shown).
  • the edge rings 1940 , 1942 , 1944 , 1946 provide tuning using multiple edge rings. This increases a tuning range over a single edge ring design because the top edge ring 1940 is able to be lifted to an increased height without plasma flowing under the top edge ring 1940 .
  • the multiple edge rings may be sized and lifted via lift pins to be replaced while a corresponding processing chamber is under vacuum.
  • the ring alignment and spacing elements are incorporated to maintain lateral (or radial) alignment of the edge rings 1940 , 1942 , 1944 , 1946 relative to each other and to control vertical spacing between the edge rings 1940 , 1942 , 1944 , 1946 .
  • Alignment of the edge rings 1940 , 1942 , 1944 , 1946 is aided by “V’-shaped grooves of lift pin receiving elements (one lift pin receiving element 1950 is shown) in the top edge ring 1940 .
  • the top edge ring 1940 includes one or more lift pin receiving elements.
  • the lift pin receiving elements may be implemented as any of the lift pin receiving elements disclosed in, for example, FIGS. 3 A- 13 .
  • the edge rings 1942 , 1944 , 1946 include holes 1952 , 1954 , 1956 through which the lift pin 1938 is passed.
  • the ring alignment and spacing elements may extend at least partially into and/or through, connect to, adhere to, be pressed against corresponding portions of the edge rings 1940 , 1942 , 1944 , 1946 .
  • the ring alignment and spacing elements may be collapsible.
  • the ring alignment and spacing elements may have concertinaed walls (or be “accordion-like”) and/or have telescopic features that allow the ring alignment and spacing elements to be compressed and expanded.
  • the ring alignment and spacing elements may include interlocking elements similar to a telescopic device, such that each section of the ring alignment and spacing elements interlocks with one or more adjacent sections. Examples of ring alignment and spacing elements are shown in FIGS. 22 A- 26 .
  • the ring alignment and spacing elements allow corresponding lift pins (e.g., the lift pin 1938 ) to directly lift the top edge ring 1940 followed by indirectly and successively lifting the intermediate edge rings 1942 , 1944 , 1946 as a result of the edge rings 1940 , 1942 , 1944 , 1946 being connected via the ring alignment and spacing elements.
  • the edge rings 1940 , 1942 , 1944 , 1946 are lifted to different heights.
  • each of the ring alignment and spacing elements has a respective amount of wrappings to provide a respective amount of separation between corresponding edge rings.
  • the ring alignment and spacing elements may provide a predetermined spacing pattern of the edge rings 1940 , 1942 , 1944 , 1946 . Different spacing patterns may be provided for different applications, recipes, etching patterns, etc.
  • the ring alignment and spacing elements have a fully retracted state, a fully expanded state, and multiple intermediate (or partially expanded) states therebetween. While in the fully retracted state, the ring alignment and spacing elements may be in contact with each other or have a minimum amount of separation between adjacent ones of the ring alignment and spacing elements. While in the fully expanded state, the edge rings 1940 , 1942 , 1944 , 1946 are separated from each other and have a maximum amount of separation between adjacent ones of the edge rings 1940 , 1942 , 1944 , 1946 . While being extracted, the top edge ring 1940 is lifted first without movement of the intermediate edge rings 1942 , 1944 , 1946 .
  • edge rings 1940 and a first one of the intermediate edge ring 1942 are at a maximum, then the first intermediate edge ring 1942 is lifted. A similar process occurs for each successive intermediate edge ring.
  • edge rings are shown as being part of the collapsible edge ring assembly 1906 , two or more edge rings may be included.
  • the edge ring 1908 may be formed of a non-volatile material, such as quartz.
  • the edge ring 1910 may be formed of a volatile material, such as alumina.
  • the liner 1912 may be formed of a metallic material.
  • the edge rings 1940 , 1942 , 1944 , 1946 may be formed of a non-volatile material such as quartz.
  • the ring alignment and spacing element 1948 may be formed of volatile material such as sapphire.
  • the ring alignment and spacing element 1948 may limit maximum separation distances between the edge rings 1940 , 1942 , 1944 , 1946 to prevent plasma from flowing between the edge rings 1940 , 1942 , 1944 , 1946 .
  • Flow of plasma between the edge rings 1940 , 1942 , 1944 , 1946 can reduce and/or eliminate the plasma sheath tunability aspects associated with the vertical movement of the top edge ring 1940 .
  • the lift pin 1938 may be limited from lifting the bottom most intermediate edge ring 1946 more than a predetermined distance above a top surface 1960 of the substrate 1904 .
  • the system controller 160 of FIG. 1 may limit the amount of movement of the lift pin 1938 to limit vertical lift of the bottom most intermediate edge ring 1946 to prevent plasma from flowing between the edge ring 1946 and the stabilizing edge ring 1962 .
  • Flow of plasma between the edge rings 1946 and 1962 can also reduce and/or eliminate the plasma sheath tunability aspects associated with the vertical movement of the top edge ring 1940 .
  • Flow of plasma between the edge rings 1946 and 1962 can also reduce and/or eliminate the plasma sheath tunability aspects associated with the vertical movement of the top edge ring 1940 .
  • FIGS. 20 - 21 show a portion 2000 of the collapsible edge ring assembly 1906 of FIG. 19 , which includes the edge rings 1940 , 1942 , 1944 , 1946 .
  • the collapsible edge ring assembly 1906 is shown in (i) a first partially expanded state and having a first corresponding plasma sheath tilt angle ⁇ 1 , and (ii) a second partially expanded state and having a second corresponding plasma sheath tilt angle ⁇ 2 .
  • the collapsible edge ring assembly 1906 is shown in a more expanded state in FIG. 21 than in FIG. 20 . For this reason, the plasma sheath tilt angle ⁇ is larger for the example of FIG. 21 than for the example of FIG. 20 .
  • the plasma sheath angle ⁇ may refer to an angle between (i) a vertical line 2001 extending through an inner peripheral edge 2002 of the top edge ring 1940 and (ii) a line 2004 representing an approximate periphery of plasma vertically along a periphery of the edge rings 1940 , 1942 , 1944 and 1946 .
  • gaps between the edge rings 1940 , 1942 , 1944 , 1946 and plasma 2010 may increase from a top surface of the top edge ring 1940 down to a bottom surface of the bottom most intermediate edge ring 1946 .
  • the widths of the cross-sections of the edge rings 1940 , 1942 , 1944 , 1946 may increase from the top edge ring 1940 down to the bottom most intermediate edge ring 1946 , such that: the cross-section of the edge ring 1946 is wider than the cross-section of the edge ring 1944 ; the cross-section of the edge ring 1944 is wider than the cross-section of the edge ring 1942 ; and the cross-section of the edge ring 1942 is wider than the cross-section of the edge ring 1940 . Also, due to the increased size of the gaps for lower edge rings, the tolerances in freedom of radial movement of lower edge rings is higher than the tolerances in freedom of radial movement of higher edge rings.
  • the shape and tilt angle ⁇ of the plasma sheath is adjusted.
  • This provides controllable etch tuning near a periphery (or circumferential edge) of the substrate 1904 to within 0.039′′.
  • the tilt angle ⁇ is increased and an area of the top surface 1960 that is etched is decreased. This increases a peripheral range of etching and how the top surface 1960 of the substrate 1904 within the peripheral range is etched.
  • the edge rings 2210 , 2212 , 2214 , 2216 may have thicknesses T 1 -T 4 and the tiers of the ring alignment and spacing element 2202 may have heights H 1 -H 4 .
  • the thicknesses T 2 -T 4 are equal to each other.
  • the thicknesses T 2 -T 4 are different.
  • the thickness T 2 -T 4 increase in size from T 2 to T 4 , such that T 4 >T 3 >T 2 .
  • the heights H 1 -H 3 are equal to each other.
  • the levels 2217 , 2219 , 2221 , 2223 have widths W 1 , W 2 , W 3 , W 4 . The lower the level, the larger the width, such that W 4 >W 3 >W 2 >W 1 .
  • FIGS. 23 A and 23 B show a collapsible edge ring assembly 2300 including edge rings 2302 , 2304 , 2306 and ring alignment and spacing elements 2308 , 2309 , 2310 (the ring alignment and spacing element 2308 is shown in FIG. 1 ).
  • the ring alignment and spacing element 2308 is shown in FIG. 23 A in an expanded state.
  • the ring alignment and spacing elements 2308 , 2309 , 2310 are located at a periphery of the edge rings 2302 , 2304 , 2306 .
  • Each of the ring alignment and spacing elements 2308 , 2309 , 2310 may be ‘comb’-shaped and include fingers 2320 , 2322 , 2324 respectively for lifting the edge rings 2302 , 2304 , 2306 .
  • the fingers 2320 , 2322 , 2324 extend radially inward from a main member 2326 . Although three edge rings and three fingers are shown for each ring alignment and spacing element, two or more edge rings and two or more fingers may be included.
  • the fingers 2320 , 2322 , 2324 may be configured to interlock with, connect to, fit in notches of, and/or hold the respective edge rings 2302 , 2304 , 2306 .
  • a lift pin may extend through one or more of the edge rings (e.g., the edge rings 2304 , 2306 ) and into a lift pin receiving element of an upper most edge ring (e.g., the edge ring 2302 ).
  • the lift pin may directly lift the edge ring 2302 followed by indirectly lifting edge rings 2304 , 2306 due to being held by, connected to, and/or sitting on the fingers 2320 , 2322 , 2324 of the ring alignment and spacing element 2308 .
  • the fingers 2320 , 2322 , 2324 may decrease in length from the uppermost finger 2320 to the bottom most finger 2324 , such that the uppermost finger 2320 is shorter than the intermediate finger 2322 , which is shorter than the bottom most finger 2324 .
  • the flange 2450 may include a lift pin receiving element to receive a top end of the lift pin 2418 , as described above.
  • the stepped outer edge ring 2416 may be included in a stack of edge rings as shown and be disposed on an intermediate edge ring 2452 , which is disposed on a bottom edge ring 2454 .
  • the stepped outer edge ring 2416 may be formed of a non-volatile material such as quartz.
  • the intermediate edge ring 2452 may be formed of a volatile material such as sapphire.
  • the bottom edge ring 2454 may be formed of a non-volatile material such as quartz.
  • FIG. 25 shows an edge ring assembly 2500 , a substrate support 2502 and a substrate 2504 .
  • the edge ring assembly 2500 includes a top edge ring 2506 , an intermediate edge ring 2508 , a stabilizing edge ring 2510 , an edge ring stack 2512 and a liner 2514 .
  • the edge ring stack includes edge rings 2516 , 2518 , 2520 , which are similar to edge rings 1520 , 1522 , 1524 of FIG. 15 .
  • the edge ring 2506 is similar to the edge ring 1508 , but may be thinner than the edge ring 1508 due to the incorporation of the edge ring 2508 .
  • the edge rings 2506 , 2508 may be lifted by three or more lift pins (one lift pin 2530 is shown).
  • the lift pins may each include one or more steps for lifting respectively one or more edge rings.
  • the lift pin 2530 is shown as including a step 2532 , which is used to lift the edge ring 2508 .
  • a tip 2534 of the lift pin 2530 is moved through a hole 2536 in the edge ring 2508 and is received in a lift pin receiving element 2538 .
  • the lift pin 2530 includes a first portion 2540 having a first diameter D 1 and a second portion 2542 having a second diameter D 2 , which is greater than D 1 .
  • the lift pin 2530 may have any number of steps to lift any number of edge rings.
  • multiple sets of lift pins may be used, where a first set of lift pins raise a first edge ring (e.g., the top edge ring 2506 ) and a second set of lift pins raise a second edge ring (e.g., the intermediate edge ring 2508 ).
  • the second edge ring may have holes, similar to the holes 2536 , for both of the sets of lift pins.
  • the first set of lift pins may not raise the intermediate edge ring 2508 and/or one or more edge rings disposed below the top edge ring 2506 .
  • the second set of lift pins may not raise the top edge ring 2506 .
  • the second set of lift pins may raise one or more edge rings disposed below the intermediate edge ring 2508 . Any number of sets of lift pins, edge rings, and corresponding sets of holes may be included. As another example, the one or more edge rings that are disposed below the top edge ring 2506 may include lift pin receiving elements for receiving a corresponding set of lift pins. As a result, kinematic coupling may be provided between each edge ring being lifted and a respective set of lift pins.
  • FIG. 26 shows a collapsible edge ring assembly 2600 including a ring alignment and spacing element 2602 with telescopic sections 2604 , 2606 , 2608 , 2610 .
  • Each of the telescopic sections 2604 , 2606 , 2608 , 2610 may be used to attach to and/or lift a corresponding edge ring, such as edge rings 2612 , 2614 , 2616 , 2618 .
  • the telescopic sections 2604 , 2606 , 2608 slide partially into the telescopic sections 2606 , 2608 , 2610 , respectively.
  • the telescopic sections 2604 , 2606 , 2608 , 2610 are interlocking sections.
  • the examples disclosed herein have kinematic coupling and anti-walk features, as well as edge ring assemblies for increased tuning.
  • the kinematic coupling disclosed herein may, as an example, maintain top edge ring positioning relative to a substrate to within 100 microns.
  • the inclusion of kinematic coupling features improves positioning and centering of top edge rings by an order of 2 over traditional positioning and centering techniques.
  • Inclusion of the ‘V”-shaped grooves provides kinematic coupling without over-constraining an edge ring or binding an edge ring kit.
  • a top edge ring does not need constellations to center and provide consistent alignment.
  • the edge ring assemblies include edge rings that are actuated and lifted to physically manipulate plasma by adjusting tilt angles of a plasma sheath over top surfaces of a substrate, which in turn affects critical dimensioning and etch rates of the substrate.
  • edge rings for higher radio frequency (RF) and direct current (DC) power levels can require a thorough mapping of datums and relative offsets to calculate each dimension and associated gap between components to avoid over constraining while minimizing sizes of the gaps (see Paschen's Law).
  • RF radio frequency
  • DC direct current
  • edge rings are lifted as disclosed herein and have an increased amount of tuning range.
  • the effective pocket height may be varied within a single process of the wafer.
  • the edge rings may be actuated gradually over time for wafers including memory components to compensate for erosion such that a single edge ring kit is able to maintain a predetermined level of EE uniformity for increased mean time between cleans (MTBCs). This reduces costs of operation.
  • Spatial and functional relationships between elements are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • Spatial and functional relationships between elements are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
US16/960,818 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features Active 2038-12-04 US11798789B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/960,818 US11798789B2 (en) 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862718112P 2018-08-13 2018-08-13
PCT/US2018/050273 WO2020036613A1 (en) 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US16/960,818 US11798789B2 (en) 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/050273 A-371-Of-International WO2020036613A1 (en) 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/377,141 Continuation US20240038504A1 (en) 2018-08-13 2023-10-05 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Publications (2)

Publication Number Publication Date
US20200395195A1 US20200395195A1 (en) 2020-12-17
US11798789B2 true US11798789B2 (en) 2023-10-24

Family

ID=69525639

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/960,818 Active 2038-12-04 US11798789B2 (en) 2018-08-13 2018-09-10 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US18/377,141 Pending US20240038504A1 (en) 2018-08-13 2023-10-05 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/377,141 Pending US20240038504A1 (en) 2018-08-13 2023-10-05 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features

Country Status (8)

Country Link
US (2) US11798789B2 (ko)
EP (1) EP3837713A4 (ko)
JP (4) JP6859426B2 (ko)
KR (3) KR20210111872A (ko)
CN (1) CN111052344B (ko)
SG (1) SG11202006623YA (ko)
TW (3) TWI830138B (ko)
WO (1) WO2020036613A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11538713B2 (en) * 2017-12-05 2022-12-27 Lam Research Corporation System and method for edge ring wear compensation
JP7105666B2 (ja) * 2018-09-26 2022-07-25 東京エレクトロン株式会社 プラズマ処理装置
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
US12009236B2 (en) * 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
JP2021040011A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 プラズマ処理装置
CN112563186A (zh) * 2019-09-26 2021-03-26 东京毅力科创株式会社 基片支承器和等离子体处理装置
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
JP2023502250A (ja) * 2019-11-26 2023-01-23 ラム リサーチ コーポレーション 基板処理ツール用のキャリアリングから台座へのキネマティックマウント
TW202137326A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 基板支持台、電漿處理系統及環狀構件之安裝方法
US20230369025A1 (en) * 2020-03-23 2023-11-16 Lam Research Corporation High precision edge ring centering for substrate processing systems
CN112397366B (zh) 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
WO2022108789A1 (en) * 2020-11-19 2022-05-27 Applied Materials, Inc. Ring for substrate extreme edge protection
KR102327270B1 (ko) * 2020-12-03 2021-11-17 피에스케이 주식회사 지지 유닛, 기판 처리 장치, 그리고 기판 처리 방법
KR20220090894A (ko) * 2020-12-23 2022-06-30 세메스 주식회사 포커스 링 및 포커스 링을 포함하는 기판 처리 장치
US20220275500A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for processing a semiconductor substrate
CN112857647B (zh) * 2021-03-25 2023-01-03 哈尔滨工程大学 一种活塞环周向弹力检测装置
KR102491002B1 (ko) * 2021-06-28 2023-01-27 세메스 주식회사 링 부재 및 이를 가지는 기판 처리 장치

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5840129A (en) * 1994-07-15 1998-11-24 Ontrak Systems, Inc. Hesitation free roller
US6048403A (en) 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
JP3076791B2 (ja) 1998-10-19 2000-08-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
KR20020031417A (ko) 1999-10-29 2002-05-01 조셉 제이. 스위니 반도체 제조 장치
US20040149389A1 (en) 2002-11-26 2004-08-05 Tokyo Electron Limited Plasma processing device
KR20090080520A (ko) 2006-10-16 2009-07-24 램 리써치 코포레이션 석영 가드 링 센터링 피쳐들
WO2013108750A1 (ja) 2012-01-17 2013-07-25 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US20130284374A1 (en) 2012-04-26 2013-10-31 Dmitry Lubomirsky High temperature electrostatic chuck with real-time heat zone regulating capability
US20140017900A1 (en) * 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的***和方法
TW201626427A (zh) 2014-12-30 2016-07-16 應用材料股份有限公司 高傳導處理套件
US20160211166A1 (en) 2015-01-16 2016-07-21 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution
US20170256393A1 (en) 2013-07-19 2017-09-07 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US20170287682A1 (en) 2016-03-29 2017-10-05 Lam Research Corporation Systems and methods for performing edge ring characterization
WO2018010986A1 (de) 2016-07-13 2018-01-18 Siltronic Ag Vorrichtung zur handhabung einer halbleiterscheibe in einem epitaxie-reaktor und verfahren zur herstellung einer halbleiterscheibe mit epitaktischer schicht
TW201817899A (zh) 2016-08-19 2018-05-16 美商蘭姆研究公司 以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制
US20180166259A1 (en) 2016-12-08 2018-06-14 Tokyo Electron Limited Mounting table and plasma processing apparatus
US20180218933A1 (en) 2017-02-01 2018-08-02 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US20210057256A1 (en) * 2019-08-19 2021-02-25 Applied Materials, Inc. Calibration of an aligner station of a processing system
US20210066052A1 (en) * 2019-09-02 2021-03-04 Kioxia Corporation Plasma processing apparatus
US20210111007A1 (en) * 2019-10-10 2021-04-15 Samsung Electronics Co., Ltd. Electrostatic chuck and substrate processing apparatus including the same
US20210291374A1 (en) * 2020-03-17 2021-09-23 Applied Materials, Inc. Calibration of an electronics processing system
US20220122878A1 (en) * 2019-05-10 2022-04-21 Lam Research Corporation Automated process module ring positioning and replacement
US20220246408A1 (en) * 2019-06-06 2022-08-04 Lam Research Corporation Automated transfer of edge ring requiring rotational alignment

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
CN102610476B (zh) * 2012-03-12 2015-05-27 中微半导体设备(上海)有限公司 一种静电吸盘
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5840129A (en) * 1994-07-15 1998-11-24 Ontrak Systems, Inc. Hesitation free roller
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US20020043337A1 (en) * 1997-11-03 2002-04-18 Goodman Matthew G. Low mass wafer support system
US6048403A (en) 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
JP3076791B2 (ja) 1998-10-19 2000-08-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
KR20020031417A (ko) 1999-10-29 2002-05-01 조셉 제이. 스위니 반도체 제조 장치
US20040149389A1 (en) 2002-11-26 2004-08-05 Tokyo Electron Limited Plasma processing device
KR20090080520A (ko) 2006-10-16 2009-07-24 램 리써치 코포레이션 석영 가드 링 센터링 피쳐들
US20140017900A1 (en) * 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
WO2013108750A1 (ja) 2012-01-17 2013-07-25 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US20130284374A1 (en) 2012-04-26 2013-10-31 Dmitry Lubomirsky High temperature electrostatic chuck with real-time heat zone regulating capability
CN104205321A (zh) 2012-04-26 2014-12-10 应用材料公司 具有实时热区调节能力的高温静电夹具
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的***和方法
US20170256393A1 (en) 2013-07-19 2017-09-07 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
TW201626427A (zh) 2014-12-30 2016-07-16 應用材料股份有限公司 高傳導處理套件
US20160211166A1 (en) 2015-01-16 2016-07-21 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
CN108369922A (zh) 2016-01-26 2018-08-03 应用材料公司 晶片边缘环升降解决方案
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US20170287682A1 (en) 2016-03-29 2017-10-05 Lam Research Corporation Systems and methods for performing edge ring characterization
JP2017183701A (ja) 2016-03-29 2017-10-05 ラム リサーチ コーポレーションLam Research Corporation エッジリング特性評価を実行するためのシステムおよび方法
WO2018010986A1 (de) 2016-07-13 2018-01-18 Siltronic Ag Vorrichtung zur handhabung einer halbleiterscheibe in einem epitaxie-reaktor und verfahren zur herstellung einer halbleiterscheibe mit epitaktischer schicht
KR20190026898A (ko) 2016-07-13 2019-03-13 실트로닉 아게 에피택시 반응기에서의 반도체 웨이퍼를 취급하는 장치 및 에피택셜 층을 갖는 반도체 웨이퍼를 제조하는 방법
TW201817899A (zh) 2016-08-19 2018-05-16 美商蘭姆研究公司 以可移動邊緣環與氣體注射調整達成之晶圓上臨界尺寸均勻性控制
JP2018098239A (ja) 2016-12-08 2018-06-21 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20180166259A1 (en) 2016-12-08 2018-06-14 Tokyo Electron Limited Mounting table and plasma processing apparatus
US20180218933A1 (en) 2017-02-01 2018-08-02 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP2018125519A (ja) 2017-02-01 2018-08-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッジ均一性制御のための調整可能な延長電極
US20220122878A1 (en) * 2019-05-10 2022-04-21 Lam Research Corporation Automated process module ring positioning and replacement
US20220246408A1 (en) * 2019-06-06 2022-08-04 Lam Research Corporation Automated transfer of edge ring requiring rotational alignment
US20210057256A1 (en) * 2019-08-19 2021-02-25 Applied Materials, Inc. Calibration of an aligner station of a processing system
US20210066052A1 (en) * 2019-09-02 2021-03-04 Kioxia Corporation Plasma processing apparatus
US20210111007A1 (en) * 2019-10-10 2021-04-15 Samsung Electronics Co., Ltd. Electrostatic chuck and substrate processing apparatus including the same
US20210291374A1 (en) * 2020-03-17 2021-09-23 Applied Materials, Inc. Calibration of an electronics processing system

Non-Patent Citations (10)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion of the ISA issued in PCT/US2018/050273, dated May 13, 2019; ISA/KR.
Notice of Reason for Refusal issued in corresponding Japanese patent application No. 2019-505020 dated Oct. 16, 2020.
Office Action issued in corresponding Chinese Patent Application 201880002160.6 dated Mar. 23, 2023.
Office Action issued in corresponding Japanese Patent Application 2022-31361 dated Jan. 17, 2023 (No English translation available).
Office Action issued in corresponding Korean Patent Application 10-2018-7028683 dated Dec. 29, 2022.
Office Action issued in corresponding Korean Patent Application No. 10-2018-7028683 dated Apr. 27, 2022.
Office Action issued in corresponding Korean Patent Application No. 10-2018-7028683 dated Nov. 26, 2020.
Office Action issued in corresponding Taiwanese Patent Application 111104002 dated Mar. 6, 2023.
Office Action issued in corresponding Taiwanese Patent Application No. 109128923 dated May 11, 2021 (4 pages).
Supplementary Partial European Search Report issued in corresponding European Patent Application No. 18929891 dated Mar. 18, 2022.

Also Published As

Publication number Publication date
EP3837713A1 (en) 2021-06-23
CN111052344A (zh) 2020-04-21
JP2020532852A (ja) 2020-11-12
JP7035246B2 (ja) 2022-03-14
EP3837713A4 (en) 2022-07-20
TWI705474B (zh) 2020-09-21
SG11202006623YA (en) 2020-08-28
JP2021103788A (ja) 2021-07-15
TW202009972A (zh) 2020-03-01
JP6859426B2 (ja) 2021-04-14
TW202226307A (zh) 2022-07-01
TW202117785A (zh) 2021-05-01
KR20230106754A (ko) 2023-07-13
JP2023182766A (ja) 2023-12-26
JP7368520B2 (ja) 2023-10-24
US20200395195A1 (en) 2020-12-17
TWI757848B (zh) 2022-03-11
WO2020036613A1 (en) 2020-02-20
CN111052344B (zh) 2024-04-02
KR20210111872A (ko) 2021-09-13
JP2022071086A (ja) 2022-05-13
KR20200066537A (ko) 2020-06-10
TWI830138B (zh) 2024-01-21
US20240038504A1 (en) 2024-02-01

Similar Documents

Publication Publication Date Title
US11798789B2 (en) Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
EP3580777B1 (en) Moveable edge ring designs
EP3843129B1 (en) Bottom edge rings
TW202420365A (zh) 結合邊緣環定位及置中特徵部的電漿鞘調諧用可更換及/或可折疊邊緣環組件及使用該組件的系統

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE