US11657203B2 - Multi-phase topology synthesis of a network-on-chip (NoC) - Google Patents

Multi-phase topology synthesis of a network-on-chip (NoC) Download PDF

Info

Publication number
US11657203B2
US11657203B2 US17/116,344 US202017116344A US11657203B2 US 11657203 B2 US11657203 B2 US 11657203B2 US 202017116344 A US202017116344 A US 202017116344A US 11657203 B2 US11657203 B2 US 11657203B2
Authority
US
United States
Prior art keywords
network
abstract
noc
constraints
elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US17/116,344
Other versions
US20210200928A1 (en
Inventor
Moez Cherif
Benoit de Lescure
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Arteris Inc
Original Assignee
Arteris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/728,335 external-priority patent/US11121933B2/en
Application filed by Arteris Inc filed Critical Arteris Inc
Priority to US17/116,344 priority Critical patent/US11657203B2/en
Assigned to ARTERIS, INC. reassignment ARTERIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DE LESCURE, BENOIT, Cherif, Moez
Publication of US20210200928A1 publication Critical patent/US20210200928A1/en
Priority to EP21204156.0A priority patent/EP4013014A1/en
Priority to CN202111443325.3A priority patent/CN114625692A/en
Application granted granted Critical
Publication of US11657203B2 publication Critical patent/US11657203B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • G06F15/7825Globally asynchronous, locally synchronous, e.g. network on chip
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/02System on chip [SoC] design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores

Definitions

  • the present technology is in the field of computer system design and, more specifically, related to multi-phase synthesis of network-on-chip (NoC) topologies, which is unrelated to logic synthesis.
  • NoC network-on-chip
  • Multiprocessor systems implemented in systems-on-chips communicate through networks, such as a network-on-chip (NoC).
  • IP Intellectual Property
  • the SoCs include instances of intellectual property (IP) blocks.
  • IP blocks are sources.
  • Some IP blocks are destinations. Sources and destinations communicate through a network, such as a NoC.
  • the number and precise functions of each network elements depend on the NoC technology employed.
  • the NoC includes elements that are implemented on a chip as logic functions using digital logic.
  • Transactions in the form of packets, are sent from a source to one or more destinations using any of the many industry-standard protocols.
  • the source which is connected to the NoC, sends a request transaction to a destination using an address to select the destination.
  • the NoC decodes the address and transports the request from the source to the destination.
  • the destination handles the transaction and sends a response transaction, which is transported back by the NoC, to the source.
  • the design of the NoC includes establishing a connectivity mapping for the NoC.
  • the challenge is that the connectivity map must take into account the location of the IP blocks in the floorplan, which represent physical constraints in the floorplan.
  • the NoC also must meet performance requirements.
  • Various design performance requirements include: connectivity and latency between source and destination; frequency of the various elements; maximum area available for the NoC logic; minimum throughput between sources and destinations; and position on the floorplan of elements attached to the NoC. It is a complex task to create an optimal NoC that fulfills all the above requirements with a minimum amount of logic and wires. This is typically the job of the chip architect or chip designer to create this optimal NoC. This is a difficult and time-consuming task.
  • the design of the NoC needs to be revised every time one of the requirements changes, such as modification of the chip floorplan, or modification of the expected performances. As a result, this task needs to be redone frequently over the design time of the chip.
  • This task can be automated by a software implementing a topology synthesis process.
  • the typical topology synthesis processes for generating the NoC attempts to find a solution using the actual NoC components, which takes into account their real size and actual timing arcs, simultaneously with the other constraints, such as floorplan and performances. Trying to resolve all constraints at once is orders of magnitude slower because elements' sizes and timing arcs are a direct function of their configuration, which changes as the topology synthesizer is considering various options.
  • systems and methods are disclosed that automatically create a network-on-chip (NoC) very quickly using a set of constraints.
  • the constraints are requirements.
  • the process received that constraints as inputs and produces a resulting NoC with all its elements configured and placement of such elements on the floorplan of the chip.
  • An advantage of the invention is that it simplifies the work of the chip architect or designer; it will do so in a matter of minutes, not hours or days.
  • Another advantage of the invention is the ability to generate the NoC for time sensitive design situations, such as a typical SoC projects where complexity is high, the overall design changes happen often, and designers are under strong time pressure.
  • FIG. 1 shows a process for synthesis using abstract elements of a network in accordance with the various aspects and embodiments of the invention.
  • FIG. 2 shows an abstract network with abstract elements in accordance with the various aspects and embodiments of the invention.
  • a “source, “master,” and an “initiator” refer to intellectual property (IP) blocks, units, or modules.
  • IP intellectual property
  • a “destination,” “slave,” and a “target” refer to IP blocks and are used interchangeably within the scope and embodiments of the invention.
  • a transaction may be a request transaction or a response transaction. Examples of request transactions include write request and read request. Transaction travel from source to destination through a communication path that passes through a Network-on-Chip (NoC), which includes various links and switches (a type of node).
  • NoC Network-on-Chip
  • a node is defined as a distribution point or a communication endpoint that is capable of creating, receiving, and/or transmitting information over a communication path or channel.
  • a node may refer to any one of the following: switches, splitters, mergers, buffers, and adapters.
  • splitters and mergers are switches; not all switches are splitters or mergers.
  • the term “splitter” describes a switch that has a single ingress port and multiple egress ports.
  • the term “merger” describes a switch that has a single egress port and multiple ingress ports.
  • NoCs are made by assembling elementary network functions such as network interface units, switches, adapters, buffers.
  • the NoC elementary functions are using an internal NoC transport protocol to communicate with each other, typically based on the transmission of packets.
  • Network interface units are converting the protocol used by the attached SoC unit, into the transport protocol used inside the NoC.
  • Switches route flows of traffic between sources and destinations and perform arbitration.
  • Adapters are dealing with various conversions between data width, clock, and power domains.
  • Buffers are used to insert pipelining elements to span long distances, or to store packets to deal with rate adaptation between fast senders and slow receivers or vice-versa.
  • the process for automatically building the NoC includes a topology synthesis phase and a mapping phase.
  • the process for automatically building the NoC includes a topology synthesis phase, a mapping phase, and a legalization and timing phase. The process runs considerably faster than other approaches because these phases, which are performed separately in accordance with the various aspects of the invention, are separated, and therefore performs local optimizations independently from each other's, which reduces the optimization complexity.
  • a process 100 for generating information that can be used to implement or automatically produce a network-on-chip (NoC) hardware design.
  • the process 100 uses the actual constraints of the NoC including physical information about the NoC for implementation.
  • the physical information provides guidance to the back-end implementation flow.
  • the process 100 is discussed in detail below with respect to various phases or steps, including: a topology synthesis phase or step that produces an abstract and generic network representation using simplified, abstracted version of network elements, which is technology-independent; a mapping phase or step, where abstract network elements are mapped to actual elements of a particular technology of NoC (this phase enables the re-use of the same abstract model and allows it to map to different technologies if needed) with a resulting output of a real NoC; and an optional legalization and timing phase, where the real NoC is optimized by placement selection and corrected pipelining are computed for actual NoC elements timing arcs as found in the real NoC, to generate an actual NoC with accurate physical placement and pipelining.
  • a topology synthesis phase or step that produces an abstract and generic network representation using simplified, abstracted version of network elements, which is technology-independent
  • a mapping phase or step where abstract network elements are mapped to actual elements of a particular technology of NoC (this phase enables the re-use of the same abstract model and allows
  • the user inputs a set of constraints 110 .
  • the set of constraints 110 include information about the interconnect or Network-on-Chip (NoC) performance, floorplan, connectability, domains, clock speed, sockets etc., as outlined herein,
  • the process 100 includes receiving a set of constraints 110 defined by a user, which are provided as user inputs.
  • the set of constraints 110 is used as input to the process 100 .
  • the set of constraints 110 includes: connectivity and latency between source and destination as related to the NoC; frequency of the various elements in the NoC; placement shape and maximum area available for the NoC's logic; minimum throughput between sources and destinations as related to the NoC; and position on the floorplan of elements attached to the NoC; and other performance related constraints for the NoC.
  • the process 100 at step 112 uses the set of constraints 110 .
  • the process 100 produces the description of a resulting NoC, with its configured elements and the suggested position of each element on the floorplan.
  • the process 100 , step 112 uses a topology synthesis algorithm and the scope of the present invention is not limited by the type of topology synthesis algorithm used.
  • the process 100 step 112 , generates a resulting NoC that is built using abstracts elements, including: abstract switches, abstract adapters, and abstract protocols converters.
  • the abstract elements are connected through links. The details for the abstract elements and connectivity are discussed further herein. In accordance with various aspects and embodiments of the invention, there may be other abstract elements in the resulting NoC.
  • the process 100 , step 112 performs the topology synthesis without consideration for the actual physical size of the NoC switches, or other elements of the NoC, if they overlap, or fit of the elements in their location. Instead, the process 100 , step 112 , uses solely optimization algorithms that are focused on minimizing the latency through the (abstract) NoC and on simplifying its structure to minimize the number of wires used by the NoC. Because it does not need to compute actual element sizes (in term of logic gates, or area taken on the floorplan), nor have to deal with actual timing arcs, the topology synthesis step 112 can run extremely fast.
  • the constraints 110 are captured in machine-readable form, such as computer files using a well-defined format to capture information.
  • a format is XML.
  • another example of such a format is JSON.
  • the specific format used is not a limitation of the scope of the invention.
  • the process 100 manipulates abstract elements, which are not linked to a particular implementation of the NoC technology, in hardware format.
  • the process 100 builds and outputs an abstract network 120 using abstract elements and abstract links, which connect the abstract elements.
  • the abstract links can carry a quantum of data every cycle of their clock.
  • a non-limiting example of an abstract NoC is discussed with respect to FIG. 2 .
  • an abstract network 200 is shown with abstract elements including: abstract initiator network interface units (I-NIU 0 ) 240 and I-NIU 1 250 ; abstract target network interface units (T-NIU 1 ) 280 and T-NIU 0 290 ; abstract switches SW 0 210 and SW 1 220 ; abstract adapters 242 , 246 , 252 , 256 , 260 , 262 , 282 , 286 , 292 , and 296 ; and abstract links L 0 , L 1 , L 2 , L 3 , and L 4 .
  • SW 0 210 is in communication with SW 1 220 through adapter 260 , link L 1 , and adapter 262 .
  • Each abstract element has its own data width (D) and clock frequency (F).
  • D data width
  • F clock frequency
  • the quantum of useful data transmitted in a clock cycle is D(L), and its clock is running at frequency F(L).
  • D(L) is measured in bytes and F(L) in measured in Hertz.
  • Every abstract link (L) considered has a capacity.
  • the link's capacity is defined as D(L) ⁇ F(L) bytes per second. This will be used as an approximation of the useful bandwidth carried by the link for handling the payload, while ignoring overhead (such as packets headers and other ancillary information that might be transmitted along with the payload).
  • the process 100 generated the abstract NoC 120 that includes the abstract switch (SW).
  • SW has a set of N inputs and M outputs. Any of SW's N inputs can send traffic to any of its M outputs.
  • the arbitration policy used in case of contention is supposed to be perfect and not introducing performance losses.
  • each input and each output of the switch can transfer the same quantum of data per clock cycle D(SW).
  • the whole SW, which has N inputs and M outputs, is working using the same clock, which is at frequency F(SW).
  • the capacity of an ingress port i.e., input
  • D(SW) ⁇ F(SW) for the specific ingress port.
  • the capacity of an egress port i.e., output
  • the process 100 generated the abstract NoC 120 that includes generic adapters.
  • Generic adapters are abstract components having an input (with a quantum of data per clock cycle D(i), at a clock running at frequency F(i)) and an output (with a quantum of data per clock cycle D(o) and a clock running at frequency F(o)). Accordingly, packets entering a generic adapter input are presented to the generic adapter output with a change of their width and clock, but nothing else. For example, the adapter 260 goes from the data/timing domain D(SW 0 ), F(SW 0 ) to the data/timing domain D(L 1 ), F(L 1 ).
  • the process 100 includes a generic initiator-side protocol converter (I_NIU) and a generic target-side protocol converter (T_NIU).
  • I_NIU initiator-side protocol converter
  • T_NIU target-side protocol converter
  • T_NIU are sinks with respect to requests to the NoC and act as a source of responses sent to the NoC.
  • Each NIU(x) might be able to send and receive a quantum of data D(niu[x]) every clock cycle and its clock is working at a frequency F(niu[x]).
  • the process 100 outputs or builds the abstract network 120 through the topology synthesis step 112 .
  • the process 100 provides the abstract network 120 as input to a mapping step 122 .
  • the mapping step 122 is a fast and mechanical process that assign one or more real NoC element implementation to each abstract element used in the synthesis step 112 for description of the abstract NoC 120 .
  • the mapping step 122 uses NoC element descriptions, which are in a NoC library of element descriptions.
  • the library of element descriptions are provided an input to the mapping step 122 .
  • the process 100 does not perform optimization at the mapping step 122 ; the process 100 uses simple heuristics. Thus, the mapping step 122 is extremely fast.
  • each abstract N ⁇ M switch will be implemented using the actual available switching elements in the NoC library that is the target of the synthesis.
  • the process 100 selects the best NoC element from the library of NoC element descriptions, based on the given size and type of traffic through it.
  • the mapping step 122 of the process 100 will break down the abstract N ⁇ M switch into smaller switches, while honoring the original mapping between the ingresses and egresses ports.
  • the process 100 compares the abstract smaller switches to the library of NoC element descriptions to determine if any matches are found.
  • the mapping step 122 determines if there is a matching NoC element description in library. If so, then the mapping step 122 selects the element from the NoC element description library.
  • the mapping step 122 uses an algorithm that is implementation dependent. Thus, the scope of the invention is not limited by the algorithm as different implementations use different algorithms. As such, the process 100 provides an abstract NoC representation as input to the mapping step 122 and produces an actual or real NoC (network) 130 as an output that includes elements selected from a NoC element description library.
  • the process 100 computes the actual size and timing arcs of each actual element of the implemented network or NoC 130 .
  • the NoC 130 is provided to a legalization and timing step 132 .
  • NoC element characteristics for each of the elements in the NoC 130 are also provided to or accessed by the process 100 at step 132 .
  • the actual size and timing information (the NoC element characteristics) are used to adjust the position of the elements on the floorplan to minimize congestion as well as add pipeline stages in elements to ensure timing will be closed.
  • element characteristics for the components in the NoC 130 are accessed in the NoC element characteristic library.
  • Step 132 produces, as an output, an actual optimized network or an optimized NoC 140 .
  • the NoC 140 includes components that are optimized in terms of location (to minimize logic congestion) and pipelining (to optimize timing).
  • the detailed algorithms used at step 132 to perform legalization, compute timing, and decide on pipeline insertions is implementation dependent. Thus, the scope of the present invention if not limited thereby.
  • the process 100 can be augmented so that each phase accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC.
  • the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints.
  • the input to the topology synthesis step 112 includes the previously generated NoC 140 .
  • the process 100 can be augmented such that each step accepts as input a previously generated NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously generated NoC.
  • the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints.
  • the input to the topology synthesis step 112 includes the previously generated NoC 120 .
  • the process 100 can receive as an input or be augmented such that each step accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC.
  • the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints.
  • the input to the topology synthesis step 112 includes the previously generated NoC 130 .
  • the process 100 can receive as an input or be augmented such that each step accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC.
  • the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints.
  • the input to the topology synthesis step 112 includes the previously generated NoC 140 .
  • the previously generated NoCs can be provided to any step of the process 100 , such as steps 122 and 132 to ensure minimal deviation and changes are incorporated quickly without having to perform the entire process for the entire NoC; the process is faster when using an already generated output NoC.
  • the tool can be used to ensure multiple iterations of the synthesis are done for incremental optimization of the NoC.
  • the results are produced in a machine-readable form, such as computer files using a well-defined format to capture information.
  • the scope of the invention is not limited by the specific format.
  • Non-transitory computer readable medium stores code including instructions that, if executed by one or more processors, would cause a system or computer to perform steps of the method described herein.
  • the non-transitory computer readable medium includes: a rotating magnetic disk, a rotating optical disk, a flash random access memory (RAM) chip, and other mechanically moving or solid-state storage media. Any type of computer-readable medium is appropriate for storing code comprising instructions according to various example.
  • IP elements or units include: processors (e.g., CPUs or GPUs), random-access memory (RAM—e.g., off-chip dynamic RAM or DRAM), a network interface for wired or wireless connections such as ethernet, WiFi, 3G, 4G long-term evolution (LTE), 5G, and other wireless interface standard radios.
  • processors e.g., CPUs or GPUs
  • RAM random-access memory
  • the IP may also include various I/O interface devices, as needed for different peripheral devices such as touch screen sensors, geolocation receivers, microphones, speakers, Bluetooth peripherals, and USB devices, such as keyboards and mice, among others.
  • Some examples are one or more non-transitory computer readable media arranged to store such instructions for methods described herein. Whatever machine holds non-transitory computer readable media comprising any of the necessary code may implement an example. Some examples may be implemented as: physical devices such as semiconductor chips; hardware description language representations of the logical or functional behavior of such devices; and one or more non-transitory computer readable media arranged to store such hardware description language representations. Descriptions herein reciting principles, aspects, and embodiments encompass both structural and functional equivalents thereof. Elements described herein as coupled have an effectual relationship realizable by a direct connection or indirectly with one or more other intervening elements.

Abstract

A process is disclosed that automatically creates a network-on-chip (NoC) very quickly using a set of constraints, which are requirements for the NoC. The process takes a set of constraints as inputs and produces a NoC with all its elements configured and a placement of such elements on the floorplan of the chip.

Description

CROSS REFERENCE TO RELATED APPLICATION
This application is a continuation-in-part of U.S. Non-Provisional patent application Ser. No. 16/728,335 titled PHYSICALLY AWARE TOPOLOGY SYNTHESIS OF A NETWORK filed on Dec. 27, 2019 by Moez CHERIF, et al., the entire disclosure of which is incorporated herein by reference.
FIELD OF THE INVENTION
The present technology is in the field of computer system design and, more specifically, related to multi-phase synthesis of network-on-chip (NoC) topologies, which is unrelated to logic synthesis.
BACKGROUND
Multiprocessor systems implemented in systems-on-chips (SoCs) communicate through networks, such as a network-on-chip (NoC). Intellectual Property (IP) blocks or elements or cores are used in chip design. The SoCs include instances of intellectual property (IP) blocks. Some IP blocks are sources. Some IP blocks are destinations. Sources and destinations communicate through a network, such as a NoC.
The number and precise functions of each network elements depend on the NoC technology employed. The NoC includes elements that are implemented on a chip as logic functions using digital logic. Transactions, in the form of packets, are sent from a source to one or more destinations using any of the many industry-standard protocols. The source, which is connected to the NoC, sends a request transaction to a destination using an address to select the destination. The NoC decodes the address and transports the request from the source to the destination. The destination handles the transaction and sends a response transaction, which is transported back by the NoC, to the source.
The design of the NoC includes establishing a connectivity mapping for the NoC. The challenge is that the connectivity map must take into account the location of the IP blocks in the floorplan, which represent physical constraints in the floorplan. The NoC also must meet performance requirements. Various design performance requirements include: connectivity and latency between source and destination; frequency of the various elements; maximum area available for the NoC logic; minimum throughput between sources and destinations; and position on the floorplan of elements attached to the NoC. It is a complex task to create an optimal NoC that fulfills all the above requirements with a minimum amount of logic and wires. This is typically the job of the chip architect or chip designer to create this optimal NoC. This is a difficult and time-consuming task. In addition to be a difficult task, the design of the NoC needs to be revised every time one of the requirements changes, such as modification of the chip floorplan, or modification of the expected performances. As a result, this task needs to be redone frequently over the design time of the chip. This task can be automated by a software implementing a topology synthesis process. However, the typical topology synthesis processes for generating the NoC attempts to find a solution using the actual NoC components, which takes into account their real size and actual timing arcs, simultaneously with the other constraints, such as floorplan and performances. Trying to resolve all constraints at once is orders of magnitude slower because elements' sizes and timing arcs are a direct function of their configuration, which changes as the topology synthesizer is considering various options.
Therefore, what is needed is a system and method that will automatically create a NoC given a set of constraints. Further, the system and method need to create the NoC very quickly, such as in a matter of minutes (not hours or days) because it is critical to get fast results for typical SoC projects where complexity is high and designers are under strong time pressure.
SUMMARY OF THE INVENTION
In accordance with various embodiments and aspects of the invention, systems and methods are disclosed that automatically create a network-on-chip (NoC) very quickly using a set of constraints. The constraints are requirements. The process received that constraints as inputs and produces a resulting NoC with all its elements configured and placement of such elements on the floorplan of the chip. An advantage of the invention is that it simplifies the work of the chip architect or designer; it will do so in a matter of minutes, not hours or days. Another advantage of the invention is the ability to generate the NoC for time sensitive design situations, such as a typical SoC projects where complexity is high, the overall design changes happen often, and designers are under strong time pressure.
BRIEF DESCRIPTION OF THE DRAWINGS
In order to understand the invention more fully, reference is made to the accompanying drawings. The invention is described in accordance with the aspects and embodiments in the following description with reference to the drawings or figures (FIG.), in which like numbers represent the same or similar elements. Understanding that these drawings are not to be considered limitations in the scope of the invention, the presently described aspects and embodiments and the presently understood best mode of the invention are described with additional detail through use of the accompanying drawings.
FIG. 1 shows a process for synthesis using abstract elements of a network in accordance with the various aspects and embodiments of the invention.
FIG. 2 shows an abstract network with abstract elements in accordance with the various aspects and embodiments of the invention.
DETAILED DESCRIPTION
The following describes various examples of the present technology that illustrate various aspects and embodiments of the invention. Generally, examples can use the described aspects in any combination. All statements herein reciting principles, aspects, and embodiments as well as specific examples thereof, are intended to encompass both structural and functional equivalents thereof. Additionally, it is intended that such equivalents include both currently known equivalents and equivalents developed in the future, i.e., any elements developed that perform the same function, regardless of structure.
It is noted that, as used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. Reference throughout this specification to “one aspect,” “an aspect,” “certain aspects,” “various aspects,” or similar language means that a particular aspect, feature, structure, or characteristic described in connection with any embodiment is included in at least one embodiment of the invention.
Appearances of the phrases “in one embodiment,” “in at least one embodiment,” “in an embodiment,” “in certain embodiments,” and similar language throughout this specification may, but do not necessarily, all refer to the same embodiment or similar embodiments. Furthermore, aspects and embodiments of the invention described herein are merely exemplary, and should not be construed as limiting of the scope or spirit of the invention as appreciated by those of ordinary skill in the art. The disclosed invention is effectively made or used in any embodiment that includes any novel aspect described herein. All statements herein reciting aspects and embodiments of the invention are intended to encompass both structural and functional equivalents thereof. It is intended that such equivalents include both currently known equivalents and equivalents developed in the future.
As used herein, a “source, “master,” and an “initiator” refer to intellectual property (IP) blocks, units, or modules. The terms “source,’ “master,” and “initiator” are used interchangeably within the scope and embodiments of the invention. As used herein, a “destination,” “slave,” and a “target” refer to IP blocks and are used interchangeably within the scope and embodiments of the invention. As used herein, a transaction may be a request transaction or a response transaction. Examples of request transactions include write request and read request. Transaction travel from source to destination through a communication path that passes through a Network-on-Chip (NoC), which includes various links and switches (a type of node).
As used herein, a node is defined as a distribution point or a communication endpoint that is capable of creating, receiving, and/or transmitting information over a communication path or channel. A node may refer to any one of the following: switches, splitters, mergers, buffers, and adapters. As used herein, splitters and mergers are switches; not all switches are splitters or mergers. As used herein and in accordance with the various aspects and embodiments of the invention, the term “splitter” describes a switch that has a single ingress port and multiple egress ports. As used herein and in accordance with the various aspects and embodiments of the invention, the term “merger” describes a switch that has a single egress port and multiple ingress ports.
NoCs are made by assembling elementary network functions such as network interface units, switches, adapters, buffers. The NoC elementary functions are using an internal NoC transport protocol to communicate with each other, typically based on the transmission of packets. Network interface units are converting the protocol used by the attached SoC unit, into the transport protocol used inside the NoC. Switches route flows of traffic between sources and destinations and perform arbitration. Adapters are dealing with various conversions between data width, clock, and power domains. Buffers are used to insert pipelining elements to span long distances, or to store packets to deal with rate adaptation between fast senders and slow receivers or vice-versa.
In accordance with the various aspects and embodiments of the invention, the process for automatically building the NoC includes a topology synthesis phase and a mapping phase. In accordance with the various aspects and embodiments of the invention, the process for automatically building the NoC includes a topology synthesis phase, a mapping phase, and a legalization and timing phase. The process runs considerably faster than other approaches because these phases, which are performed separately in accordance with the various aspects of the invention, are separated, and therefore performs local optimizations independently from each other's, which reduces the optimization complexity.
Referring now to FIG. 1 , in accordance with the various aspects and embodiment of the invention, a process 100 is shown for generating information that can be used to implement or automatically produce a network-on-chip (NoC) hardware design. The process 100 uses the actual constraints of the NoC including physical information about the NoC for implementation. The physical information provides guidance to the back-end implementation flow.
In accordance with the various aspects and embodiment of the invention, the process 100 is discussed in detail below with respect to various phases or steps, including: a topology synthesis phase or step that produces an abstract and generic network representation using simplified, abstracted version of network elements, which is technology-independent; a mapping phase or step, where abstract network elements are mapped to actual elements of a particular technology of NoC (this phase enables the re-use of the same abstract model and allows it to map to different technologies if needed) with a resulting output of a real NoC; and an optional legalization and timing phase, where the real NoC is optimized by placement selection and corrected pipelining are computed for actual NoC elements timing arcs as found in the real NoC, to generate an actual NoC with accurate physical placement and pipelining.
In general, the user inputs a set of constraints 110. In accordance with various aspects and embodiments of the invention, the set of constraints 110 include information about the interconnect or Network-on-Chip (NoC) performance, floorplan, connectability, domains, clock speed, sockets etc., as outlined herein,
The process 100 includes receiving a set of constraints 110 defined by a user, which are provided as user inputs. The set of constraints 110 is used as input to the process 100. The set of constraints 110 includes: connectivity and latency between source and destination as related to the NoC; frequency of the various elements in the NoC; placement shape and maximum area available for the NoC's logic; minimum throughput between sources and destinations as related to the NoC; and position on the floorplan of elements attached to the NoC; and other performance related constraints for the NoC. The process 100 at step 112 uses the set of constraints 110. At step 112, the process 100 produces the description of a resulting NoC, with its configured elements and the suggested position of each element on the floorplan. The process 100, step 112, uses a topology synthesis algorithm and the scope of the present invention is not limited by the type of topology synthesis algorithm used.
In accordance with various aspects and embodiments of the invention, the process 100, step 112, generates a resulting NoC that is built using abstracts elements, including: abstract switches, abstract adapters, and abstract protocols converters. The abstract elements are connected through links. The details for the abstract elements and connectivity are discussed further herein. In accordance with various aspects and embodiments of the invention, there may be other abstract elements in the resulting NoC.
In accordance with various aspects and embodiments of the invention, the process 100, step 112, performs the topology synthesis without consideration for the actual physical size of the NoC switches, or other elements of the NoC, if they overlap, or fit of the elements in their location. Instead, the process 100, step 112, uses solely optimization algorithms that are focused on minimizing the latency through the (abstract) NoC and on simplifying its structure to minimize the number of wires used by the NoC. Because it does not need to compute actual element sizes (in term of logic gates, or area taken on the floorplan), nor have to deal with actual timing arcs, the topology synthesis step 112 can run extremely fast.
In accordance with various aspects and embodiments of the invention, the constraints 110 are captured in machine-readable form, such as computer files using a well-defined format to capture information. In accordance with the various aspects and embodiment of the invention, one example of such a format is XML. In accordance with the various aspects and embodiment of the invention, another example of such a format is JSON. The specific format used is not a limitation of the scope of the invention.
At the topology synthesis step 112, the process 100 manipulates abstract elements, which are not linked to a particular implementation of the NoC technology, in hardware format. The process 100 builds and outputs an abstract network 120 using abstract elements and abstract links, which connect the abstract elements. The abstract links can carry a quantum of data every cycle of their clock. A non-limiting example of an abstract NoC is discussed with respect to FIG. 2 .
Referring now to FIG. 2 , a non-limiting example of an abstract network 200 is shown with abstract elements including: abstract initiator network interface units (I-NIU0) 240 and I-NIU1 250; abstract target network interface units (T-NIU1) 280 and T-NIU0 290; abstract switches SW0 210 and SW1 220; abstract adapters 242, 246, 252, 256, 260, 262, 282, 286, 292, and 296; and abstract links L0, L1, L2, L3, and L4. For example, SW0 210 is in communication with SW1 220 through adapter 260, link L1, and adapter 262.
Each abstract element has its own data width (D) and clock frequency (F). In accordance with the various aspects and embodiment of the invention, for a link L, the quantum of useful data transmitted in a clock cycle is D(L), and its clock is running at frequency F(L). D(L) is measured in bytes and F(L) in measured in Hertz. Every abstract link (L) considered has a capacity. The link's capacity is defined as D(L)×F(L) bytes per second. This will be used as an approximation of the useful bandwidth carried by the link for handling the payload, while ignoring overhead (such as packets headers and other ancillary information that might be transmitted along with the payload).
In accordance with the various aspects and embodiment of the invention, the process 100 generated the abstract NoC 120 that includes the abstract switch (SW). SW has a set of N inputs and M outputs. Any of SW's N inputs can send traffic to any of its M outputs. In accordance with various aspects and embodiments of the invention, the arbitration policy used in case of contention is supposed to be perfect and not introducing performance losses. In accordance with various aspects and embodiments of the invention, each input and each output of the switch can transfer the same quantum of data per clock cycle D(SW). The whole SW, which has N inputs and M outputs, is working using the same clock, which is at frequency F(SW). The capacity of an ingress port (i.e., input) is D(SW)×F(SW) for the specific ingress port. The capacity of an egress port (i.e., output) is D(SW)×F(SW) for the specific egress port.
In accordance with various aspects and embodiments of the invention, the process 100 generated the abstract NoC 120 that includes generic adapters. Generic adapters are abstract components having an input (with a quantum of data per clock cycle D(i), at a clock running at frequency F(i)) and an output (with a quantum of data per clock cycle D(o) and a clock running at frequency F(o)). Accordingly, packets entering a generic adapter input are presented to the generic adapter output with a change of their width and clock, but nothing else. For example, the adapter 260 goes from the data/timing domain D(SW0), F(SW0) to the data/timing domain D(L1), F(L1).
In accordance with various aspects and embodiments of the invention, the process 100 includes a generic initiator-side protocol converter (I_NIU) and a generic target-side protocol converter (T_NIU). Sources of requests external to the NoC, such as CPU, are connected to the NoC through a I_NIU. The elements servicing requests from the CPU, for example memory, are connected to the NoC through a T_NIU. Therefore, I_NIU are a source of requests to the NoC and act as sinks for responses to the requests. Additionally, T_NIU are sinks with respect to requests to the NoC and act as a source of responses sent to the NoC. Each NIU(x) might be able to send and receive a quantum of data D(niu[x]) every clock cycle and its clock is working at a frequency F(niu[x]).
Referring again to FIG. 1 , the process 100 outputs or builds the abstract network 120 through the topology synthesis step 112. The process 100 provides the abstract network 120 as input to a mapping step 122. The mapping step 122 is a fast and mechanical process that assign one or more real NoC element implementation to each abstract element used in the synthesis step 112 for description of the abstract NoC 120. The mapping step 122 uses NoC element descriptions, which are in a NoC library of element descriptions. The library of element descriptions are provided an input to the mapping step 122. In accordance with some aspects of the invention, the process 100 does not perform optimization at the mapping step 122; the process 100 uses simple heuristics. Thus, the mapping step 122 is extremely fast.
In accordance with one aspect an embodiment of the invention, each abstract N×M switch will be implemented using the actual available switching elements in the NoC library that is the target of the synthesis. The process 100 selects the best NoC element from the library of NoC element descriptions, based on the given size and type of traffic through it.
In accordance with one aspect and embodiment of the invention, if 1:1 mapping is not possible, the mapping step 122 of the process 100 will break down the abstract N×M switch into smaller switches, while honoring the original mapping between the ingresses and egresses ports. The process 100 then compares the abstract smaller switches to the library of NoC element descriptions to determine if any matches are found. The mapping step 122 determines if there is a matching NoC element description in library. If so, then the mapping step 122 selects the element from the NoC element description library.
The mapping step 122 uses an algorithm that is implementation dependent. Thus, the scope of the invention is not limited by the algorithm as different implementations use different algorithms. As such, the process 100 provides an abstract NoC representation as input to the mapping step 122 and produces an actual or real NoC (network) 130 as an output that includes elements selected from a NoC element description library.
After the mapping step 122, the process 100 computes the actual size and timing arcs of each actual element of the implemented network or NoC 130. In accordance with some aspects of the invention, the NoC 130 is provided to a legalization and timing step 132. Furthermore, NoC element characteristics for each of the elements in the NoC 130 are also provided to or accessed by the process 100 at step 132. At step 132, the actual size and timing information (the NoC element characteristics) are used to adjust the position of the elements on the floorplan to minimize congestion as well as add pipeline stages in elements to ensure timing will be closed. At step 132, element characteristics for the components in the NoC 130 are accessed in the NoC element characteristic library. Step 132 produces, as an output, an actual optimized network or an optimized NoC 140. The NoC 140 includes components that are optimized in terms of location (to minimize logic congestion) and pipelining (to optimize timing). The detailed algorithms used at step 132 to perform legalization, compute timing, and decide on pipeline insertions is implementation dependent. Thus, the scope of the present invention if not limited thereby.
In accordance with various aspects and embodiments of the invention, the process 100 can be augmented so that each phase accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC. For example, the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints. In addition to the updated constraints 110 a, the input to the topology synthesis step 112 includes the previously generated NoC 140.
In accordance with various aspects and embodiments of the invention, the process 100 can be augmented such that each step accepts as input a previously generated NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously generated NoC. For example, the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints. In addition to the updated constraints 110 a, the input to the topology synthesis step 112 includes the previously generated NoC 120.
In accordance with various aspects and embodiments of the invention, the process 100 can receive as an input or be augmented such that each step accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC. For example, the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints. In addition to the updated constraints 110 a, the input to the topology synthesis step 112 includes the previously generated NoC 130.
In accordance with various aspects and embodiments of the invention, the process 100 can receive as an input or be augmented such that each step accepts as input a previously configured NoC, in addition to a new set of constraints, or description of changes of constraints, so that each phase produces as output a NoC that is minimally different compared to the previously configured NoC. For example, the process 100 is repeated with a new set of constraints 110 a because there was a change in any one of the many constraints. In addition to the updated constraints 110 a, the input to the topology synthesis step 112 includes the previously generated NoC 140.
In accordance with various aspects and embodiments of the invention and as a non-limiting example, the previously generated NoCs (such as NoC 120, 130, or 140) can be provided to any step of the process 100, such as steps 122 and 132 to ensure minimal deviation and changes are incorporated quickly without having to perform the entire process for the entire NoC; the process is faster when using an already generated output NoC.
In accordance with some aspects and embodiments, the tool can be used to ensure multiple iterations of the synthesis are done for incremental optimization of the NoC. After implementation and execution of the topology synthesis process, the results are produced in a machine-readable form, such as computer files using a well-defined format to capture information. The scope of the invention is not limited by the specific format.
Certain methods according to the various aspects of the invention may be performed by instructions that are stored upon a non-transitory computer readable medium. The non-transitory computer readable medium stores code including instructions that, if executed by one or more processors, would cause a system or computer to perform steps of the method described herein. The non-transitory computer readable medium includes: a rotating magnetic disk, a rotating optical disk, a flash random access memory (RAM) chip, and other mechanically moving or solid-state storage media. Any type of computer-readable medium is appropriate for storing code comprising instructions according to various example.
Certain examples have been described herein and it will be noted that different combinations of different components from different examples may be possible. Salient features are presented to better explain examples; however, it is clear that certain features may be added, modified and/or omitted without modifying the functional aspects of these examples as described.
Various examples are methods that use the behavior of either or a combination of machines. Method examples are complete wherever in the world most constituent steps occur. For example and in accordance with the various aspects and embodiments of the invention, IP elements or units include: processors (e.g., CPUs or GPUs), random-access memory (RAM—e.g., off-chip dynamic RAM or DRAM), a network interface for wired or wireless connections such as ethernet, WiFi, 3G, 4G long-term evolution (LTE), 5G, and other wireless interface standard radios. The IP may also include various I/O interface devices, as needed for different peripheral devices such as touch screen sensors, geolocation receivers, microphones, speakers, Bluetooth peripherals, and USB devices, such as keyboards and mice, among others. By executing instructions stored in RAM devices processors perform steps of methods as described herein.
Some examples are one or more non-transitory computer readable media arranged to store such instructions for methods described herein. Whatever machine holds non-transitory computer readable media comprising any of the necessary code may implement an example. Some examples may be implemented as: physical devices such as semiconductor chips; hardware description language representations of the logical or functional behavior of such devices; and one or more non-transitory computer readable media arranged to store such hardware description language representations. Descriptions herein reciting principles, aspects, and embodiments encompass both structural and functional equivalents thereof. Elements described herein as coupled have an effectual relationship realizable by a direct connection or indirectly with one or more other intervening elements.
Practitioners skilled in the art will recognize many modifications and variations. The modifications and variations include any relevant combination of the disclosed features. Descriptions herein reciting principles, aspects, and embodiments encompass both structural and functional equivalents thereof. Elements described herein as “coupled” or “communicatively coupled” have an effectual relationship realizable by a direct connection or indirect connection, which uses one or more other intervening elements. Embodiments described herein as “communicating” or “in communication with” another device, module, or elements include any form of communication or link and include an effectual relationship. For example, a communication link may be established using a wired connection, wireless protocols, near-filed protocols, or RFID.
To the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and the claims, such terms are intended to be inclusive in a similar manner to the term “comprising.”
The scope of the invention, therefore, is not intended to be limited to the exemplary embodiments and aspects that are shown and described herein. Rather, the scope and spirit of the invention is embodied by the appended claims.

Claims (10)

What is claimed is:
1. A method for generation of a network, the method comprising:
receiving constraints for the network;
executing topology synthesis using the constraints to generate an abstract network as a solution for implementation of the network, wherein the abstract network includes abstract network elements representing simplified real elements;
generating, using the abstract network, a real network representation of the network, wherein the real network complies with the constraints for the network; and
producing an actual network, using the real network and elements from a network component library to optimize the real network based on location and pipelining in order to minimize logic congestion and to optimize timing, respectively, in the actual network.
2. The method of claim 1, wherein the abstract network elements include at least one abstract switch, at least two abstract protocol converters, and at least one abstract adapter.
3. The method of claim 2, wherein the abstract network elements are communicatively connected together through abstract links.
4. The method of claim 1, wherein the step of generating includes receiving input of network element descriptions from a network element library.
5. The method of claim 4, wherein network elements are selected based on their network element description to satisfy the constraints.
6. The method of claim 1, wherein the constraints include a previously generated network.
7. The method of claim 1, wherein the method is repeated with at least one constraint of the constraints is changed and the actual network, which was a previously generated, is included as an additional constraint.
8. A method for generation of a network, the method comprising:
receiving constraints for the network, the constraints include an abstract network representation for the network;
executing topology synthesis using the constraints to generate a second abstract network as a solution for implementation of the network, wherein the second abstract network includes abstract network elements;
generating, using the second abstract network, a real network representation of the network, wherein the real network complies with the constraints for the network;
producing an actual network using the real network and elements from a NoC component library.
9. The method of claim 8 further comprising optimizing the actual network based on location and pipelining of the elements in order to reduce congestion and to improve timing, respectively.
10. A non-transitory computer readable medium for storing code, which when executed by one or more processors, would cause the processor to:
execute topology synthesis using a plurality of constraints;
generate an abstract network-on-chip (NoC) as a solution for implementation of a physical NoC, wherein the abstract NoC includes abstract elements representing real elements;
generate, using the abstract NoC, a real NoC that complies with the constraints;
optimize the real network based on location and pipelining to produce an optimized NoC.
US17/116,344 2019-12-27 2020-12-09 Multi-phase topology synthesis of a network-on-chip (NoC) Active 2040-11-29 US11657203B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/116,344 US11657203B2 (en) 2019-12-27 2020-12-09 Multi-phase topology synthesis of a network-on-chip (NoC)
EP21204156.0A EP4013014A1 (en) 2020-12-09 2021-10-22 Multi-phase topology synthesis of a network-on-chip (noc)
CN202111443325.3A CN114625692A (en) 2020-12-09 2021-11-30 Multi-stage topology synthesis of network on chip (NoC)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/728,335 US11121933B2 (en) 2019-12-27 2019-12-27 Physically aware topology synthesis of a network
US17/116,344 US11657203B2 (en) 2019-12-27 2020-12-09 Multi-phase topology synthesis of a network-on-chip (NoC)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/728,335 Continuation-In-Part US11121933B2 (en) 2019-12-27 2019-12-27 Physically aware topology synthesis of a network

Publications (2)

Publication Number Publication Date
US20210200928A1 US20210200928A1 (en) 2021-07-01
US11657203B2 true US11657203B2 (en) 2023-05-23

Family

ID=76545671

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/116,344 Active 2040-11-29 US11657203B2 (en) 2019-12-27 2020-12-09 Multi-phase topology synthesis of a network-on-chip (NoC)

Country Status (1)

Country Link
US (1) US11657203B2 (en)

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5485396A (en) 1991-06-28 1996-01-16 Vlsi Technology, Inc. Symbolic routing guidance for wire networks in VLSI circuits
US5623420A (en) 1994-11-16 1997-04-22 Sun Microsystems, Inc. Method and apparatus to distribute spare cells within a standard cell region of an integrated circuit
US5638288A (en) 1994-08-24 1997-06-10 Lsi Logic Corporation Separable cells having wiring channels for routing signals between surrounding cells
US5761078A (en) 1996-03-21 1998-06-02 International Business Machines Corporation Field programmable gate arrays using semi-hard multicell macros
US5903886A (en) 1996-04-30 1999-05-11 Smartlynx, Inc. Hierarchical adaptive state machine for emulating and augmenting software
US5983277A (en) 1996-10-28 1999-11-09 Altera Corporation Work group computing for electronic design automation
US6002857A (en) 1996-11-14 1999-12-14 Avant! Corporation Symbolic constraint-based system for preroute reconstruction following floorplan incrementing
US6145117A (en) 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6321363B1 (en) 1999-01-11 2001-11-20 Novas Software Inc. Incremental simulation using previous simulation results and knowledge of changes to simulation model to achieve fast simulation time
US6378121B2 (en) 1997-03-27 2002-04-23 Nec Corporation Automatic global routing device for efficiently determining optimum wiring route on integrated circuit and global routing method therefor
US6437804B1 (en) 1997-10-23 2002-08-20 Aprisma Management Technologies, Inc Method for automatic partitioning of node-weighted, edge-constrained graphs
US6449761B1 (en) 1998-03-10 2002-09-10 Monterey Design Systems, Inc. Method and apparatus for providing multiple electronic design solutions
US20030093765A1 (en) 2001-11-13 2003-05-15 Lam William K Method and system for robust distributed circuit synthesis
US6622225B1 (en) 2000-08-31 2003-09-16 Hewlett-Packard Development Company, L.P. System for minimizing memory bank conflicts in a computer system
US20040040007A1 (en) 2002-08-20 2004-02-26 Ywh-Pyng Harn Method for eliminating routing congestion in an IC layout
US20040230919A1 (en) 2003-05-14 2004-11-18 Balamurugan Balasubramanian Advanced design format library for integrated circuit design synthesis and floorplanning tools
US20050073316A1 (en) 2003-10-01 2005-04-07 Graham Martin H. Circuit for measurement of electrical pollution on power line
US6883455B2 (en) 2002-06-17 2005-04-26 Kawasaki Jukogyo Kabushiki Kaisha Personal watercraft and buffer member for personal watercraft
US6907591B1 (en) 2001-08-28 2005-06-14 Cadence Design Systems, Inc. Method and apparatus for performing extraction using a neural network
US20050268258A1 (en) 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
US7096436B2 (en) 2000-03-15 2006-08-22 International Business Machines Corporation Macro design techniques to accommodate chip level wiring and circuit placement across the macro
US20070156378A1 (en) 2005-12-30 2007-07-05 Cadence Design Systems, Inc. System and method for verification aware synthesis
US20070157131A1 (en) 2005-12-30 2007-07-05 Cadence Design Systems, Inc. System and method for incremental synthesis
US20070174795A1 (en) 2005-12-30 2007-07-26 Luciano Lavagno System and method for synthesis reuse
US20070186018A1 (en) 2004-03-17 2007-08-09 Koninklijke Philips Electronics, N.V. Integrated circuit and method of communication service mapping
US20080046854A1 (en) 2005-01-12 2008-02-21 International Business Machines Corporation Method and system to redistribute white space for minimizing wire length
US20080049753A1 (en) 2006-08-22 2008-02-28 Heinze John M System and method for load balancing network resources using a connection admission control engine
US7398497B2 (en) 2001-07-11 2008-07-08 Fujitsu Limited Electronic circuit designing method apparatus for designing an electronic circuit, and storage medium for storing an electronic circuit designing method
US20080279183A1 (en) 2006-06-30 2008-11-13 Wiley William L System and method for call routing based on transmission performance of a packet network
US20080291826A1 (en) 2007-05-24 2008-11-27 Harris Stratex Networks Operating Corporation Dynamic Load Balancing for Layer-2 Link Aggregation
US20090031277A1 (en) 2007-07-23 2009-01-29 Mcelvain Kenneth S Architectural physical synthesis
US20090313592A1 (en) 2006-10-10 2009-12-17 Ecole Polytechnique Federale De Lausanne (Epfl) Method to design network-on-chip (noc) - based communication systems
US20100061352A1 (en) 2006-10-31 2010-03-11 Elena Fasolo Method for routing traffic in a local mobile communication network
US20100218146A1 (en) 2009-02-24 2010-08-26 Platzker Daniel M Synthesis using multiple synthesis engine configurations
US7788625B1 (en) 2005-04-14 2010-08-31 Xilinx, Inc. Method and apparatus for precharacterizing systems for use in system level design of integrated circuits
US20100274785A1 (en) 2009-04-24 2010-10-28 At&T Intellectual Property I, L.P. Database Analysis Using Clusters
US20110170406A1 (en) 2010-01-12 2011-07-14 Verizon Patent And Licensing Inc. Method and system for finding shortest paths in ring networks
US20120013509A1 (en) 2010-07-14 2012-01-19 Zebra Enterprise Solutions Corp. Frequency channel diversity for real-time locating systems, methods, and computer program products
US8302041B1 (en) 2008-06-25 2012-10-30 Xilinx, Inc. Implementation flow for electronic circuit designs using choice networks
US20120311512A1 (en) 2011-06-02 2012-12-06 Daniel Michel Display and automatic improvement of timing and area in a network-on-chip
US20130174113A1 (en) 2011-12-30 2013-07-04 Arteris SAS Floorplan estimation
US20130258847A1 (en) 2012-04-03 2013-10-03 Telefonaktiebolaget L M Ericsson (Publ) Congestion Control and Resource Allocation in Split Architecture Networks
US20130283226A1 (en) 2012-04-19 2013-10-24 Himax Technologies Limited Floorplanning method for an analog integrated circuit layout
US20140115218A1 (en) 2012-10-23 2014-04-24 Netspeed Systems ASYMMETRIC MESH NoC TOPOLOGIES
US20140126572A1 (en) 2012-11-02 2014-05-08 Altera Corporation Programmable logic device with integrated network-on-chip
US20140153575A1 (en) 2009-04-27 2014-06-05 Lsi Corporation Packet data processor in a communications processor architecture
US20140160939A1 (en) 2012-12-12 2014-06-12 Taqua Wbh, Llc Systems and methods optimizing backhaul transport
US20140169173A1 (en) 2012-12-14 2014-06-19 Ygdal Naouri Network congestion management by packet circulation
US20140211622A1 (en) 2013-01-28 2014-07-31 Netspeed Systems Creating multiple noc layers for isolation or avoiding noc traffic congestion
US20140298281A1 (en) 2013-03-31 2014-10-02 Atrenta, Inc. Method of global design closure at top level and driving of downstream implementation flow
US20150036536A1 (en) * 2013-08-05 2015-02-05 Netspeed Systems AUTOMATIC NoC TOPOLOGY GENERATION
US20150106778A1 (en) 2013-10-11 2015-04-16 Stmicroelectronics (Grenoble 2) Sas System for designing network on chip interconnect arrangements
US20150178435A1 (en) 2013-12-19 2015-06-25 Netspeed Systems Automatic pipelining of noc channels to meet timing and/or performance
US20150254325A1 (en) 2014-03-07 2015-09-10 Adobe Systems Incorporated Managing a distributed database across a plurality of clusters
US9184998B2 (en) 2013-03-14 2015-11-10 Qualcomm Incorporated Distributed path update in hybrid networks
US20150341224A1 (en) 2014-05-23 2015-11-26 Arteris, Inc NETWORK-ON-CHIP (NoC) TOPOLOGY GENERATION
US20150347641A1 (en) 2014-05-29 2015-12-03 International Business Machines Corporation Synthesis Tuning System for VLSI Design Optimization
CN105187313A (en) 2015-09-25 2015-12-23 东北大学 Network-on-chip topology structure and adaptive routing method thereof
US20160103943A1 (en) 2014-10-10 2016-04-14 Oracle International Corporation Unified tool for automatic design constraints generation and verification
DE102015014851A1 (en) 2014-11-18 2016-05-19 HGST Netherlands B.V. Resource allocation and release for power management in devices
US9444702B1 (en) 2015-02-06 2016-09-13 Netspeed Systems System and method for visualization of NoC performance based on simulation output
US20160275213A1 (en) 2015-03-19 2016-09-22 Fujitsu Limited Behavioral synthesis method, behavioral synthesis device and computer readable recording medium storing behavioral synthesis program
US9569574B1 (en) 2014-03-07 2017-02-14 Altera Corporation Method and apparatus for performing fast incremental physical design optimization
US20170063734A1 (en) 2015-02-03 2017-03-02 Netspeed Systems Automatic buffer sizing for optimal network-on-chip design
US20170060204A1 (en) 2014-09-26 2017-03-02 Netspeed Systems Automatic generation of power management sequence in a soc or noc
US20170132350A1 (en) 2015-11-10 2017-05-11 Arteris, Inc. Automatic architecture placement guidance
US20170177778A1 (en) 2015-12-20 2017-06-22 Arteris, Inc. EDITING A NoC TOPOLOGY ON TOP OF A FLOORPLAN
US20170193136A1 (en) * 2015-12-30 2017-07-06 Sharat C. Prasad On-chip and system-area multi-processor interconnection networks in advanced processes for maximizing performance minimizing cost and energy
US9792397B1 (en) 2017-01-08 2017-10-17 Alphaics Corporation System and method for designing system on chip (SoC) circuits through artificial intelligence and reinforcement learning
US20180115487A1 (en) 2016-10-24 2018-04-26 Cisco Technology, Inc. Multi-homed load-balanced rate-based tunnels
US20180144071A1 (en) 2016-11-21 2018-05-24 Synopsys, Inc. RTL Verification Using Computational Complexity-Based Property Ranking and Scheduling
US20180227180A1 (en) 2015-02-18 2018-08-09 Netspeed Systems, Inc. System-on-chip (soc) optimization through transformation and generation of a network-on-chip (noc) topology
US10068047B1 (en) 2016-10-14 2018-09-04 Altera Corporation Systems and methods for designing an integrated circuit
US20190073440A1 (en) 2017-09-06 2019-03-07 Apple Inc. Semiconductor layout in finfet technologies
CN109587081A (en) 2017-09-29 2019-04-05 邢筱丹 A kind of network-on-chip fast mapping algorithm based on Topology partition
US10282502B1 (en) 2017-03-07 2019-05-07 Amazon Technologies, Inc. Flexible constraint integrated circuit implementation runs
US20190205493A1 (en) * 2017-12-30 2019-07-04 Arteris, Inc. Synthesizing topology for an interconnect network of a system-on-chip with intellectual property blocks
US20190246989A1 (en) 2018-02-10 2019-08-15 The Governing Council Of The University Of Toronto System and method for classifying time series data for state identification
US20190251227A1 (en) 2018-02-12 2019-08-15 Arizona Board Of Regents On Behalf Of The University Of Arizona Automated network-on-chip design
US20190260504A1 (en) 2018-02-22 2019-08-22 Netspeed Systems, Inc. Systems and methods for maintaining network-on-chip (noc) safety and reliability
US10460062B2 (en) 2016-12-12 2019-10-29 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method and computer program for determining a placement of at least one circuit for a reconfigurable logic device
US20190363789A1 (en) 2018-05-23 2019-11-28 Futurewei Technologies, Inc. Controller Based Path Estimation and Path Provisioning using Optical Impairment Data
US20200092230A1 (en) 2018-09-17 2020-03-19 Xilinx, Inc. Partial reconfiguration for network-on-chip (noc)
US20200162335A1 (en) 2018-04-04 2020-05-21 Arista Networks, Inc. Computation of network flooding topologies
US20200234582A1 (en) 2016-01-03 2020-07-23 Yosef Mintz Integrative system and methods to apply predictive dynamic city-traffic load balancing and perdictive parking control that may further contribute to cooperative safe driving
US10733350B1 (en) * 2015-12-30 2020-08-04 Sharat C Prasad On-chip and system-area multi-processor interconnection networks in advanced processes for maximizing performance minimizing cost and energy
US20200366607A1 (en) 2019-05-14 2020-11-19 Vmware, Inc. Slice-based routing
US10922471B2 (en) * 2019-03-18 2021-02-16 Intel Corporation High performance regularized network-on-chip architecture
US10990724B1 (en) 2019-12-27 2021-04-27 Arteris, Inc. System and method for incremental topology synthesis of a network-on-chip
CN113055219A (en) 2019-12-27 2021-06-29 阿特里斯公司 Physically aware topology synthesis of networks
US20210203557A1 (en) 2019-12-27 2021-07-01 Arteris, Inc. System and method for generating and using physical roadmaps in network synthesis
US20210320869A1 (en) 2020-04-09 2021-10-14 Arteris, Inc. System and method for synthesis of a network-on-chip to determine optimal path with load balancing
US11281827B1 (en) 2020-12-26 2022-03-22 Arteris, Inc. Optimization of parameters for synthesis of a topology using a discriminant function module
EP4024262A1 (en) 2020-12-30 2022-07-06 Arteris, Inc. Synthesis of a network-on-chip (noc) using performance constraints and objectives
EP4057179A1 (en) 2021-03-10 2022-09-14 Arteris, Inc. Incremental topology modification of a network-on-chip

Patent Citations (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5485396A (en) 1991-06-28 1996-01-16 Vlsi Technology, Inc. Symbolic routing guidance for wire networks in VLSI circuits
US5638288A (en) 1994-08-24 1997-06-10 Lsi Logic Corporation Separable cells having wiring channels for routing signals between surrounding cells
US5623420A (en) 1994-11-16 1997-04-22 Sun Microsystems, Inc. Method and apparatus to distribute spare cells within a standard cell region of an integrated circuit
US5761078A (en) 1996-03-21 1998-06-02 International Business Machines Corporation Field programmable gate arrays using semi-hard multicell macros
US5903886A (en) 1996-04-30 1999-05-11 Smartlynx, Inc. Hierarchical adaptive state machine for emulating and augmenting software
US6134705A (en) 1996-10-28 2000-10-17 Altera Corporation Generation of sub-netlists for use in incremental compilation
US5983277A (en) 1996-10-28 1999-11-09 Altera Corporation Work group computing for electronic design automation
US6002857A (en) 1996-11-14 1999-12-14 Avant! Corporation Symbolic constraint-based system for preroute reconstruction following floorplan incrementing
US6378121B2 (en) 1997-03-27 2002-04-23 Nec Corporation Automatic global routing device for efficiently determining optimum wiring route on integrated circuit and global routing method therefor
US6437804B1 (en) 1997-10-23 2002-08-20 Aprisma Management Technologies, Inc Method for automatic partitioning of node-weighted, edge-constrained graphs
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6145117A (en) 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6360356B1 (en) 1998-01-30 2002-03-19 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
US6449761B1 (en) 1998-03-10 2002-09-10 Monterey Design Systems, Inc. Method and apparatus for providing multiple electronic design solutions
US6321363B1 (en) 1999-01-11 2001-11-20 Novas Software Inc. Incremental simulation using previous simulation results and knowledge of changes to simulation model to achieve fast simulation time
US7096436B2 (en) 2000-03-15 2006-08-22 International Business Machines Corporation Macro design techniques to accommodate chip level wiring and circuit placement across the macro
US6622225B1 (en) 2000-08-31 2003-09-16 Hewlett-Packard Development Company, L.P. System for minimizing memory bank conflicts in a computer system
US7398497B2 (en) 2001-07-11 2008-07-08 Fujitsu Limited Electronic circuit designing method apparatus for designing an electronic circuit, and storage medium for storing an electronic circuit designing method
US6907591B1 (en) 2001-08-28 2005-06-14 Cadence Design Systems, Inc. Method and apparatus for performing extraction using a neural network
US20030093765A1 (en) 2001-11-13 2003-05-15 Lam William K Method and system for robust distributed circuit synthesis
US6883455B2 (en) 2002-06-17 2005-04-26 Kawasaki Jukogyo Kabushiki Kaisha Personal watercraft and buffer member for personal watercraft
US20040040007A1 (en) 2002-08-20 2004-02-26 Ywh-Pyng Harn Method for eliminating routing congestion in an IC layout
US20040230919A1 (en) 2003-05-14 2004-11-18 Balamurugan Balasubramanian Advanced design format library for integrated circuit design synthesis and floorplanning tools
US20050073316A1 (en) 2003-10-01 2005-04-07 Graham Martin H. Circuit for measurement of electrical pollution on power line
US20070186018A1 (en) 2004-03-17 2007-08-09 Koninklijke Philips Electronics, N.V. Integrated circuit and method of communication service mapping
US20050268258A1 (en) 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
US20080046854A1 (en) 2005-01-12 2008-02-21 International Business Machines Corporation Method and system to redistribute white space for minimizing wire length
US7788625B1 (en) 2005-04-14 2010-08-31 Xilinx, Inc. Method and apparatus for precharacterizing systems for use in system level design of integrated circuits
US20070157131A1 (en) 2005-12-30 2007-07-05 Cadence Design Systems, Inc. System and method for incremental synthesis
US20100162189A1 (en) 2005-12-30 2010-06-24 Cadence Design Systems, Inc. System and method for synthesis reuse
US20070174795A1 (en) 2005-12-30 2007-07-26 Luciano Lavagno System and method for synthesis reuse
US20070156378A1 (en) 2005-12-30 2007-07-05 Cadence Design Systems, Inc. System and method for verification aware synthesis
US20080279183A1 (en) 2006-06-30 2008-11-13 Wiley William L System and method for call routing based on transmission performance of a packet network
US20080049753A1 (en) 2006-08-22 2008-02-28 Heinze John M System and method for load balancing network resources using a connection admission control engine
US8042087B2 (en) * 2006-10-10 2011-10-18 Ecole Polytechnique Federale De Lausanne (Epfl) Method to design network-on-chip (NOC)-based communication systems
US20090313592A1 (en) 2006-10-10 2009-12-17 Ecole Polytechnique Federale De Lausanne (Epfl) Method to design network-on-chip (noc) - based communication systems
US20100061352A1 (en) 2006-10-31 2010-03-11 Elena Fasolo Method for routing traffic in a local mobile communication network
US20080291826A1 (en) 2007-05-24 2008-11-27 Harris Stratex Networks Operating Corporation Dynamic Load Balancing for Layer-2 Link Aggregation
US20090031277A1 (en) 2007-07-23 2009-01-29 Mcelvain Kenneth S Architectural physical synthesis
US8302041B1 (en) 2008-06-25 2012-10-30 Xilinx, Inc. Implementation flow for electronic circuit designs using choice networks
US20100218146A1 (en) 2009-02-24 2010-08-26 Platzker Daniel M Synthesis using multiple synthesis engine configurations
US20100274785A1 (en) 2009-04-24 2010-10-28 At&T Intellectual Property I, L.P. Database Analysis Using Clusters
US20140153575A1 (en) 2009-04-27 2014-06-05 Lsi Corporation Packet data processor in a communications processor architecture
US20110170406A1 (en) 2010-01-12 2011-07-14 Verizon Patent And Licensing Inc. Method and system for finding shortest paths in ring networks
US20120013509A1 (en) 2010-07-14 2012-01-19 Zebra Enterprise Solutions Corp. Frequency channel diversity for real-time locating systems, methods, and computer program products
US20120311512A1 (en) 2011-06-02 2012-12-06 Daniel Michel Display and automatic improvement of timing and area in a network-on-chip
US20130174113A1 (en) 2011-12-30 2013-07-04 Arteris SAS Floorplan estimation
US20130258847A1 (en) 2012-04-03 2013-10-03 Telefonaktiebolaget L M Ericsson (Publ) Congestion Control and Resource Allocation in Split Architecture Networks
US20130283226A1 (en) 2012-04-19 2013-10-24 Himax Technologies Limited Floorplanning method for an analog integrated circuit layout
US20140115218A1 (en) 2012-10-23 2014-04-24 Netspeed Systems ASYMMETRIC MESH NoC TOPOLOGIES
US8819611B2 (en) 2012-10-23 2014-08-26 Netspeed Systems Asymmetric mesh NoC topologies
US20140126572A1 (en) 2012-11-02 2014-05-08 Altera Corporation Programmable logic device with integrated network-on-chip
US20140160939A1 (en) 2012-12-12 2014-06-12 Taqua Wbh, Llc Systems and methods optimizing backhaul transport
US20140169173A1 (en) 2012-12-14 2014-06-19 Ygdal Naouri Network congestion management by packet circulation
US20140211622A1 (en) 2013-01-28 2014-07-31 Netspeed Systems Creating multiple noc layers for isolation or avoiding noc traffic congestion
US9184998B2 (en) 2013-03-14 2015-11-10 Qualcomm Incorporated Distributed path update in hybrid networks
US20140298281A1 (en) 2013-03-31 2014-10-02 Atrenta, Inc. Method of global design closure at top level and driving of downstream implementation flow
US20150036536A1 (en) * 2013-08-05 2015-02-05 Netspeed Systems AUTOMATIC NoC TOPOLOGY GENERATION
US20150106778A1 (en) 2013-10-11 2015-04-16 Stmicroelectronics (Grenoble 2) Sas System for designing network on chip interconnect arrangements
US20150178435A1 (en) 2013-12-19 2015-06-25 Netspeed Systems Automatic pipelining of noc channels to meet timing and/or performance
US20150254325A1 (en) 2014-03-07 2015-09-10 Adobe Systems Incorporated Managing a distributed database across a plurality of clusters
US9569574B1 (en) 2014-03-07 2017-02-14 Altera Corporation Method and apparatus for performing fast incremental physical design optimization
US20150341224A1 (en) 2014-05-23 2015-11-26 Arteris, Inc NETWORK-ON-CHIP (NoC) TOPOLOGY GENERATION
US9825779B2 (en) 2014-05-23 2017-11-21 Arteris, Inc. Network-on-chip (NoC) topology generation
US20150347641A1 (en) 2014-05-29 2015-12-03 International Business Machines Corporation Synthesis Tuning System for VLSI Design Optimization
US20170060204A1 (en) 2014-09-26 2017-03-02 Netspeed Systems Automatic generation of power management sequence in a soc or noc
US20160103943A1 (en) 2014-10-10 2016-04-14 Oracle International Corporation Unified tool for automatic design constraints generation and verification
DE102015014851A1 (en) 2014-11-18 2016-05-19 HGST Netherlands B.V. Resource allocation and release for power management in devices
US20170063734A1 (en) 2015-02-03 2017-03-02 Netspeed Systems Automatic buffer sizing for optimal network-on-chip design
US9444702B1 (en) 2015-02-06 2016-09-13 Netspeed Systems System and method for visualization of NoC performance based on simulation output
US10348563B2 (en) 2015-02-18 2019-07-09 Netspeed Systems, Inc. System-on-chip (SoC) optimization through transformation and generation of a network-on-chip (NoC) topology
US20180227180A1 (en) 2015-02-18 2018-08-09 Netspeed Systems, Inc. System-on-chip (soc) optimization through transformation and generation of a network-on-chip (noc) topology
US20160275213A1 (en) 2015-03-19 2016-09-22 Fujitsu Limited Behavioral synthesis method, behavioral synthesis device and computer readable recording medium storing behavioral synthesis program
CN105187313A (en) 2015-09-25 2015-12-23 东北大学 Network-on-chip topology structure and adaptive routing method thereof
US20170132350A1 (en) 2015-11-10 2017-05-11 Arteris, Inc. Automatic architecture placement guidance
US20170177778A1 (en) 2015-12-20 2017-06-22 Arteris, Inc. EDITING A NoC TOPOLOGY ON TOP OF A FLOORPLAN
US9940423B2 (en) 2015-12-20 2018-04-10 Arteris, Inc. Editing a NoC topology on top of a floorplan
US20170193136A1 (en) * 2015-12-30 2017-07-06 Sharat C. Prasad On-chip and system-area multi-processor interconnection networks in advanced processes for maximizing performance minimizing cost and energy
US10733350B1 (en) * 2015-12-30 2020-08-04 Sharat C Prasad On-chip and system-area multi-processor interconnection networks in advanced processes for maximizing performance minimizing cost and energy
US20200234582A1 (en) 2016-01-03 2020-07-23 Yosef Mintz Integrative system and methods to apply predictive dynamic city-traffic load balancing and perdictive parking control that may further contribute to cooperative safe driving
US10068047B1 (en) 2016-10-14 2018-09-04 Altera Corporation Systems and methods for designing an integrated circuit
US20180115487A1 (en) 2016-10-24 2018-04-26 Cisco Technology, Inc. Multi-homed load-balanced rate-based tunnels
US20180144071A1 (en) 2016-11-21 2018-05-24 Synopsys, Inc. RTL Verification Using Computational Complexity-Based Property Ranking and Scheduling
US10460062B2 (en) 2016-12-12 2019-10-29 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method and computer program for determining a placement of at least one circuit for a reconfigurable logic device
US9792397B1 (en) 2017-01-08 2017-10-17 Alphaics Corporation System and method for designing system on chip (SoC) circuits through artificial intelligence and reinforcement learning
US10282502B1 (en) 2017-03-07 2019-05-07 Amazon Technologies, Inc. Flexible constraint integrated circuit implementation runs
US20190073440A1 (en) 2017-09-06 2019-03-07 Apple Inc. Semiconductor layout in finfet technologies
CN109587081A (en) 2017-09-29 2019-04-05 邢筱丹 A kind of network-on-chip fast mapping algorithm based on Topology partition
US20190205493A1 (en) * 2017-12-30 2019-07-04 Arteris, Inc. Synthesizing topology for an interconnect network of a system-on-chip with intellectual property blocks
US20190246989A1 (en) 2018-02-10 2019-08-15 The Governing Council Of The University Of Toronto System and method for classifying time series data for state identification
US20190251227A1 (en) 2018-02-12 2019-08-15 Arizona Board Of Regents On Behalf Of The University Of Arizona Automated network-on-chip design
US20190260504A1 (en) 2018-02-22 2019-08-22 Netspeed Systems, Inc. Systems and methods for maintaining network-on-chip (noc) safety and reliability
US20200162335A1 (en) 2018-04-04 2020-05-21 Arista Networks, Inc. Computation of network flooding topologies
US20190363789A1 (en) 2018-05-23 2019-11-28 Futurewei Technologies, Inc. Controller Based Path Estimation and Path Provisioning using Optical Impairment Data
US20200092230A1 (en) 2018-09-17 2020-03-19 Xilinx, Inc. Partial reconfiguration for network-on-chip (noc)
US10922471B2 (en) * 2019-03-18 2021-02-16 Intel Corporation High performance regularized network-on-chip architecture
US20200366607A1 (en) 2019-05-14 2020-11-19 Vmware, Inc. Slice-based routing
CN113051215A (en) 2019-12-27 2021-06-29 阿特里斯公司 System and method for incremental topology synthesis of networks on chip
CN113055219A (en) 2019-12-27 2021-06-29 阿特里斯公司 Physically aware topology synthesis of networks
US10990724B1 (en) 2019-12-27 2021-04-27 Arteris, Inc. System and method for incremental topology synthesis of a network-on-chip
EP3842987A1 (en) 2019-12-27 2021-06-30 Arteris, Inc. Physically aware topology synthesis of a network
US20210203557A1 (en) 2019-12-27 2021-07-01 Arteris, Inc. System and method for generating and using physical roadmaps in network synthesis
US11121933B2 (en) 2019-12-27 2021-09-14 Arteris, Inc. Physically aware topology synthesis of a network
US20210409284A1 (en) 2019-12-27 2021-12-30 Arteris, Inc. TOPOLOGY SYNTHESIS OF A NETWORK-ON-CHIP (NoC)
US20210320869A1 (en) 2020-04-09 2021-10-14 Arteris, Inc. System and method for synthesis of a network-on-chip to determine optimal path with load balancing
US11281827B1 (en) 2020-12-26 2022-03-22 Arteris, Inc. Optimization of parameters for synthesis of a topology using a discriminant function module
EP4024262A1 (en) 2020-12-30 2022-07-06 Arteris, Inc. Synthesis of a network-on-chip (noc) using performance constraints and objectives
US11449655B2 (en) 2020-12-30 2022-09-20 Arteris, Inc. Synthesis of a network-on-chip (NoC) using performance constraints and objectives
EP4057179A1 (en) 2021-03-10 2022-09-14 Arteris, Inc. Incremental topology modification of a network-on-chip
US20220294704A1 (en) * 2021-03-10 2022-09-15 Arteris, Inc. Incremental topology modification of a network-on-chip

Non-Patent Citations (30)

* Cited by examiner, † Cited by third party
Title
"A distributed interleaving scheme for efficient access to widelO dram memory", Seiculescu Ciprian, Benini Luca, De Micheli Giovanni, CODES+ISSS'12 (Year: 2012).
"Thread-Fair Memory Request Reordering"; Kun Fang, Nick Iliev, Ehsan Noohi, Suyu Zhang, and Zhichun Zhu; Dept. of ECE, Univeristy of Illinois at Chicago; JWAC-3 Jun. 9, 2012.
19th Asia and South Pacific Design Automation Conference Alberto Ghiribaldi, HervéTatenguem Fankem, Federico Angiolini, Mikkel Stensgaard, Tobias Bjerregaard, Davide Bertozzi A Vertically Integrated and Interoperable Multi-Vendor Synthesis Flow for Predictable NoC Design in Nanoscale Technologies.
ACM ICCAD '06 Srinivasan Murali, Paolo Meloni, Federico Angiolini, David Atienza, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Designing Application-Specific Networks on Chips with Floorplan Information p. 8, Figure 8.
ALESSANDRO PINTO ; ALVISE BONIVENTO ; ALLBERTO L. SANGIOVANNI-VINCENTELLI ; ROBERTO PASSERONE ; MARCO SGROI: "System level design paradigms", ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS., ACM, NEW YORK, NY., US, vol. 11, no. 3, 7 June 2004 (2004-06-07), US , pages 537 - 563, XP058222500, ISSN: 1084-4309, DOI: 10.1145/1142980.1142982
Alessandro Pinto et al: "System level design paradigms", ACM Transactions on Design Automation of Electronic Systems, ACM, New York, NY, US, vol. 11, No. 3, Jun. 7, 2004 (Jun. 7, 2004), pp. 537-563, XP058222500.
Annual IEEE International SoC Conference Proceedings Mohammad reza Kakoee, Federico Angiolin, Srinivasan Murali, Antonio Pullini, Ciprian Seiculescu, and Luca Benini a Floorplan-aware Interactive Tool Flow for NoC Design and Synthesis pp. 1, 2, 4 2009 Belfast, Northern Ireland, UK.
BO HUANG ; SONG CHEN ; WEI ZHONG ; TAKESHI YOSHIMURA: "Application-Specific Network-on-Chip synthesis with topology-aware floorplanning", INTEGRATED CIRCUITS AND SYSTEMS DESIGN (SBCCI), 2012 25TH SYMPOSIUM ON, IEEE, 30 August 2012 (2012-08-30), pages 1 - 6, XP032471227, ISBN: 978-1-4673-2606-3, DOI: 10.1109/SBCCI.2012.6344421
Bo Huang et al: "Application-Specific Network-on-Chip synthesis with topology-aware floorplanning", Integrated Circuits and Systems Design (SBCCI), 2012 25th Symposium on, IEEE, Aug. 30, 2012 (Aug. 30, 2012), pp. 1-6, XP032471227.
David Atienza et al, Network-on-Chip Design and Synthesis Outlook, Science Direct, INTEGRATION the VLSI, journal 41 (2008) 340-359.
Dumitriu Vet Al: "Throughput-Oriented Noc Topology Generation and Analysis for High Performance SoCs", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE Service Center, Piscataway, NJ, USA, vol. 17, No. 10, Oct. 1, 2009 (Oct. 1, 2009), pp. 1433-1446, XP011267808, ISSN: 1063-8210, DOI: 10.1109/TVLSI.2008.2004592.
FANGFA FU ; SIYUE SUN ; JUNJIE SONG ; JINXIANG WANG ; MINGYAN YU: "A NoC performance evaluation platform supporting designs at multiple levels of abstraction", INDUSTRIAL ELECTRONICS AND APPLICATIONS, 2009. ICIEA 2009. 4TH IEEE CONFERENCE ON, IEEE, PISCATAWAY, NJ, USA, 25 May 2009 (2009-05-25), Piscataway, NJ, USA , pages 425 - 429, XP031482069, ISBN: 978-1-4244-2799-4
Fangfa Fu et al: "A Noc performance evaluation platform supporting designs at multiple levels of abstraction", Industrial Electronics and Applications, 2009. ICIEA 2009. 4th IEEE Conference on, IEEE, Piscataway, NJ, USA, May 25, 2009 (May 25, 2009), pp. 425-429, XP031482069, ISBN: 978-1-4244-2799-4 *abstract* * p. 426-p. 429 *.
Francesco Robino: "A model-based design approach for heterogeneous NoC-based MPSoCs on FPGA", Jul. 1, 2014 (Jul. 1, 2014), XP002806918, Retrieved from the Internet: URL: http://www.divaportal.org/smash/get/diva2:718518/FULLTEXT02.pdf [retrieved on Jun. 22, 2022].
Jean-Jacques Lecler et al: Application driven network-on-chip architecture exploration& refinement for a complex SoC, Design Automation for Embedded Systems, vol. 15 No. 2, Apr. 7, 2011, DOI: 10.1007/S10617-011-9075-5.
K. R. Manik et al., "Methodology for Design of Optimum NOC Based on I Pg," 2017 Int'l Conference on Algorithms, Methodology, Model and Applications in Emerging Technologies (ICAMMAET), Chennai, India, IEEE, 6 pages. (Year 2017).
Luca Benini: "Application specific Noc design", Design, Automation and Test in Europe, 2006, Date '06 : Mar. 6-10, 2006, [Munich, Germany; Proceedings] / [Sponsored by the European Design and Automation Association], IEEE, Piscataway, NJ, USA, Mar. 6, 2006 (Mar. 6, 2006), pp. 491-495, XP058393584, ISBN: 9783981080100.
Murali et al: "Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE Service Center, Piscataway, NJ, USA, vol. 15, No. 8, Aug. 1, 2007 (Aug. 1, 2007) , pp. 869-880, XP011187732, ISSN: 1063-8210, DOI: 10.1109/TVLSI.2007.900742.
Partha et al., Design, Synthesis, and Test of Networks on Chips, IEEE (Year: 2005).
Picornell Tomas [email protected] et al: "DCFNoC A Delayed Conflict-Free Time Division Multiplexing Network on Chip", Designing Interactive Systems Conference, ACM, 2 Penn Plaza, Suite 701 New York NY10121-0701 USA, Jun. 2, 2019 (Jun. 2, 2019), pp. 1-6, XP058637807, DOI: 10.1145/3316781.3317794 ISBN: 978-1-4503-5850-7.
S. MURALI ; D. ATIENZA ; P. MELONI ; S. CARTA ; L. BENINI ; G. DE MICHELI ; L. RAFFO: "Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors", IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, IEEE SERVICE CENTER, PISCATAWAY, NJ, USA, vol. 15, no. 8, 1 August 2007 (2007-08-01), PISCATAWAY, NJ, USA , pages 869 - 880, XP011187732, ISSN: 1063-8210, DOI: 10.1109/TVLSI.2007.900742
Srinivasan K. et al: "Linear programming based techniques for synthesis of network-on-chip architectures", Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings. IEEE International Conference on San Jose, CA, USA Oct. 11-13, 2004, Piscataway, NJ, USA, IEEE. Oct. 11, 2004 (Oct. 11, 2004), pp. 422-429, XP010736641.
SRINIVASAN K., CHATHA K.S., KONJEVOD G.: "Linear programming based techniques for synthesis of network-on-chip architectures", COMPUTER DESIGN: VLSI IN COMPUTERS AND PROCESSORS, 2004. ICCD 2004. PR OCEEDINGS. IEEE INTERNATIONAL CONFERENCE ON SAN JOSE, CA, USA 11-13 OCT. 2004, PISCATAWAY, NJ, USA,IEEE, 11 October 2004 (2004-10-11) - 13 October 2004 (2004-10-13), pages 422 - 429, XP010736641, ISBN: 978-0-7695-2231-9, DOI: 10.1109/ICCD.2004.1347957
Srinivasan Mural et al: "Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees", Proceedings of the 2005 Asia and South Pacific Design Automation Conference, Jan. 18, 2005, DOI: 10.1145/1120725.1120737.
Tobias Bjerregaard et al: "Router Architecture for Connection—Oriented Service Guarantees in the MANGO Clockless Network-on-Chip", Proceedings of the IEEE Conference and Exhibition on Design, Automation, and Test in Europe, Mar. 7, 2005, DOI: 10.1109/DATE.2005.36.
U.S. Appl. No. 17/134,384, dated Dec. 26, 2020, Federico Angiolini
U.S. Appl. No. 17/665,578, dated Feb. 6, 2022, K. Charles Janac
V. DUMITRIU ; G.N. KHAN: "Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs", IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, IEEE SERVICE CENTER, PISCATAWAY, NJ, USA, vol. 17, no. 10, 1 October 2009 (2009-10-01), PISCATAWAY, NJ, USA , pages 1433 - 1446, XP011267808, ISSN: 1063-8210, DOI: 10.1109/TVLSI.2008.2004592
Wei Zhong et al: "Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips", IEICE Transactions on Fundamentals of Electronics< Communications and Computer Sciences, Jun. 1, 2013, DOI: 10.1587/TRANSFUN.E96.A.1174.
Zhou Rongrong et al: A Network Components Insertion Method for 3D Application-Specific Network-on-Chip, Proceedings of the 11th IEEE International Conference on Asic, Nov. 3, 2015, pp. 1-4, DOI: 10.1109/ASICON.2015.7516952.

Also Published As

Publication number Publication date
US20210200928A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
US11121933B2 (en) Physically aware topology synthesis of a network
US10990724B1 (en) System and method for incremental topology synthesis of a network-on-chip
US11580054B2 (en) Scalable network-on-chip for high-bandwidth memory
US10027433B2 (en) Multiple clock domains in NoC
US8819616B2 (en) Asymmetric mesh NoC topologies
US9569579B1 (en) Automatic pipelining of NoC channels to meet timing and/or performance
US11956127B2 (en) Incremental topology modification of a network-on-chip
US11729088B2 (en) Broadcast switch system in a network-on-chip (NoC)
US11657203B2 (en) Multi-phase topology synthesis of a network-on-chip (NoC)
EP4013014A1 (en) Multi-phase topology synthesis of a network-on-chip (noc)
US11436185B2 (en) System and method for transaction broadcast in a network on chip
EP4160466A1 (en) Synthesis of a network-on-chip (noc) for insertion of pipeline stages
US10084725B2 (en) Extracting features from a NoC for machine learning construction
US11784909B2 (en) Quality metrics for optimization tasks in generation of a network
Ben Abdallah et al. Network Interface Architecture and Design for 2D/3D NoCs

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

AS Assignment

Owner name: ARTERIS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHERIF, MOEZ;DE LESCURE, BENOIT;SIGNING DATES FROM 20210202 TO 20210203;REEL/FRAME:055326/0512

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STCF Information on status: patent grant

Free format text: PATENTED CASE