US10811249B2 - Atomic layer deposition of GeO2 - Google Patents

Atomic layer deposition of GeO2 Download PDF

Info

Publication number
US10811249B2
US10811249B2 US16/773,026 US202016773026A US10811249B2 US 10811249 B2 US10811249 B2 US 10811249B2 US 202016773026 A US202016773026 A US 202016773026A US 10811249 B2 US10811249 B2 US 10811249B2
Authority
US
United States
Prior art keywords
precursor
substrate
germanium
oxygen
geo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/773,026
Other versions
US20200266053A1 (en
Inventor
Raija H. MATERO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US16/773,026 priority Critical patent/US10811249B2/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATERO, RAIJA H.
Publication of US20200266053A1 publication Critical patent/US20200266053A1/en
Application granted granted Critical
Publication of US10811249B2 publication Critical patent/US10811249B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the application relates to atomic layer deposition processes for forming GeO 2 films.
  • Ge devices are of interest because of Ge high hole mobility. Low D a interface formation with HfO 2 HK material will allow for good Ge based FinFETs.
  • atomic layer deposition processes for forming germanium oxide thin films on a substrate in a reaction chamber are disclosed.
  • the ALD processes can include contacting the substrate with a vapor phase tetravalent Ge precursor, removing excess Ge precursor and any reaction by-products, contacting the substrate with a vapor phase oxygen precursor, removing excess oxygen precursor and any gaseous by-products, and repeating the contacting and removing steps until a germanium oxide thin film of the desired thickness has been formed.
  • contacting the substrate with a vapor phase tetravalent Ge precursor results in the formation of at most a molecular monolayer of the Ge precursor on the substrate surface.
  • the oxygen precursor reacts with the Ge precursor on the substrate surface.
  • the oxygen precursor is something other than water.
  • the oxygen precursor is one of ozone, oxygen atoms, oxygen radicals, or oxygen plasma.
  • the Ge-precursor is not a halide.
  • the Ge-precursor comprises at least one alkoxide ligand.
  • the Ge-precursor comprises at least one amine or alkylamine ligand.
  • the Ge-precursor comprises at least one amine or alkylamine ligand, and the oxygen precursor comprises water.
  • the surface of the substrate comprises a thin layer of GeO 2 prior to beginning the ALD process.
  • the substrate is pretreated with a passivation chemical to prevent oxidation before the germanium oxide film is deposited.
  • an interfacial layer is formed on the substrate before the germanium oxide thin film is deposited.
  • the deposition temperature is from about 100° C. to about 400° C.
  • the substrate is treated to remove native Ge oxide prior to forming the germanium oxide thin film.
  • Some embodiments for forming a germanium oxide thin film by an ALD process include depositing a thin layer of a different material over the germanium oxide thin film.
  • the thin layer of a different material is deposited directly on the germanium oxide thin film.
  • the thin layer comprises Al 2 O 3 , and in some embodiments, the Al 2 O 3 layer is deposited by a process that does not use water as a reactant.
  • the germanium oxide thin film serves as an interlayer between the substrate and a high-k layer. And in some embodiments, the germanium oxide thin film may be used in a Ge-condensation process.
  • an atomic layer deposition process for forming a pure GeO 2 thin film, in which the process can include alternately and sequentially contacting a substrate with Ge(OCH 2 CH 3 ) 4 and O 3 .
  • an atomic layer deposition process for forming a pure GeO 2 thin film, which can include alternately and sequentially contacting a substrate with an alkylamine Ge precursor and an oxygen source.
  • the Ge precursor is TDMAGe
  • the oxygen source is ozone.
  • the Ge precursor is TDMAGe
  • the oxygen source is water.
  • the oxygen source is water.
  • FIG. 1 illustrates the growth rate of GeO 2 films as a function of deposition temperature using vapour pulses of Ge(OEt) 4 and O 3 at 150° C.-300° C.;
  • FIG. 2 illustrates two computerized images of the respective thicknesses of two wafers prepared according to some embodiments.
  • FIG. 3 illustrates GeO 2 film thickness non-uniformity as a function of deposition temperature using vapour pulses of Ge(OEt) 4 and O 3 at 150° C.-300° C.;
  • FIG. 4 illustrates GeO 2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt) 4 and O 3 at 250° C.;
  • FIG. 5 shows the composition of GeO 2 deposited by ALD at various temperatures.
  • FIG. 6A shows GeO 2 films deposited by ALD over Ge at a first magnification. HfO 2 was subsequently deposited over the GeO 2 ;
  • FIG. 6B shows GeO 2 films deposited by ALD over Ge at a second magnification. HfO 2 was subsequently deposited over the GeO 2 ;
  • FIG. 7A illustrates GeO 2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt) 4 and O 3 at 300° C. on HF-last Si;
  • FIG. 7B illustrates GeO 2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt) 4 and O 3 at 300° C. on HF-last Ge;
  • FIG. 8A shows a transmission electron microscope (TEM) image of two layers deposited by ALD (GeO 2 and Al 2 O 3 ) where the Al 2 O 3 was deposited using a TMA+O 3 -process.
  • TEM transmission electron microscope
  • FIG. 8B shows a TEM image of three intermixed layers (Al, Ge, and O) when Al 2 O 3 was deposited using a TMA+H 2 O process.
  • FIG. 9A shows an image from a scanning electron microscope (SEM) at a first magnification illustrating GeO 2 film conformality when deposited using vapour pulses of Ge(OEt) 4 and O 3 .
  • FIG. 9B shows an image from a SEM at a second magnification illustrating GeO 2 film conformality when deposited using vapour pulses of Ge(OEt) 4 and O 3 .
  • FIG. 10 illustrates ALD GeO 2 /ALD HfO 2 stack film conformality when grown at reaction temperature of 250° C.
  • the target thickness of the GeO 2 was about 30 nm.
  • FIG. 11 illustrates the C-V characteristics of ALD GeO 2 interlayers grown on p-doped Ge and n-doped Ge.
  • the GeO 2 interlayers were grown at a reaction temperature 250° C. from vapour pulses of Ge(OEt) 4 and O 3 .
  • FIG. 12 illustrates the C-V characteristics of GeO 2 interlayer grown by ALD at different temperatures using vapour pulses of Ge(OEt) 4 and O 3 .
  • FIG. 13A illustrates the C-V characteristics of GeO 2 interlayers, grown by ALD at a reaction temperature of 250° C. using vapour pulses of Ge(OEt) 4 and O 3 with an ALD Al 2 O 3 capping layer.
  • FIG. 13B illustrates the C-V characteristics of GeO 2 interlayers, grown by ALD at a reaction temperature of 250° C. using vapour pulses of Ge(OEt) 4 and O 3 without an ALD Al 2 O 3 capping layer.
  • FIG. 14A illustrates the C-V characteristics of GeO 2 interlayers grown by ALD on Ge-substrates with HF-cleaning, at a reaction temperature 250° C. using vapour pulses of Ge(OEt) 4 and O 3 .
  • FIG. 14B illustrates the C-V characteristics of GeO 2 interlayers grown by ALD on Ge-substrates without HF-cleaning, at a reaction temperature 250° C. using vapour pulses of Ge(OEt) 4 and O 3 .
  • a GeO 2 thin film is formed on a substrate by a vapor deposition process comprising alternately and sequentially contacting a substrate with a vapor phase germanium precursor and an oxygen reactant, such that a layer of the germanium precursor forms on the substrate surface, and the oxygen-containing reactant subsequently reacts with the germanium precursor to form a GeO 2 thin film.
  • the Ge precursor may be selected from Germanium ethoxide (GeOEt) 4 and tetrakis(dimethylamino) germanium (TDMAGe). Other possible germanium precursors are provided below.
  • the Ge precursor is not a halide.
  • the Ge precursor contains halide in at least one ligand, but not in all ligands.
  • the oxygen reactant comprises one or more of ozone, oxygen atoms, oxygen radicals, and oxygen plasma.
  • the oxygen reactant may be water. However, in other embodiments the oxygen reactant is not water.
  • GeO 2 thin films are deposited by alternately and sequentially contacting a substrate with Ge(OCH 2 CH 3 ) 4 and O 3 . In some embodiments GeO 2 thin films are deposited by alternately and sequentially contacting a substrate with tetrakis(dimethylamio) germanium (TDMAGe) and O 3 .
  • TDMAGe tetrakis(dimethylamio) germanium
  • GeO 2 films may be used, for example as an interface layer between high-k and new channel materials in semiconductor devices.
  • the GeO 2 layer may serve as an interface in a Ge-based FinFET.
  • the GeO 2 layer is an interface layer between Ge and a high-k material.
  • the GeO 2 interface layer may prevent leakage and decrease trap density.
  • Other contexts in which GeO 2 thin films may be utilized will be apparent to the skilled artisan.
  • GeO 2 thin films may find use in optical applications.
  • the GeO 2 films deposited by ALD processes are annealed after the deposition as desired depending on the application.
  • the GeO 2 films deposited by ALD can be used for a process called Ge-condensation.
  • a principle of this can be seen and understood, for example, from U.S. Patent Publications 2011/0147811 (see FIGS. 3 a and 3 b ) and 2011/0193178 (see para. [0020, which are incorporated by reference herein]).
  • U.S. Patent Publications 2011/0147811 see FIGS. 3 a and 3 b
  • 2011/0193178 see para. [0020, which are incorporated by reference herein].
  • the ALD-deposited GeO 2 film with another film (i.e., a “capping layer”), preferably one deposited by ALD or PEALD, such as ALD-deposited or PEALD-deposited Al 2 O 3 , SiN x , or SiO 2 before an anneal step where Ge will be driven to the fin or channel.
  • a “capping layer” preferably one deposited by ALD or PEALD, such as ALD-deposited or PEALD-deposited Al 2 O 3 , SiN x , or SiO 2 before an anneal step where Ge will be driven to the fin or channel.
  • a capping layer preferably one deposited by ALD or PEALD, such as ALD-deposited or PEALD-deposited Al 2 O 3 , SiN x , or SiO 2 before an anneal step where Ge will be driven to the fin or channel.
  • water may be used as an oxygen source in the ALD GeO 2 process.
  • the GeO 2 is deposited by an ALD process on a silicon fin
  • the GeO 2 is deposited by an ALD process on a silicon fin and a capping layer is deposited over the GeO 2 layer.
  • the GeO 2 is deposited by an ALD process on a Si 1-x Ge x fin, and a capping layer is then deposited over the GeO 2 layer.
  • the capping layer is SiO 2 .
  • the capping layer is SiN x .
  • the capping layer is Al 2 O 3 .
  • the capping layer is deposited by methods other than an ALD or PEALD process.
  • the capping layer is deposited by an ALD process.
  • the capping layer is deposited by a PEALD process.
  • the GeO 2 films deposited by ALD are pure GeO 2 films.
  • deposited GeO 2 may be able to produce a better interface layer than GeO 2 formed by thermal oxidation.
  • Atomic layer deposition allows for conformal deposition of GeO 2 films.
  • the GeO 2 films deposited by ALD have at least 90%, 95% or higher conformality. In some embodiments the films are about 100% conformal.
  • the substrate may be, for example, a semiconductor substrate.
  • the surface of the substrate comprises a group III or group IV compound.
  • the surface of the substrate comprises Ge.
  • the surface of the substrate comprises a thin GeO 2 layer.
  • the GeO 2 layer may be formed, for example, through thermal or plasma oxidation.
  • the substrate surface is H-terminated.
  • native Ge oxide is removed, for example with HF, prior to GeO 2 deposition by ALD.
  • the substrate may be treated prior to depositing the GeO 2 layer by ALD.
  • the substrate may be treated with a passivation chemical to prevent oxidation prior to depositing GeO 2 by ALD.
  • the substrate is treated to form an interfacial layer prior to depositing GeO 2 by ALD.
  • the substrate treatment may comprise exposing the substrate to trimethylaluminum (TMA) to form an interfacial layer or surface termination on the surface prior to GeO 2 deposition.
  • TMA trimethylaluminum
  • the substrate may be treated to remove native Ge oxide, for example with HF, prior to depositing GeO 2 by ALD.
  • a further film is deposited.
  • the additional film may be directly over and contacting the ALD-deposited GeO 2 layer.
  • a high-k film is deposited after the ALD-deposited GeO 2 is deposited.
  • the high-k layer or other film may be deposited by ALD or by other known deposition methods.
  • a HfO 2 layer is deposited over the GeO 2 layer.
  • an Al 2 O 3 layer is deposited over the GeO 2 layer.
  • a deposition process for depositing a film on top of a GeO 2 film does not utilize water as one of the reactants.
  • a deposition process for depositing a film on top of a GeO 2 film utilizes an oxygen source other than water.
  • a deposition process for a film deposited on top of a GeO 2 film uses ozone as an oxygen source.
  • a deposition process for a film deposited on top of a GeO 2 film uses oxygen atoms, oxygen radicals or oxygen containing plasma as an oxygen source.
  • a deposition process for a film deposited on top of a GeO 2 film uses water, and at least one mixed layer comprising germanium is produced.
  • atoms or radicals may oxidize the substrate during the first one or more ALD cycles for forming GeO 2 and form a thin layer of GeO 2 on the substrate itself. In that situation, the GeO 2 layer would be a kind of composite of GeO 2 (oxidized from substrate) and ALD-deposited GeO 2 .
  • a GeO 2 layer is an interlayer between a substrate and high-k layer.
  • a GeO 2 interlayer has a thickness of less than about 10 nm, more preferably less than about 5 nm and most preferably less than about 3 nm. In some cases the GeO 2 interlayer is less than about 2 nm or even less than about 1 nm thick.
  • ALD Atomic Layer Deposition
  • ALD atomic layer deposition
  • ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses.
  • a substrate is loaded into a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure.
  • Deposition temperatures are maintained below the precursor thermal decomposition temperature but at a high enough level to avoid condensation of reactants and to provide the activation energy for the desired surface reactions.
  • the appropriate temperature window for any given ALD reaction will depend upon the surface termination and reactant species involved.
  • the deposition temperature is from about 20° C. to about 600° C., preferably from about to 100° C. to about 400° C., and more preferably from about 150° C. to about 300° C.
  • a first germanium reactant is conducted into the chamber in the form of vapor phase pulse and contacted with the surface of a substrate.
  • the substrate surface comprises a three dimensional structure.
  • Conditions are preferably selected such that no more than about one monolayer of the germanium precursor is adsorbed on the substrate surface in a self-limiting manner.
  • first reactant and reaction byproducts if any, may be removed from the substrate and substrate surface and from proximity to the substrate and substrate surface.
  • reactant and reaction byproducts, if any may be removed by purging. Purging may be accomplished for example, with a pulse of inert gas such as nitrogen or argon.
  • Purging the reaction chamber means that vapor phase precursors and/or vapor phase byproducts are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen.
  • Typical purging times are from about 0.05 seconds to about 20 seconds, more preferably between about 1 second and about 10 seconds, and still more preferably between about 1 second and about 2 seconds.
  • other purge times can be utilized if necessary, such as when depositing layers over extremely high aspect ratio structures or other structures with complex surface morphology.
  • the appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances.
  • Another method for removing excess reactants—metal precursors or oxygen precursors, reaction byproducts, etc.—from the substrate surface or from the area of the substrate may involve physically moving the substrate from a location containing the reactant and/or reaction byproducts.
  • a second gaseous oxygen reactant is pulsed into the chamber where it reacts with the first germanium reactant on the surface to form germanium oxide.
  • Excess second reactant and gaseous by-products of the surface reaction are removed from the substrate, for example by purging them out of the reaction chamber, preferably with the aid of an inert gas.
  • the steps of pulsing and removing are repeated until a thin film of the desired thickness has been formed on the substrate, with each cycle typically leaving no more than about a molecular monolayer.
  • each pulse or phase of each cycle is preferably self-limiting.
  • An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces.
  • Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage.
  • the degree of self-limiting behavior can be adjusted by, e.g., allowing some overlap of reactant pulses to trade off deposition speed (by allowing some CVD-type reactions) against conformality.
  • Ideal ALD conditions with reactants well separated in time and space provide near perfect self-limiting behavior and thus maximum conformality, but steric hindrance results in less than one molecular layer per cycle.
  • Limited CVD reactions mixed with the self-limiting ALD reactions can raise the deposition speed.
  • a reaction space can be in a single-wafer ALD reactor or a batch ALD reactor where deposition on multiple substrates takes place at the same time.
  • the substrate on which deposition is desired such as a semiconductor workpiece, is loaded into a reactor.
  • the reactor may be part of a cluster tool in which a variety of different processes in the formation of an integrated circuit are carried out.
  • a flow-type reactor is utilized.
  • a high-volume manufacturing-capable single wafer ALD reactor is used.
  • a batch reactor comprising multiple substrates is used.
  • the number of substrates is preferably in the range of 10 to 200, more preferably in the range of 50 to 150, and most preferably in the range of 100 to 130.
  • suitable reactors include commercially available ALD equipment such as the F-120® reactor, F-450 reactor, Pulsar® reactors—such as the Pulsar® 2000 and the Pulsar® 3000—EmerALD® reactor and Advance® 400 Series reactors, available from ASM America, Inc of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands.
  • Other commercially available reactors include those from ASM Japan K.K (Tokyo, Japan) under the tradename Eagle® XP and XP8.
  • ALD reactors many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed.
  • a flow type ALD reactor is used.
  • reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized.
  • other arrangements are possible.
  • Suitable batch reactors include, but are not limited to, reactors designed specifically to enhance ALD processes, which are commercially available from and ASM Europe B.V (Almere, Netherlands) under the trade names ALDA400TM and A412TM.
  • a vertical batch reactor is utilized in which the boat rotates during processing, such as the A412TM.
  • wafers rotate during processing.
  • wafer-to-wafer uniformity is less than 3% (1 sigma), less than 2%, less than 1% or even less than 0.5%.
  • the germanium oxide ALD processes described herein can optionally be carried out in a reactor or reaction space connected to a cluster tool.
  • a cluster tool because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which the substrate is heated up to the process temperature before each run.
  • a germanium oxide thin film is formed by an ALD-type process comprising multiple pulsing cycles, each cycle comprising:
  • germanium oxide preferably GeO 2
  • germanium oxide is deposited from alternating and sequential pulses of a Ge precursor and an oxygen source, like water, ozone, oxygen plasma, oxygen radicals, or oxygen atoms.
  • an oxygen source like water, ozone, oxygen plasma, oxygen radicals, or oxygen atoms.
  • the oxygen source is not water.
  • the Ge precursor preferably comprises Ge(OEt) 4 or TDMAGe.
  • the Ge precursor employed in the ALD type processes may be solid, liquid, or gaseous material under standard conditions (room temperature and atmospheric pressure), provided that the Ge precursor is in vapor phase before it is conducted into the reaction chamber and contacted with the substrate surface.
  • “Pulsing” a vaporized precursor onto the substrate means that the precursor vapor is conducted into the chamber for a limited period of time. Typically, the pulsing time is from about 0.05 seconds to about 10 seconds. However, depending on the substrate type and its surface area, the pulsing time may be even higher than about 10 seconds.
  • the Ge precursor is pulsed for from about 0.05 seconds to about 10 seconds, more preferably for from about 0.1 seconds to about 5 seconds and most preferably for from about 0.3 seconds to about 3.0 seconds.
  • the oxygen-containing precursor is preferably pulsed for from about 0.05 seconds to about 10 seconds, more preferably for from about 0.1 seconds to about 5 seconds, most preferably for from about 0.2 seconds to about 3.0 seconds.
  • pulsing times can be on the order of minutes in some cases. The optimum pulsing time can be readily determined by the skilled artisan based on the particular circumstances.
  • the Ge precursor is Ge(OEt) 4 or TDMAGe.
  • Other possible germanium precursors that can be used in some embodiments are described below.
  • the Ge precursor is Ge(OMe) 4 .
  • the Ge-precursor is not a halide.
  • the Ge-precursor may comprise a halogen in at least one ligand, but not in all ligands.
  • the oxygen source may be an oxygen-containing gas pulse and can be a mixture of oxygen and inactive gas, such as nitrogen or argon.
  • the oxygen source may be a molecular oxygen-containing gas pulse.
  • the preferred oxygen content of the oxygen-source gas is from about 10% to about 25%.
  • one source of oxygen may be air.
  • the oxygen source is molecular oxygen.
  • the oxygen source comprises an activated or excited oxygen species.
  • the oxygen source comprises ozone.
  • the oxygen source may be pure ozone or a mixture of ozone, molecular oxygen, and another gas, for example an inactive gas such as nitrogen or argon.
  • Ozone can be produced by an ozone generator and it is most preferably introduced into the reaction space with the aid of an inert gas of some kind, such as nitrogen, or with the aid of oxygen.
  • ozone is provided at a concentration from about 5 vol-% to about 40 vol-%, and preferably from about 15 vol-% to about 25 vol-%.
  • the oxygen source is oxygen plasma.
  • ozone or a mixture of ozone and another gas is pulsed into the reaction chamber.
  • ozone is formed inside the reactor, for example by conducting oxygen containing gas through an arc.
  • an oxygen containing plasma is formed in the reactor.
  • the plasma may be formed in situ on top of the substrate or in close proximity to the substrate.
  • the plasma is formed upstream of the reaction chamber in a remote plasma generator and plasma products are directed to the reaction chamber to contact the substrate.
  • the pathway to the substrate can be optimized to maximize electrically neutral species and minimize ion survival before reaching the substrate.
  • the oxygen source is an oxygen source other than water.
  • water is not provided in any ALD cycle for depositing GeO 2 .
  • the Ge precursor comprises at least one amine or alkylamine ligand, such as those presented in formulas (2) through (6) and (8) and (9), and the oxygen precursor comprises water.
  • the substrate Before starting the deposition of the film, the substrate is typically heated to a suitable growth temperature, as discussed above.
  • the preferred deposition temperature may vary depending on a number of factors such as, and without limitation, the reactant precursors, the pressure, flow rate, the arrangement of the reactor, and the composition of the substrate including the nature of the material to be deposited on.
  • the processing time depends on the thickness of the layer to be produced and the growth rate of the film.
  • the growth rate of a thin film is determined as thickness increase per one cycle.
  • One cycle consists of the pulsing and removing steps of the precursors and the duration of one cycle is typically between about 0.2 seconds and about 30 seconds, more preferably between about 1 second and about 10 seconds, but it can be on order of minutes or more in some cases, for example, where large surface areas and volumes are present.
  • the GeO 2 film formed is a pure GeO 2 film. Preferably, aside from minor impurities no other metal or semi-metal elements are present in the film. In some embodiments the film comprises less than 1-at % of metal or semi-metal other than Ge. In some embodiments the GeO 2 film is stoichiometric. In some embodiments, a pure GeO 2 film comprises less than about 5-at % of any impurity other than hydrogen, preferably less than about 3-at % of any impurity other than hydrogen, and more preferably less than about 1-at % of any impurity other than hydrogen.
  • the GeO 2 film formed has step coverage of more than about 80%, more preferably more than about 90%, and most preferably more than about 95% in structures which have high aspect ratios.
  • high aspect ratio structures have an aspect ratio that is more than about 3:1 when comparing the depth or height to the width of the feature.
  • the structures have an aspect ratio of more than about 5:1, or even an aspect ratio of 10:1 or greater.
  • the Ge precursor is tetravalent (i.e. Ge has an oxidation state of +IV). In some embodiments, the Ge precursor is not divalent (i.e., Ge has an oxidation state of +II). In some embodiments, the Ge precursor may comprise at least one alkoxide ligand. In some embodiments, the Ge precursor may comprise at least one amine or alkylamine ligand. In some embodiments the Ge precursor is a metal-organic or organometallic compound. In some embodiments the Ge precursor comprises at least one halide ligand. In some embodiments the Ge precursor does not comprise a halide ligand.
  • the Ge precursor is not solid at room temperature (e.g., about 20° C.).
  • Ge precursors from formulas (1) through (9) below may be used in some embodiments.
  • R is can be independently selected from the group consisting of alkyl and substituted alkyl; GeR x A 4-x (2)
  • x is an integer from 1 to 4.
  • R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines;
  • A can be independently selected from the group consisting of alkyl, substituted alkyl, alkoxides, alkylsilyls, alkyl, alkylamines, halide, and hydrogen.
  • x is an integer from 1 to 4.
  • R can be independently selected from the group consisting of alkyl and substituted alkyl
  • A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
  • Ge(NR I R II ) 4 (4)
  • R I can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl
  • R II can be independently selected from the group consisting of alkyl and substituted alkyl; Ge(NR I R II ) x A 1-x (5)
  • x is an integer from 1 to 4.
  • R I can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl
  • R II can be independently selected from the group consisting of alkyl and substituted alkyl
  • A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
  • n is an integer from 1 to 3;
  • R I can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl
  • R II can be independently selected from the group consisting of alkyl and substituted alkyl; Ge n (OR) 2n+2 (7)
  • n is an integer from 1 to 3;
  • R can be independently selected from the group consisting of alkyl and substituted alkyl; Ge n R 2n ⁇ 2 (8)
  • n is an integer from 1 to 3;
  • R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines.
  • x is an integer from 1 to 3;
  • y is an integer from 1 to 3;
  • R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines;
  • A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
  • Preferred options for R include, but are not limited to, methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, tertbutyl for all formulas, more preferred in ethyl and methyl.
  • the preferred options for R include, but are not limited to, C 3 -C 10 alkyls, alkenyls, and alkynyls and substituted versions of those, more preferably C 3 -C 6 alkyls, alkenyls, and alkenyls and substituted versions of those.
  • the Ge precursor comprises one or more halides.
  • the precursor comprises 1, 2, or 3 halide ligands.
  • the Ge precursor used in the ALD process does not comprise a halide.
  • a Ge precursor that comprises an alkoxide is not used in combination with water in an ALD process.
  • an amine/akylyamine or Ge-N bond containing Ge precursor may be used in combination with water.
  • Preferred alkylamine Ge precursors include, but are not limited to, tetrakis(dimethylamino) germanium (TDMAGe), tetrakis(diethylamino) germanium (TDEAGe), and tetrakis(ethylmethylamino) germanium (TEMAGe).
  • TDMAGe tetrakis(dimethylamino) germanium
  • TDEAGe tetrakis(diethylamino) germanium
  • TEMAGe tetrakis(ethylmethylamino) germanium
  • the Ge precursor is TDMAGe.
  • the precursor is TDEAGe.
  • the precursor is TEMAGe.
  • GeO 2 films were deposited in an F-450 ALCVD R&D reactor at temperatures ranging from about 150° C. to about 300° C. using germanium ethoxide (Ge(OEt) 4 ) or tetrakis(dimethylamino) germanium (TDMAGe) as the Ge precursor, and ozone (O3) as the oxygen source.
  • Ge(OEt) 4 is a liquid with a vapor pressure of about 0.2 Torr at 55° C.
  • TDMAGe is a liquid with a vapor pressure of about 3 Torr at 50° C. Pulse/purge times were 3.0 s/6.0 s for all precursors Ge(OEt) 4 , TDMAGe and O 3 .
  • GeO 2 films were deposited by alternately and sequentially contacting a substrate in a reactor chamber with vapor pulses of Ge(OEt) 4 and O 3 at about 150° C. to about 300° C. In this temperature range growth rate of about 0.18 ⁇ /cycle to about 0.3 ⁇ /cycle was obtained ( FIG. 1 ).
  • the thickness non-uniformity was about 3% to about 13% 1-sigma, and the lowest non-uniformities were obtained at 300° C. ( FIG. 3 ).
  • a series of films of various thicknesses were deposited at 250° C. by varying cycle number. Film growth was linear, i.e. film thickness can be controlled by the number of cycles ( FIG. 4 ). Thinner films were also deposited at 300° C. Between about 150° C. and about 300° C., the GeO 2 film density was about 3.8 g/cm 3 to about 4 g/cm 3 (from XRR; bulk 4.23 g/cm 3 ). In particular, at 250° C.
  • the growth rate was about 0.25 ⁇ /cycle and the film had a non-uniformity of less than about 10%.
  • the XRR density at 250° C. was about 4.35 g/cm 3 (bulk 4.23 g/cm3), and the refractive index was modeled to be close to the bulk value from ellipsometer data (bulk 1.650 vs. modeled 1.66).
  • the composition of these films was about 32-at % Ge and about 68-at % O (RBS analysis of about 50 nm GeO 2 on silicon with native oxide). See FIG. 5 .
  • FIGS. 7A and 7B it can be seen that GeO 2 deposited by ALD grows linearly on HF-last Ge ( FIG. 7B ) and on HF-last Si ( FIG. 7A ) when using vapor pulses of Ge(OEt) 4 and O 3 at 300° C.
  • FIGS. 8A and 8B show TEM images of an ALD Al 2 O 3 /ALD GeO 2 (37 cycles)/Ge/Si-stack/structure.
  • Al 2 O 3 was deposited by ALD using vapor pulses or TMA and water or ozone.
  • FIG. 8B layers can mix when using water as an oxygen source in the Al 2 O 3 ALD process, whereas when using ozone as the oxygen source in the Al 2 O 3 ALD process, two clearly separated layers can be seen in FIG. 8A .
  • the reason for intermixing of the layers is unsure, and it may be caused by the electron beam in the analysis.
  • FIGS. 9A and 9B and in FIG. 10 which illustrates ALD GeO 2 /ALD HfO 2 stack film conformality when grown at a reaction temperature of 250° C. and using a target GeO 2 thickness of about 30 nm.
  • GeO 2 films were deposited by alternately and sequentially contacting a substrate in a reactor chamber with vapor pulses of tetrakis(dimethylamino)germanium (TDMAGe) and O 3 at 150° C. to 300° C.
  • growth rate of about 0.4 ⁇ /cycle to about 0.55 ⁇ /cycle was obtained.
  • the thickness non-uniformity was less than about 6%.
  • the best nonuniformity of less than about 2% was observed at about 200° C.
  • the GeO 2 film density was about 3.8 g/cm 3 to about 4 g/cm 3 (from XRR).
  • the EDX composition was about 30 at. % Ge and about 70 at. % O.
  • TDMAGe was also observed to react with water.
  • GeO 2 was also deposited on a Ge surface and topped with HfO 2 . Briefly, 50 nm of GeO 2 was deposited from TDMAGe and O 3 by ALD, as described herein, on a substrate comprising either 15 nm or 1 ⁇ m Ge on Si. Subsequently, approximately 50 nm of HfO 2 was deposited over the GeO 2 by atomic layer deposition using alternating and sequential pulses of HfCl 4 and H 2 O. The deposition temperature was 300° C. No etching was observed. The results are shown in FIGS. 6A and 6B .

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Atomic layer deposition processes for forming germanium oxide thin films are provided. In some embodiments the ALD processes can include the following: contacting the substrate with a vapor phase tetravalent Ge precursor such that at most a molecular monolayer of the Ge precursor is formed on the substrate surface; removing excess Ge precursor and reaction by products, if any; contacting the substrate with a vapor phase oxygen precursor that reacts with the Ge precursor on the substrate surface; removing excess oxygen precursor and any gaseous by-products, and repeating the contacting and removing steps until a germanium oxide thin film of the desired thickness has been formed.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a continuation of U.S. application Ser. No. 14/867,833, filed Sep. 28, 2015, which is a continuation of U.S. patent application Ser. No. 13/802,393, filed Mar. 13, 2013, now U.S. Pat. No. 9,171,715, which claims priority to U.S. Provisional Application No. 61/697,007, filed Sep. 5, 2012, and U.S. Provisional Application No. 61/713,082, filed Oct. 12, 2012, each of which is incorporated by reference in its entirety.
BACKGROUND OF THE INVENTION Field of the Invention
The application relates to atomic layer deposition processes for forming GeO2 films.
Background
Ge devices are of interest because of Ge high hole mobility. Low Da interface formation with HfO2 HK material will allow for good Ge based FinFETs.
SUMMARY
According to some embodiments of the present disclosure, atomic layer deposition processes for forming germanium oxide thin films on a substrate in a reaction chamber are disclosed. The ALD processes can include contacting the substrate with a vapor phase tetravalent Ge precursor, removing excess Ge precursor and any reaction by-products, contacting the substrate with a vapor phase oxygen precursor, removing excess oxygen precursor and any gaseous by-products, and repeating the contacting and removing steps until a germanium oxide thin film of the desired thickness has been formed. In some embodiments, contacting the substrate with a vapor phase tetravalent Ge precursor results in the formation of at most a molecular monolayer of the Ge precursor on the substrate surface. In some embodiments, the oxygen precursor reacts with the Ge precursor on the substrate surface.
According to some embodiments of the present disclosure, in an ALD process for forming a germanium oxide thin film using a Ge precursor and an oxygen precursor, removing excess Ge precursor comprising removing excess Ge precursor from the substrate surface and in the proximity of the substrate surface. In some embodiments, the oxygen precursor is something other than water. In some embodiments, the oxygen precursor is one of ozone, oxygen atoms, oxygen radicals, or oxygen plasma. In some embodiments, the Ge-precursor is not a halide. In some embodiments, the Ge-precursor comprises at least one alkoxide ligand. In some embodiments, the Ge-precursor comprises at least one amine or alkylamine ligand. In some embodiments, the Ge-precursor comprises at least one amine or alkylamine ligand, and the oxygen precursor comprises water.
According to some embodiments of the present disclosure, in an ALD process for forming a germanium oxide thin film on a substrate, the surface of the substrate comprises a thin layer of GeO2 prior to beginning the ALD process. In some embodiments, the substrate is pretreated with a passivation chemical to prevent oxidation before the germanium oxide film is deposited. In some embodiments, an interfacial layer is formed on the substrate before the germanium oxide thin film is deposited. In some embodiments, the deposition temperature is from about 100° C. to about 400° C. In some embodiments, the substrate is treated to remove native Ge oxide prior to forming the germanium oxide thin film.
Some embodiments for forming a germanium oxide thin film by an ALD process include depositing a thin layer of a different material over the germanium oxide thin film. In some embodiments, the thin layer of a different material is deposited directly on the germanium oxide thin film. In some embodiments, the thin layer comprises Al2O3, and in some embodiments, the Al2O3 layer is deposited by a process that does not use water as a reactant. In some embodiments, the germanium oxide thin film serves as an interlayer between the substrate and a high-k layer. And in some embodiments, the germanium oxide thin film may be used in a Ge-condensation process.
According to some embodiments, an atomic layer deposition process is disclosed for forming a pure GeO2 thin film, in which the process can include alternately and sequentially contacting a substrate with Ge(OCH2CH3)4 and O3.
According to some embodiments, an atomic layer deposition process is disclosed for forming a pure GeO2 thin film, which can include alternately and sequentially contacting a substrate with an alkylamine Ge precursor and an oxygen source. In some embodiments, the Ge precursor is TDMAGe, and the oxygen source is ozone. And in some embodiments, the Ge precursor is TDMAGe, and the oxygen source is water. In some embodiments, the oxygen source is water.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention will be better understood from the Detailed Description and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:
FIG. 1 illustrates the growth rate of GeO2 films as a function of deposition temperature using vapour pulses of Ge(OEt)4 and O3 at 150° C.-300° C.;
FIG. 2 illustrates two computerized images of the respective thicknesses of two wafers prepared according to some embodiments.
FIG. 3 illustrates GeO2 film thickness non-uniformity as a function of deposition temperature using vapour pulses of Ge(OEt)4 and O3 at 150° C.-300° C.;
FIG. 4 illustrates GeO2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt)4 and O3 at 250° C.;
FIG. 5 shows the composition of GeO2 deposited by ALD at various temperatures.
FIG. 6A shows GeO2 films deposited by ALD over Ge at a first magnification. HfO2 was subsequently deposited over the GeO2;
FIG. 6B shows GeO2 films deposited by ALD over Ge at a second magnification. HfO2 was subsequently deposited over the GeO2;
FIG. 7A illustrates GeO2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt)4 and O3 at 300° C. on HF-last Si;
FIG. 7B illustrates GeO2 film thickness as a function of the number of deposition cycles when using vapour pulses of Ge(OEt)4 and O3 at 300° C. on HF-last Ge;
FIG. 8A shows a transmission electron microscope (TEM) image of two layers deposited by ALD (GeO2 and Al2O3) where the Al2O3 was deposited using a TMA+O3-process.
FIG. 8B shows a TEM image of three intermixed layers (Al, Ge, and O) when Al2O3 was deposited using a TMA+H2O process.
FIG. 9A shows an image from a scanning electron microscope (SEM) at a first magnification illustrating GeO2 film conformality when deposited using vapour pulses of Ge(OEt)4 and O3.
FIG. 9B shows an image from a SEM at a second magnification illustrating GeO2 film conformality when deposited using vapour pulses of Ge(OEt)4 and O3.
FIG. 10 illustrates ALD GeO2/ALD HfO2 stack film conformality when grown at reaction temperature of 250° C. The target thickness of the GeO2 was about 30 nm.
FIG. 11 illustrates the C-V characteristics of ALD GeO2 interlayers grown on p-doped Ge and n-doped Ge. The GeO2 interlayers were grown at a reaction temperature 250° C. from vapour pulses of Ge(OEt)4 and O3.
FIG. 12 illustrates the C-V characteristics of GeO2 interlayer grown by ALD at different temperatures using vapour pulses of Ge(OEt)4 and O3.
FIG. 13A illustrates the C-V characteristics of GeO2 interlayers, grown by ALD at a reaction temperature of 250° C. using vapour pulses of Ge(OEt)4 and O3 with an ALD Al2O3 capping layer.
FIG. 13B illustrates the C-V characteristics of GeO2 interlayers, grown by ALD at a reaction temperature of 250° C. using vapour pulses of Ge(OEt)4 and O3 without an ALD Al2O3 capping layer.
FIG. 14A illustrates the C-V characteristics of GeO2 interlayers grown by ALD on Ge-substrates with HF-cleaning, at a reaction temperature 250° C. using vapour pulses of Ge(OEt)4 and O3.
FIG. 14B illustrates the C-V characteristics of GeO2 interlayers grown by ALD on Ge-substrates without HF-cleaning, at a reaction temperature 250° C. using vapour pulses of Ge(OEt)4 and O3.
DETAILED DESCRIPTION
In one aspect, methods of depositing GeO2 thin films by atomic layer deposition are provided. In some embodiments a GeO2 thin film is formed on a substrate by a vapor deposition process comprising alternately and sequentially contacting a substrate with a vapor phase germanium precursor and an oxygen reactant, such that a layer of the germanium precursor forms on the substrate surface, and the oxygen-containing reactant subsequently reacts with the germanium precursor to form a GeO2 thin film.
In some embodiments the Ge precursor may be selected from Germanium ethoxide (GeOEt)4 and tetrakis(dimethylamino) germanium (TDMAGe). Other possible germanium precursors are provided below. In some embodiments the Ge precursor is not a halide. In some embodiments, the Ge precursor contains halide in at least one ligand, but not in all ligands.
In some embodiments the oxygen reactant comprises one or more of ozone, oxygen atoms, oxygen radicals, and oxygen plasma. In some embodiments the oxygen reactant may be water. However, in other embodiments the oxygen reactant is not water.
In some embodiments, GeO2 thin films are deposited by alternately and sequentially contacting a substrate with Ge(OCH2CH3)4 and O3. In some embodiments GeO2 thin films are deposited by alternately and sequentially contacting a substrate with tetrakis(dimethylamio) germanium (TDMAGe) and O3.
GeO2 films may be used, for example as an interface layer between high-k and new channel materials in semiconductor devices. For example, the GeO2 layer may serve as an interface in a Ge-based FinFET. In some embodiments the GeO2 layer is an interface layer between Ge and a high-k material. The GeO2 interface layer may prevent leakage and decrease trap density. Other contexts in which GeO2 thin films may be utilized will be apparent to the skilled artisan. For example, GeO2 thin films may find use in optical applications. In some embodiments, the GeO2 films deposited by ALD processes are annealed after the deposition as desired depending on the application.
In one embodiment, the GeO2 films deposited by ALD can be used for a process called Ge-condensation. A principle of this can be seen and understood, for example, from U.S. Patent Publications 2011/0147811 (see FIGS. 3a and 3b ) and 2011/0193178 (see para. [0020, which are incorporated by reference herein]). By adding a GeO2 film to the interface of Si1-xGexSiO2, it may be possible for more Ge to be driven to the fin or channel material. In these cases it is preferable to cap the ALD-deposited GeO2 film with another film (i.e., a “capping layer”), preferably one deposited by ALD or PEALD, such as ALD-deposited or PEALD-deposited Al2O3, SiNx, or SiO2 before an anneal step where Ge will be driven to the fin or channel. In this application of Ge-condensation, water may be used as an oxygen source in the ALD GeO2 process. In some embodiments, the GeO2 is deposited by an ALD process on a silicon fin without further depositing a capping layer. In some embodiments, the GeO2 is deposited by an ALD process on a Si1-xGex fin without further depositing a capping layer. In some embodiments, the GeO2 is deposited by an ALD process on a silicon fin and a capping layer is deposited over the GeO2 layer. In some embodiments, the GeO2 is deposited by an ALD process on a Si1-xGex fin, and a capping layer is then deposited over the GeO2 layer. In some embodiments, the capping layer is SiO2. In some embodiments, the capping layer is SiNx. In some embodiments, the capping layer is Al2O3. In some embodiments, the capping layer is deposited by methods other than an ALD or PEALD process. In some embodiments, the capping layer is deposited by an ALD process. In some embodiments the capping layer is deposited by a PEALD process.
In some embodiments the GeO2 films deposited by ALD are pure GeO2 films. Thus, deposited GeO2 may be able to produce a better interface layer than GeO2 formed by thermal oxidation.
Atomic layer deposition allows for conformal deposition of GeO2 films. In some embodiments, the GeO2 films deposited by ALD have at least 90%, 95% or higher conformality. In some embodiments the films are about 100% conformal.
The substrate may be, for example, a semiconductor substrate. In some embodiments the surface of the substrate comprises a group III or group IV compound. For example, in some embodiments the surface of the substrate comprises Ge. In some embodiments the surface of the substrate comprises a thin GeO2 layer. The GeO2 layer may be formed, for example, through thermal or plasma oxidation. In some embodiments the substrate surface is H-terminated. In some embodiments native Ge oxide is removed, for example with HF, prior to GeO2 deposition by ALD.
The substrate may be treated prior to depositing the GeO2 layer by ALD. For example, the substrate may be treated with a passivation chemical to prevent oxidation prior to depositing GeO2 by ALD. In other embodiments the substrate is treated to form an interfacial layer prior to depositing GeO2 by ALD. For example, the substrate treatment may comprise exposing the substrate to trimethylaluminum (TMA) to form an interfacial layer or surface termination on the surface prior to GeO2 deposition. As mentioned above, in some embodiments the substrate may be treated to remove native Ge oxide, for example with HF, prior to depositing GeO2 by ALD.
In some embodiments, following GeO2 deposition, a further film is deposited. The additional film may be directly over and contacting the ALD-deposited GeO2 layer. In some embodiments a high-k film is deposited after the ALD-deposited GeO2 is deposited. The high-k layer or other film may be deposited by ALD or by other known deposition methods. In some embodiments a HfO2 layer is deposited over the GeO2 layer. In some embodiments an Al2O3 layer is deposited over the GeO2 layer. Without being bound to any particular theory, it is believed that water in the deposition process of the layer deposited on top of a GeO2 layer may cause in some situations the intermixing of the already deposited GeO2 layer and the layer deposited on top of GeO2 layer. In some embodiments this mixing is preferable. In other embodiments, this mixing is to be avoided. Thus, in some embodiments a deposition process for depositing a film on top of a GeO2 film does not utilize water as one of the reactants. In some embodiments a deposition process for depositing a film on top of a GeO2 film utilizes an oxygen source other than water. In some embodiments, a deposition process for a film deposited on top of a GeO2 film uses ozone as an oxygen source. In some embodiments a deposition process for a film deposited on top of a GeO2 film uses oxygen atoms, oxygen radicals or oxygen containing plasma as an oxygen source. In some embodiments, a deposition process for a film deposited on top of a GeO2 film uses water, and at least one mixed layer comprising germanium is produced. When a Ge substrate is used and ozone or oxygen plasma are provided as an oxygen source, atoms or radicals may oxidize the substrate during the first one or more ALD cycles for forming GeO2 and form a thin layer of GeO2 on the substrate itself. In that situation, the GeO2 layer would be a kind of composite of GeO2 (oxidized from substrate) and ALD-deposited GeO2.
In some embodiments a GeO2 layer is an interlayer between a substrate and high-k layer. Preferably a GeO2 interlayer has a thickness of less than about 10 nm, more preferably less than about 5 nm and most preferably less than about 3 nm. In some cases the GeO2 interlayer is less than about 2 nm or even less than about 1 nm thick.
Atomic Layer Deposition (ALD)
As noted above, processes described herein enable use of atomic layer deposition techniques to deposit conformal GeO2 layers. Among vapor deposition techniques, ALD has the advantage of providing high conformality at low temperatures.
ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses.
Briefly, a substrate is loaded into a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures are maintained below the precursor thermal decomposition temperature but at a high enough level to avoid condensation of reactants and to provide the activation energy for the desired surface reactions. Of course, the appropriate temperature window for any given ALD reaction will depend upon the surface termination and reactant species involved. In some embodiments the deposition temperature is from about 20° C. to about 600° C., preferably from about to 100° C. to about 400° C., and more preferably from about 150° C. to about 300° C.
A first germanium reactant is conducted into the chamber in the form of vapor phase pulse and contacted with the surface of a substrate. In some embodiments the substrate surface comprises a three dimensional structure. Conditions are preferably selected such that no more than about one monolayer of the germanium precursor is adsorbed on the substrate surface in a self-limiting manner. Excess first reactant and reaction byproducts, if any, may be removed from the substrate and substrate surface and from proximity to the substrate and substrate surface. In some embodiments reactant and reaction byproducts, if any, may be removed by purging. Purging may be accomplished for example, with a pulse of inert gas such as nitrogen or argon.
Purging the reaction chamber means that vapor phase precursors and/or vapor phase byproducts are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purging times are from about 0.05 seconds to about 20 seconds, more preferably between about 1 second and about 10 seconds, and still more preferably between about 1 second and about 2 seconds. However, other purge times can be utilized if necessary, such as when depositing layers over extremely high aspect ratio structures or other structures with complex surface morphology. The appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances.
Another method for removing excess reactants—metal precursors or oxygen precursors, reaction byproducts, etc.—from the substrate surface or from the area of the substrate may involve physically moving the substrate from a location containing the reactant and/or reaction byproducts.
A second gaseous oxygen reactant is pulsed into the chamber where it reacts with the first germanium reactant on the surface to form germanium oxide. Excess second reactant and gaseous by-products of the surface reaction are removed from the substrate, for example by purging them out of the reaction chamber, preferably with the aid of an inert gas. The steps of pulsing and removing are repeated until a thin film of the desired thickness has been formed on the substrate, with each cycle typically leaving no more than about a molecular monolayer.
As mentioned above, each pulse or phase of each cycle is preferably self-limiting. An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces. Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage. In some arrangements, the degree of self-limiting behavior can be adjusted by, e.g., allowing some overlap of reactant pulses to trade off deposition speed (by allowing some CVD-type reactions) against conformality. Ideal ALD conditions with reactants well separated in time and space provide near perfect self-limiting behavior and thus maximum conformality, but steric hindrance results in less than one molecular layer per cycle. Limited CVD reactions mixed with the self-limiting ALD reactions can raise the deposition speed.
In some embodiments, a reaction space can be in a single-wafer ALD reactor or a batch ALD reactor where deposition on multiple substrates takes place at the same time. In some embodiments the substrate on which deposition is desired, such as a semiconductor workpiece, is loaded into a reactor. The reactor may be part of a cluster tool in which a variety of different processes in the formation of an integrated circuit are carried out. In some embodiments a flow-type reactor is utilized. In some embodiments a high-volume manufacturing-capable single wafer ALD reactor is used. In other embodiments a batch reactor comprising multiple substrates is used. For embodiments in which batch ALD reactors are used, the number of substrates is preferably in the range of 10 to 200, more preferably in the range of 50 to 150, and most preferably in the range of 100 to 130.
Examples of suitable reactors that may be used include commercially available ALD equipment such as the F-120® reactor, F-450 reactor, Pulsar® reactors—such as the Pulsar® 2000 and the Pulsar® 3000—EmerALD® reactor and Advance® 400 Series reactors, available from ASM America, Inc of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands. Other commercially available reactors include those from ASM Japan K.K (Tokyo, Japan) under the tradename Eagle® XP and XP8. In addition to these ALD reactors, many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed. In some embodiments a flow type ALD reactor is used. Preferably, reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized. However, other arrangements are possible.
Suitable batch reactors include, but are not limited to, reactors designed specifically to enhance ALD processes, which are commercially available from and ASM Europe B.V (Almere, Netherlands) under the trade names ALDA400™ and A412™. In some embodiments a vertical batch reactor is utilized in which the boat rotates during processing, such as the A412™. Thus, in some embodiments the wafers rotate during processing. In some embodiments in which a batch reactor is used, wafer-to-wafer uniformity is less than 3% (1 sigma), less than 2%, less than 1% or even less than 0.5%.
The germanium oxide ALD processes described herein can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which the substrate is heated up to the process temperature before each run.
According to some embodiments, a germanium oxide thin film is formed by an ALD-type process comprising multiple pulsing cycles, each cycle comprising:
    • pulsing a vaporized first Ge precursor into the reaction chamber to form at most a molecular monolayer of the Ge precursor on the substrate,
    • removing excess Ge precursor and reaction by products, if any,
    • providing a pulse of a second oxygen reactant comprising an oxygen source onto the substrate,
    • removing excess second reactant and any gaseous by-products formed in the reaction between the Ge precursor layer on the first surface of the substrate and the second reactant, and
    • repeating the pulsing and removing steps until a germanium oxide thin film of the desired thickness has been formed.
In some embodiments germanium oxide, preferably GeO2, is deposited from alternating and sequential pulses of a Ge precursor and an oxygen source, like water, ozone, oxygen plasma, oxygen radicals, or oxygen atoms. In some embodiments the oxygen source is not water. The Ge precursor preferably comprises Ge(OEt)4 or TDMAGe.
The Ge precursor employed in the ALD type processes may be solid, liquid, or gaseous material under standard conditions (room temperature and atmospheric pressure), provided that the Ge precursor is in vapor phase before it is conducted into the reaction chamber and contacted with the substrate surface. “Pulsing” a vaporized precursor onto the substrate means that the precursor vapor is conducted into the chamber for a limited period of time. Typically, the pulsing time is from about 0.05 seconds to about 10 seconds. However, depending on the substrate type and its surface area, the pulsing time may be even higher than about 10 seconds.
Preferably, for a 300 mm wafer in a single wafer ALD reactor, the Ge precursor is pulsed for from about 0.05 seconds to about 10 seconds, more preferably for from about 0.1 seconds to about 5 seconds and most preferably for from about 0.3 seconds to about 3.0 seconds. The oxygen-containing precursor is preferably pulsed for from about 0.05 seconds to about 10 seconds, more preferably for from about 0.1 seconds to about 5 seconds, most preferably for from about 0.2 seconds to about 3.0 seconds. However, pulsing times can be on the order of minutes in some cases. The optimum pulsing time can be readily determined by the skilled artisan based on the particular circumstances.
As mentioned above, in some embodiments the Ge precursor is Ge(OEt)4 or TDMAGe. Other possible germanium precursors that can be used in some embodiments are described below. In some embodiments, the Ge precursor is Ge(OMe)4. In some embodiments the Ge-precursor is not a halide. In some embodiments the Ge-precursor may comprise a halogen in at least one ligand, but not in all ligands.
The oxygen source may be an oxygen-containing gas pulse and can be a mixture of oxygen and inactive gas, such as nitrogen or argon. In some embodiments the oxygen source may be a molecular oxygen-containing gas pulse. The preferred oxygen content of the oxygen-source gas is from about 10% to about 25%. Thus, one source of oxygen may be air. In some embodiments, the oxygen source is molecular oxygen. In some embodiments, the oxygen source comprises an activated or excited oxygen species. In some embodiments, the oxygen source comprises ozone. The oxygen source may be pure ozone or a mixture of ozone, molecular oxygen, and another gas, for example an inactive gas such as nitrogen or argon. Ozone can be produced by an ozone generator and it is most preferably introduced into the reaction space with the aid of an inert gas of some kind, such as nitrogen, or with the aid of oxygen. In some embodiments, ozone is provided at a concentration from about 5 vol-% to about 40 vol-%, and preferably from about 15 vol-% to about 25 vol-%. In other embodiments, the oxygen source is oxygen plasma.
In some embodiments, ozone or a mixture of ozone and another gas is pulsed into the reaction chamber. In other embodiments, ozone is formed inside the reactor, for example by conducting oxygen containing gas through an arc. In other embodiments, an oxygen containing plasma is formed in the reactor. In some embodiments, the plasma may be formed in situ on top of the substrate or in close proximity to the substrate. In other embodiments, the plasma is formed upstream of the reaction chamber in a remote plasma generator and plasma products are directed to the reaction chamber to contact the substrate. As will be appreciated by the skilled artisan, in the case of a remote plasma, the pathway to the substrate can be optimized to maximize electrically neutral species and minimize ion survival before reaching the substrate.
In some embodiments the oxygen source is an oxygen source other than water. Thus, in some embodiments water is not provided in any ALD cycle for depositing GeO2.
In some embodiments the Ge precursor comprises at least one amine or alkylamine ligand, such as those presented in formulas (2) through (6) and (8) and (9), and the oxygen precursor comprises water.
Before starting the deposition of the film, the substrate is typically heated to a suitable growth temperature, as discussed above. The preferred deposition temperature may vary depending on a number of factors such as, and without limitation, the reactant precursors, the pressure, flow rate, the arrangement of the reactor, and the composition of the substrate including the nature of the material to be deposited on.
The processing time depends on the thickness of the layer to be produced and the growth rate of the film. In ALD, the growth rate of a thin film is determined as thickness increase per one cycle. One cycle consists of the pulsing and removing steps of the precursors and the duration of one cycle is typically between about 0.2 seconds and about 30 seconds, more preferably between about 1 second and about 10 seconds, but it can be on order of minutes or more in some cases, for example, where large surface areas and volumes are present.
In some embodiments the GeO2 film formed is a pure GeO2 film. Preferably, aside from minor impurities no other metal or semi-metal elements are present in the film. In some embodiments the film comprises less than 1-at % of metal or semi-metal other than Ge. In some embodiments the GeO2 film is stoichiometric. In some embodiments, a pure GeO2 film comprises less than about 5-at % of any impurity other than hydrogen, preferably less than about 3-at % of any impurity other than hydrogen, and more preferably less than about 1-at % of any impurity other than hydrogen.
In some embodiments, the GeO2 film formed has step coverage of more than about 80%, more preferably more than about 90%, and most preferably more than about 95% in structures which have high aspect ratios. In some embodiments high aspect ratio structures have an aspect ratio that is more than about 3:1 when comparing the depth or height to the width of the feature. In some embodiments the structures have an aspect ratio of more than about 5:1, or even an aspect ratio of 10:1 or greater.
Ge Precursors
A number of different Ge precursors can be used in the ALD processes. In some embodiments the Ge precursor is tetravalent (i.e. Ge has an oxidation state of +IV). In some embodiments, the Ge precursor is not divalent (i.e., Ge has an oxidation state of +II). In some embodiments, the Ge precursor may comprise at least one alkoxide ligand. In some embodiments, the Ge precursor may comprise at least one amine or alkylamine ligand. In some embodiments the Ge precursor is a metal-organic or organometallic compound. In some embodiments the Ge precursor comprises at least one halide ligand. In some embodiments the Ge precursor does not comprise a halide ligand.
In some embodiments the Ge precursor is not solid at room temperature (e.g., about 20° C.).
For example, Ge precursors from formulas (1) through (9) below may be used in some embodiments.
GeOR4  (1)
Wherein R is can be independently selected from the group consisting of alkyl and substituted alkyl;
GeRxA4-x  (2)
Wherein the x is an integer from 1 to 4;
R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines; and
A can be independently selected from the group consisting of alkyl, substituted alkyl, alkoxides, alkylsilyls, alkyl, alkylamines, halide, and hydrogen.
Ge(OR)xA1-x  (3)
Wherein the x is an integer from 1 to 4;
R can be independently selected from the group consisting of alkyl and substituted alkyl; and
A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
Ge(NRIRII)4  (4)
Wherein RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and
RII can be independently selected from the group consisting of alkyl and substituted alkyl;
Ge(NRIRII)xA1-x  (5)
Wherein the x is an integer from 1 to 4;
RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and
RII can be independently selected from the group consisting of alkyl and substituted alkyl;
A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
Gen(NRIRII)2n+2  (6)
Wherein the n is an integer from 1 to 3;
RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and
RII can be independently selected from the group consisting of alkyl and substituted alkyl;
Gen(OR)2n+2  (7)
Wherein the n is an integer from 1 to 3; and
Wherein R can be independently selected from the group consisting of alkyl and substituted alkyl;
GenR2n−2  (8)
Wherein the n is an integer from 1 to 3; and
R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines.
A3-xRxGe-GeRyA3-y  (9)
Wherein the x is an integer from 1 to 3;
y is an integer from 1 to 3;
R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines; and
A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.
Preferred options for R include, but are not limited to, methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, tertbutyl for all formulas, more preferred in ethyl and methyl. In some embodiments, the preferred options for R include, but are not limited to, C3-C10 alkyls, alkenyls, and alkynyls and substituted versions of those, more preferably C3-C6 alkyls, alkenyls, and alkenyls and substituted versions of those.
In some embodiments the Ge precursor comprises one or more halides. Preferably the precursor comprises 1, 2, or 3 halide ligands. However, as mentioned above, in some embodiments the Ge precursor used in the ALD process does not comprise a halide.
In some embodiments, a Ge precursor that comprises an alkoxide is not used in combination with water in an ALD process. In other embodiments, an amine/akylyamine or Ge-N bond containing Ge precursor may be used in combination with water. Preferred alkylamine Ge precursors include, but are not limited to, tetrakis(dimethylamino) germanium (TDMAGe), tetrakis(diethylamino) germanium (TDEAGe), and tetrakis(ethylmethylamino) germanium (TEMAGe). In some embodiments the Ge precursor is TDMAGe. In some embodiments the precursor is TDEAGe. In some embodiments the precursor is TEMAGe.
Examples
GeO2 films were deposited in an F-450 ALCVD R&D reactor at temperatures ranging from about 150° C. to about 300° C. using germanium ethoxide (Ge(OEt)4) or tetrakis(dimethylamino) germanium (TDMAGe) as the Ge precursor, and ozone (O3) as the oxygen source. Ge(OEt)4 is a liquid with a vapor pressure of about 0.2 Torr at 55° C. TDMAGe is a liquid with a vapor pressure of about 3 Torr at 50° C. Pulse/purge times were 3.0 s/6.0 s for all precursors Ge(OEt)4, TDMAGe and O3. In these deposition experiments the Ge precursor was held at room temperature. The O3 flow rate was 100 sccm. Film thicknesses were measured using a spectroscopic ellipsometer and x-ray diffraction XRR (Bruker AXS D8 Advance). Composition was determined by Rurherford backscattering spectroscopy RBS.
In one set of experiments, GeO2 films were deposited by alternately and sequentially contacting a substrate in a reactor chamber with vapor pulses of Ge(OEt)4 and O3 at about 150° C. to about 300° C. In this temperature range growth rate of about 0.18 Å/cycle to about 0.3 Å/cycle was obtained (FIG. 1).
In the same temperature range of 150° C. to 300° C. the thickness non-uniformity was about 3% to about 13% 1-sigma, and the lowest non-uniformities were obtained at 300° C. (FIG. 3). A series of films of various thicknesses were deposited at 250° C. by varying cycle number. Film growth was linear, i.e. film thickness can be controlled by the number of cycles (FIG. 4). Thinner films were also deposited at 300° C. Between about 150° C. and about 300° C., the GeO2 film density was about 3.8 g/cm3 to about 4 g/cm3 (from XRR; bulk 4.23 g/cm3). In particular, at 250° C. the growth rate was about 0.25 Å/cycle and the film had a non-uniformity of less than about 10%. The XRR density at 250° C. was about 4.35 g/cm3 (bulk 4.23 g/cm3), and the refractive index was modeled to be close to the bulk value from ellipsometer data (bulk 1.650 vs. modeled 1.66).
In the temperature range of 150° C. to 250° C., the composition of these films was about 32-at % Ge and about 68-at % O (RBS analysis of about 50 nm GeO2 on silicon with native oxide). See FIG. 5.
Electrical results (capacitance-voltage i.e. C-V) of GeO2 films deposited by ALD on Ge-substrates (both n- and p-type) using vapor pulses of Ge(OEt)4 and O3 can be seen in FIG. 11 through FIG. 14B. In some samples, GeO2 ALD deposition was followed by Al2O3 ALD film deposition using TMA as aluminum source and ozone or water as oxygen source. It can be concluded from the electrical results that a GeO2 interlayer deposited by ALD provides good electrical properties including low Dit (interfacial traps) and Dbt (border traps), as well as small CV hysteresis for capacitors on p-Ge. Promising performance can thus be expected for transistors. No electrical degradation was found when ALD-deposited GeO2 interlayer thickness was reduced from about 5 nm to about 2.2 nm. It also can be concluded that the k value of ALD-deposited GeO2 is about 5.7. An ALD-deposited Al2O3 capping layer is preferable in some situations. Native Ge oxide can also be removed prior to the GeO2 ALD deposition. Better performance was also observed for ALD-deposited GeO2 interlayers grown at 250° C. compared to 300° C.
In FIGS. 7A and 7B it can be seen that GeO2 deposited by ALD grows linearly on HF-last Ge (FIG. 7B) and on HF-last Si (FIG. 7A) when using vapor pulses of Ge(OEt)4 and O3 at 300° C.
FIGS. 8A and 8B show TEM images of an ALD Al2O3/ALD GeO2 (37 cycles)/Ge/Si-stack/structure. Al2O3 was deposited by ALD using vapor pulses or TMA and water or ozone. As can been seen from FIG. 8B, layers can mix when using water as an oxygen source in the Al2O3 ALD process, whereas when using ozone as the oxygen source in the Al2O3 ALD process, two clearly separated layers can be seen in FIG. 8A. However, the reason for intermixing of the layers is unsure, and it may be caused by the electron beam in the analysis.
Good conformality can be obtained when depositing GeO2 by ALD using vapor pulses of Ge(OEt)4 and O3 as can be seen in FIGS. 9A and 9B and in FIG. 10, which illustrates ALD GeO2/ALD HfO2 stack film conformality when grown at a reaction temperature of 250° C. and using a target GeO2 thickness of about 30 nm.
In another set of experiments, GeO2 films were deposited by alternately and sequentially contacting a substrate in a reactor chamber with vapor pulses of tetrakis(dimethylamino)germanium (TDMAGe) and O3 at 150° C. to 300° C. In this temperature range growth rate of about 0.4 Å/cycle to about 0.55 Å/cycle was obtained. In the same temperature range of 150° C. to 300° C., the thickness non-uniformity was less than about 6%. The best nonuniformity of less than about 2% was observed at about 200° C. In the 150° C. to 300° C. range, the GeO2 film density was about 3.8 g/cm3 to about 4 g/cm3 (from XRR). The EDX composition was about 30 at. % Ge and about 70 at. % O. TDMAGe was also observed to react with water.
GeO2 was also deposited on a Ge surface and topped with HfO2. Briefly, 50 nm of GeO2 was deposited from TDMAGe and O3 by ALD, as described herein, on a substrate comprising either 15 nm or 1 μm Ge on Si. Subsequently, approximately 50 nm of HfO2 was deposited over the GeO2 by atomic layer deposition using alternating and sequential pulses of HfCl4 and H2O. The deposition temperature was 300° C. No etching was observed. The results are shown in FIGS. 6A and 6B.
Although certain embodiments and examples have been discussed, it will be understood by those skilled in the art that the scope of the claims extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof.

Claims (20)

What is claimed is:
1. A deposition process for forming a thin film comprising germanium oxide and less than 5-at % of metal other than germanium on a substrate by multiple sequential deposition cycles, each of the sequential deposition cycles comprising:
contacting the substrate with a vapor phase tetravalent germanium precursor comprising at least one ligand selected from alkyl, alkoxide, amine, and alkylamine, such that a molecular monolayer comprising germanium is formed on the substrate surface,
contacting the substrate with a vapor phase oxygen precursor, wherein the oxygen precursor reacts with the monolayer comprising germanium on the substrate surface.
2. The process of claim 1, each of the multiple deposition cycles further comprising removing excess germanium precursor and reaction byproducts, if any, from the substrate surface prior to contacting the substrate with the vapor phase oxygen precursor.
3. The process of claim 1, each of the multiple deposition cycles further comprising removing excess oxygen precursor and reaction byproducts, if any, from the substrate surface prior to beginning a subsequent deposition cycle.
4. The process of claim 1, wherein the multiple sequential deposition cycles include only a germanium precursor and an oxygen precursor.
5. The process of claim 1, wherein the oxygen precursor comprises ozone, oxygen atoms, oxygen radicals, or oxygen plasma.
6. The process of claim 1, wherein the germanium precursor comprises an alkylamine ligand and the oxygen precursor comprises water.
7. The process of claim 6, wherein the germanium precursor has a formula Gen(NRIRII)2n+2 and RI and RII can be independently selected from methyl and ethyl.
8. The process of claim 6, wherein the germanium precursor has a formula Gen(NRIRII)2n+2 and RI and RII can be independently selected from C3, C4, C5, and C6 alkyls.
9. The process of claim 1, wherein the surface of the substrate comprises germanium oxide prior to beginning the deposition process.
10. The process of claim 1, wherein the substrate is pretreated before the thin film is formed.
11. The process of claim 10, wherein the pretreatment comprises exposing the substrate to a passivation chemical.
12. The process of claim 10, wherein the pretreatment comprises forming an interfacial layer on the substrate surface.
13. The process of claim 10, wherein the pretreatment comprises removing native Ge oxide from the substrate surface.
14. The process of claim 1, further comprising depositing a layer comprising a different material over the thin film.
15. The process of claim 14, wherein the different material comprises a high-k material.
16. The process of claim 1, wherein the thin film is used in a Ge-condensation process.
17. An atomic layer deposition (ALD) process for forming a thin film comprising germanium oxide and less than 5-at % of metal other than germanium, the process comprising at least two sequential deposition cycles, each of the at least two sequential deposition cycles comprising:
alternately and sequentially contacting a substrate with a germanium precursor comprising at least one alkyl, alkoxide, amine, or alkylamine ligand and an oxygen source, wherein the germanium precursor is not divalent.
18. The process of claim 17, wherein the germanium precursor comprises Ge(OCH2CH3)4 and the oxygen source comprises O3.
19. The process of claim 17, wherein the germanium precursor comprises TDMAGe, and the oxygen source comprises ozone.
20. The process of claim 17, wherein the germanium precursor comprise TDMAGe, and the oxygen source comprises water.
US16/773,026 2012-09-05 2020-01-27 Atomic layer deposition of GeO2 Active US10811249B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/773,026 US10811249B2 (en) 2012-09-05 2020-01-27 Atomic layer deposition of GeO2

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261697007P 2012-09-05 2012-09-05
US201261713082P 2012-10-12 2012-10-12
US13/802,393 US9171715B2 (en) 2012-09-05 2013-03-13 Atomic layer deposition of GeO2
US14/867,833 US10553423B2 (en) 2012-09-05 2015-09-28 Atomic layer deposition of GeO2
US16/773,026 US10811249B2 (en) 2012-09-05 2020-01-27 Atomic layer deposition of GeO2

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/867,833 Continuation US10553423B2 (en) 2012-09-05 2015-09-28 Atomic layer deposition of GeO2

Publications (2)

Publication Number Publication Date
US20200266053A1 US20200266053A1 (en) 2020-08-20
US10811249B2 true US10811249B2 (en) 2020-10-20

Family

ID=50188151

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/802,393 Active US9171715B2 (en) 2012-09-05 2013-03-13 Atomic layer deposition of GeO2
US14/867,833 Active US10553423B2 (en) 2012-09-05 2015-09-28 Atomic layer deposition of GeO2
US16/773,026 Active US10811249B2 (en) 2012-09-05 2020-01-27 Atomic layer deposition of GeO2

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/802,393 Active US9171715B2 (en) 2012-09-05 2013-03-13 Atomic layer deposition of GeO2
US14/867,833 Active US10553423B2 (en) 2012-09-05 2015-09-28 Atomic layer deposition of GeO2

Country Status (3)

Country Link
US (3) US9171715B2 (en)
KR (3) KR101994305B1 (en)
TW (2) TWI638061B (en)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5780981B2 (en) * 2012-03-02 2015-09-16 東京エレクトロン株式会社 Method for forming germanium thin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106068335A (en) * 2014-03-04 2016-11-02 皮考逊公司 Germanium or the ald of germanium oxide
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9472573B2 (en) 2014-12-30 2016-10-18 International Business Machines Corporation Silicon-germanium fin formation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6671166B2 (en) * 2015-12-15 2020-03-25 東京エレクトロン株式会社 Method for manufacturing insulating film laminate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10818933B2 (en) 2016-03-12 2020-10-27 University Of Wyoming Methods, catalysts, and supports for electrochemical devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10079233B2 (en) 2016-09-28 2018-09-18 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3382761A1 (en) * 2017-03-29 2018-10-03 IMEC vzw Integration of silicon-germanium semiconductor structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10141420B1 (en) 2017-11-22 2018-11-27 International Business Machines Corporation Transistors with dielectric-isolated source and drain regions
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US10559675B2 (en) 2017-12-21 2020-02-11 International Business Machines Corporation Stacked silicon nanotubes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10971584B2 (en) 2018-03-07 2021-04-06 International Business Machines Corporation Low contact resistance nanowire FETs
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10665511B2 (en) 2018-06-07 2020-05-26 International Business Machines Corporation Self-limiting liners for increasing contact trench volume in N-type and P-type transistors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10504794B1 (en) 2018-06-25 2019-12-10 International Business Machines Corporation Self-aligned silicide/germanide formation to reduce external resistance in a vertical field-effect transistor
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
TWI815915B (en) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN112680716A (en) * 2019-10-17 2021-04-20 Asm Ip 控股有限公司 Atomic layer deposition of indium germanium zinc oxide
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115341276B (en) * 2022-08-23 2024-03-01 中国科学院微电子研究所 r-GeO 2 Thin film single crystal and method for growing the same

Citations (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
JPH04245419A (en) 1991-01-30 1992-09-02 Kyushu Electron Metal Co Ltd Manufacture of semiconductor substrate
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5256550A (en) 1988-11-29 1993-10-26 Hewlett-Packard Company Fabricating a semiconductor device with strained Si1-x Gex layer
US5259918A (en) 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
US5308788A (en) 1991-09-13 1994-05-03 Motorola, Inc. Temperature controlled process for the epitaxial growth of a film of material
JPH0737823A (en) 1993-07-21 1995-02-07 Oki Electric Ind Co Ltd Semiconductor film forming method and device
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5445897A (en) 1989-11-22 1995-08-29 Mitsubishi Kasei Polytec Company Epitaxial wafer and process for producing the same
US5633516A (en) 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US5766999A (en) 1995-03-28 1998-06-16 Nec Corporation Method for making self-aligned bipolar transistor
EP0858101A2 (en) 1997-02-06 1998-08-12 Nec Corporation Manufacturing of an Si/SiGe super lattice structure by epitaxial growth
JPH10256169A (en) 1997-03-10 1998-09-25 Nec Corp Manufacture of semiconductor device
US5847409A (en) 1995-05-26 1998-12-08 Nec Corporation Semiconductor device with superlattice-structured graded buffer layer and fabrication method thereof
US5879970A (en) 1996-09-05 1999-03-09 Nec Corporation Process of growing polycrystalline silicon-germanium alloy having large silicon content
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
JP2000021783A (en) 1998-06-30 2000-01-21 Toshiba Corp Semiconductor device and its manufacture
US6030894A (en) 1996-12-04 2000-02-29 Nec Corporation Method for manufacturing a semiconductor device having contact plug made of Si/SiGe/Si
WO2000015885A1 (en) 1998-09-10 2000-03-23 France Telecom Method for obtaining a monocrystalline germanium layer on a monocrystalline silicon substrate, and resulting products
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
WO2000054338A1 (en) 1999-03-12 2000-09-14 International Business Machines Corporation High speed ge channel heterostructures for field effect devices
JP2000286413A (en) 1999-03-12 2000-10-13 Internatl Business Mach Corp <Ibm> Si/SiGe HETEROSTRUCTURE FOR HIGH-SPEED COMPOSITE P-CHANNEL FIELD EFFECT DEVICE
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6411548B1 (en) 1999-07-13 2002-06-25 Kabushiki Kaisha Toshiba Semiconductor memory having transistors connected in series
US6425951B1 (en) 1998-02-18 2002-07-30 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor
US6429098B1 (en) 1997-12-29 2002-08-06 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively, and multilayer products obtained
US6455871B1 (en) 2000-12-27 2002-09-24 Electronics And Telecommunications Research Institute SiGe MODFET with a metal-oxide film and method for fabricating the same
US6461945B1 (en) 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
US6464780B1 (en) 1998-01-27 2002-10-15 Forschungszentrum Julich Gmbh Method for the production of a monocrystalline layer on a substrate with a non-adapted lattice and component containing one or several such layers
US6475865B1 (en) 1997-08-21 2002-11-05 United Microelectronics Corp. Method of fabricating semiconductor device
US6482705B1 (en) 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US20020173130A1 (en) 2001-02-12 2002-11-21 Pomerede Christophe F. Integration of High K Gate Dielectric
US20020173104A1 (en) 2001-05-17 2002-11-21 Chang Kent Kuohua Method for preventing gate depletion effects of MOS transistor
WO2002097864A2 (en) 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
JP2003023146A (en) 2001-07-06 2003-01-24 Mitsubishi Materials Silicon Corp SEMICONDUCTOR SUBSTRATE, FIELD EFFECT TRANSISTOR, FORMING METHOD OF SiGe LAYER AND FORMING METHOD OF DISTORTED Si LAYER USING THE SAME, AND MANUFACTURING METHOD OF FIELD EFFECT TRANSISTOR
US6525338B2 (en) 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US20030045063A1 (en) 2001-09-03 2003-03-06 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20030080394A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US20030082300A1 (en) 2001-02-12 2003-05-01 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US6562736B2 (en) 2000-09-13 2003-05-13 Sony Corporation Manufacturing method for semiconductor device
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US20030139000A1 (en) 2002-01-23 2003-07-24 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US20030143783A1 (en) 2002-01-31 2003-07-31 Maa Jer-Shen Method to form relaxed SiGe layer with high Ge content
US20030153161A1 (en) 2002-02-11 2003-08-14 Chu Jack O. Strained si based layer made by uhv-cvd, and devices therein
US20030157787A1 (en) 2002-02-21 2003-08-21 Anand Murthy Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US20030162348A1 (en) 2001-11-30 2003-08-28 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6620743B2 (en) 2001-03-26 2003-09-16 Asm America, Inc. Stable, oxide-free silicon surface preparation
US20030190791A1 (en) 2002-04-04 2003-10-09 International Business Machines Corporation Germanium field effect transistor and method of fabricating the same
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6635110B1 (en) 1999-06-25 2003-10-21 Massachusetts Institute Of Technology Cyclic thermal anneal for dislocation reduction
US6645836B2 (en) 2000-03-27 2003-11-11 Matsushita Electric Industrial Co., Ltd. Method of forming a semiconductor wafer having a crystalline layer thereon containing silicon, germanium and carbon
US6649980B2 (en) 2000-12-11 2003-11-18 Sony Corporation Semiconductor device with MOS transistors sharing electrode
US20030230233A1 (en) 1999-09-20 2003-12-18 Fitzgerald Eugene A. Method of producing high quality relaxed silicon germanium layers
US20030235931A1 (en) 2002-06-19 2003-12-25 Kazumi Wada Ge photodetectors
US20040075105A1 (en) 2002-08-23 2004-04-22 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US20040097022A1 (en) 2002-05-07 2004-05-20 Werkhoven Christiaan J. Silicon-on-insulator structures and methods
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
JP2004179452A (en) 2002-11-28 2004-06-24 Shin Etsu Handotai Co Ltd Hetero epitaxial wafer
US20040137167A1 (en) 2000-11-22 2004-07-15 Tue Nguyen Plasma enhanced pulsed layer deposition
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US20040151845A1 (en) 2003-02-04 2004-08-05 Tue Nguyen Nanolayer deposition process
US20040157409A1 (en) 2002-07-09 2004-08-12 Bruno Ghyselen Transfer of a thin layer from a wafer comprising a buffer layer
US20040178406A1 (en) 2003-03-15 2004-09-16 Chu Jack Oon Dual strain-state SiGe layers for microelectronics
US20040192002A1 (en) 2003-03-31 2004-09-30 Ravindra Soman Method for fabricating a heterojunction bipolar transistor
US20040197945A1 (en) 2003-04-05 2004-10-07 Rohm And Haas Electronic Materials L.L.C. Germanium compounds
US20040219735A1 (en) 2003-03-13 2004-11-04 Brabant Paul D. Epitaxial semiconductor deposition methods and structures
US20040217845A1 (en) 1998-07-15 2004-11-04 Silver Eric H Method for making an epitaxial germanium temperature sensor
US6830964B1 (en) 2003-06-26 2004-12-14 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US6833294B1 (en) 2003-06-26 2004-12-21 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US6844213B2 (en) 2001-06-14 2005-01-18 Integrated Sensing Systems Process of forming a microneedle and microneedle formed thereby
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050054175A1 (en) 2003-07-23 2005-03-10 Matthias Bauer Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates
US20050051795A1 (en) 2003-07-30 2005-03-10 Chantal Arena Epitaxial growth of relaxed silicon germanium layers
US20050067377A1 (en) 2003-09-25 2005-03-31 Ryan Lei Germanium-on-insulator fabrication utilizing wafer bonding
US6875279B2 (en) 2001-11-16 2005-04-05 International Business Machines Corporation Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050081787A1 (en) 2003-10-15 2005-04-21 Ki-Vin Im Apparatus and method for supplying a source, and method of depositing an atomic layer using the same
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US20050150447A1 (en) 2003-01-07 2005-07-14 Bruno Ghyselen Recycling by mechanical means of a wafer comprising a multilayer structure after taking-off a thin layer thereof
US20050170577A1 (en) 2004-01-30 2005-08-04 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer fabrication with reduced dislocation defect density
US20050192193A1 (en) 2004-03-01 2005-09-01 Korzenski Michael B. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050191826A1 (en) 2004-02-27 2005-09-01 Matthia Bauer Germanium deposition
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20050266700A1 (en) 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US20050277260A1 (en) 2004-06-14 2005-12-15 Cohen Guy M Mixed orientation and mixed material semiconductor-on-insulator wafer
US6995076B2 (en) 2000-09-05 2006-02-07 The Regents Of The University Of California Relaxed SiGe films by surfactant mediation
US20060057825A1 (en) 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US7022593B2 (en) 2003-03-12 2006-04-04 Asm America, Inc. SiGe rectification process
US7037856B1 (en) 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
US20060138393A1 (en) 2004-12-27 2006-06-29 Samsung Electronics Co., Ltd. Ge precursor, GST thin layer formed using the same, phase-change memory device including the GST thin layer, and method of manufacturing the GST thin layer
US20060145188A1 (en) 2005-01-05 2006-07-06 Siltronic Ag Semiconductor wafer having a silicon-germanium layer, and method for its production
US20060199357A1 (en) 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US20060211230A1 (en) 2005-03-21 2006-09-21 Christophe Figuet Laminated layer structure and method for forming the same
US20060216417A1 (en) 2005-03-10 2006-09-28 Todd Michael A System for control of gas injectors
US20070044706A1 (en) 2005-08-26 2007-03-01 Samsung Electronics Co., Ltd. Method of forming a crystalline structure and a method of manufacturing a semiconductor device
US20070048956A1 (en) 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20070051975A1 (en) 2005-09-07 2007-03-08 Christophe Figuet Semiconductor heterostructure and method for forming same
US20070117335A1 (en) 2004-09-02 2007-05-24 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US20070117398A1 (en) 2005-11-24 2007-05-24 Mitsuhiro Okada Film formation apparatus and method of using the same
US20070134886A1 (en) 2004-06-22 2007-06-14 Texas Instruments Incorporated Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US20070264801A1 (en) 2006-05-09 2007-11-15 Cody Nyles W Semiconductor buffer structures
US20080017952A1 (en) 2006-07-24 2008-01-24 Asm America, Inc. Strained layers within semiconductor buffer structures
US7357838B2 (en) 2002-08-27 2008-04-15 Taiwan Semiconductor Manufacturing Company Relaxed silicon germanium substrate with low defect density
US7390725B2 (en) 2004-01-12 2008-06-24 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US20090072271A1 (en) 2007-09-18 2009-03-19 Leonardo Gomez EPITAXIAL GROWTH OF THIN SMOOTH GERMANIUM (Ge) ON SILICON (Si) UTILIZING AN INTERFACIAL SILICON GERMANIUM (SiGe) PULSE GROWTH METHOD
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090305458A1 (en) 2006-11-02 2009-12-10 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US20100006024A1 (en) 2003-03-13 2010-01-14 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7901968B2 (en) 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
WO2011027321A1 (en) 2009-09-02 2011-03-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US20110117732A1 (en) 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110256726A1 (en) 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20110262660A1 (en) 2010-04-22 2011-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US20110315992A1 (en) 2010-06-25 2011-12-29 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20120028410A1 (en) 2010-07-27 2012-02-02 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
US20120244688A1 (en) 2006-06-07 2012-09-27 Asm America, Inc. Selective epitaxial formation of semiconductive films
US20120247386A1 (en) 2011-03-28 2012-10-04 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US20130045374A1 (en) 2011-08-17 2013-02-21 National Applied Research Laboratories Nano-laminated film with transparent conductive property and water-vapor resistance function and method thereof
US8454928B2 (en) 2007-09-17 2013-06-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for GST deposition
US20130210217A1 (en) 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
US20130233240A1 (en) 2012-03-06 2013-09-12 Asm America, Inc. Methods and apparatuses for epitaxial films with high germanium content
US8623734B2 (en) 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
US20140065841A1 (en) 2012-09-05 2014-03-06 Asm Ip Holding B.V. ATOMIC LAYER DEPOSITION OF GeO2
US20140120738A1 (en) 2012-11-01 2014-05-01 Asm Ip Holding B.V. Method of depositing thin film

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003062812A1 (en) * 2002-01-18 2003-07-31 Arkray, Inc. Analyzer having temperature sensor
ATE343216T1 (en) * 2003-07-08 2006-11-15 Sonion Roskilde As CONTROL DEVICE WITH WAKE-UP ZONE
EP2526185A4 (en) * 2010-01-22 2013-07-24 Univ Arizona BACTERIUM COMPRISING A REGULATED rfaH NUCLEIC ACID

Patent Citations (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5256550A (en) 1988-11-29 1993-10-26 Hewlett-Packard Company Fabricating a semiconductor device with strained Si1-x Gex layer
US5445897A (en) 1989-11-22 1995-08-29 Mitsubishi Kasei Polytec Company Epitaxial wafer and process for producing the same
JPH04245419A (en) 1991-01-30 1992-09-02 Kyushu Electron Metal Co Ltd Manufacture of semiconductor substrate
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5259918A (en) 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
US5308788A (en) 1991-09-13 1994-05-03 Motorola, Inc. Temperature controlled process for the epitaxial growth of a film of material
JPH0737823A (en) 1993-07-21 1995-02-07 Oki Electric Ind Co Ltd Semiconductor film forming method and device
US5633516A (en) 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US5766999A (en) 1995-03-28 1998-06-16 Nec Corporation Method for making self-aligned bipolar transistor
US5847409A (en) 1995-05-26 1998-12-08 Nec Corporation Semiconductor device with superlattice-structured graded buffer layer and fabrication method thereof
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5879970A (en) 1996-09-05 1999-03-09 Nec Corporation Process of growing polycrystalline silicon-germanium alloy having large silicon content
US6030894A (en) 1996-12-04 2000-02-29 Nec Corporation Method for manufacturing a semiconductor device having contact plug made of Si/SiGe/Si
EP0858101A2 (en) 1997-02-06 1998-08-12 Nec Corporation Manufacturing of an Si/SiGe super lattice structure by epitaxial growth
JPH10256169A (en) 1997-03-10 1998-09-25 Nec Corp Manufacture of semiconductor device
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6475865B1 (en) 1997-08-21 2002-11-05 United Microelectronics Corp. Method of fabricating semiconductor device
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
US6429098B1 (en) 1997-12-29 2002-08-06 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively, and multilayer products obtained
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6464780B1 (en) 1998-01-27 2002-10-15 Forschungszentrum Julich Gmbh Method for the production of a monocrystalline layer on a substrate with a non-adapted lattice and component containing one or several such layers
US6425951B1 (en) 1998-02-18 2002-07-30 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor
JP2000021783A (en) 1998-06-30 2000-01-21 Toshiba Corp Semiconductor device and its manufacture
US7232487B2 (en) 1998-07-15 2007-06-19 Smithsonian Astrophysical Observatory Method for making an epitaxial germanium temperature sensor
US20040217845A1 (en) 1998-07-15 2004-11-04 Silver Eric H Method for making an epitaxial germanium temperature sensor
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6537370B1 (en) 1998-09-10 2003-03-25 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium on a substrate of single-crystal silicon, and products obtained
WO2000015885A1 (en) 1998-09-10 2000-03-23 France Telecom Method for obtaining a monocrystalline germanium layer on a monocrystalline silicon substrate, and resulting products
JP2002525255A (en) 1998-09-10 2002-08-13 フランス テレコム Method for obtaining single crystal germanium layer on single crystal silicon substrate and product obtained thereby
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP2002539613A (en) 1999-03-12 2002-11-19 インターナショナル・ビジネス・マシーンズ・コーポレーション High-speed Ge channel heterostructure for field effect devices
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6858502B2 (en) 1999-03-12 2005-02-22 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP2000286413A (en) 1999-03-12 2000-10-13 Internatl Business Mach Corp <Ibm> Si/SiGe HETEROSTRUCTURE FOR HIGH-SPEED COMPOSITE P-CHANNEL FIELD EFFECT DEVICE
WO2000054338A1 (en) 1999-03-12 2000-09-14 International Business Machines Corporation High speed ge channel heterostructures for field effect devices
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US6395621B1 (en) 1999-05-14 2002-05-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with oxide mediated epitaxial layer
US20020034864A1 (en) 1999-05-14 2002-03-21 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6635110B1 (en) 1999-06-25 2003-10-21 Massachusetts Institute Of Technology Cyclic thermal anneal for dislocation reduction
US6411548B1 (en) 1999-07-13 2002-06-25 Kabushiki Kaisha Toshiba Semiconductor memory having transistors connected in series
US20030230233A1 (en) 1999-09-20 2003-12-18 Fitzgerald Eugene A. Method of producing high quality relaxed silicon germanium layers
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6645836B2 (en) 2000-03-27 2003-11-11 Matsushita Electric Industrial Co., Ltd. Method of forming a semiconductor wafer having a crystalline layer thereon containing silicon, germanium and carbon
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6461945B1 (en) 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6525338B2 (en) 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US6713326B2 (en) 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6995076B2 (en) 2000-09-05 2006-02-07 The Regents Of The University Of California Relaxed SiGe films by surfactant mediation
US6562736B2 (en) 2000-09-13 2003-05-13 Sony Corporation Manufacturing method for semiconductor device
US20040137167A1 (en) 2000-11-22 2004-07-15 Tue Nguyen Plasma enhanced pulsed layer deposition
US6649980B2 (en) 2000-12-11 2003-11-18 Sony Corporation Semiconductor device with MOS transistors sharing electrode
US6455871B1 (en) 2000-12-27 2002-09-24 Electronics And Telecommunications Research Institute SiGe MODFET with a metal-oxide film and method for fabricating the same
US6821825B2 (en) 2001-02-12 2004-11-23 Asm America, Inc. Process for deposition of semiconductor films
US6900115B2 (en) 2001-02-12 2005-05-31 Asm America, Inc. Deposition over mixed substrates
US20030082300A1 (en) 2001-02-12 2003-05-01 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US6958253B2 (en) 2001-02-12 2005-10-25 Asm America, Inc. Process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020173130A1 (en) 2001-02-12 2002-11-21 Pomerede Christophe F. Integration of High K Gate Dielectric
US6620743B2 (en) 2001-03-26 2003-09-16 Asm America, Inc. Stable, oxide-free silicon surface preparation
US6482705B1 (en) 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US20020173104A1 (en) 2001-05-17 2002-11-21 Chang Kent Kuohua Method for preventing gate depletion effects of MOS transistor
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
WO2002097864A2 (en) 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US20030036268A1 (en) 2001-05-30 2003-02-20 Brabant Paul D. Low temperature load and bake
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6844213B2 (en) 2001-06-14 2005-01-18 Integrated Sensing Systems Process of forming a microneedle and microneedle formed thereby
JP2003023146A (en) 2001-07-06 2003-01-24 Mitsubishi Materials Silicon Corp SEMICONDUCTOR SUBSTRATE, FIELD EFFECT TRANSISTOR, FORMING METHOD OF SiGe LAYER AND FORMING METHOD OF DISTORTED Si LAYER USING THE SAME, AND MANUFACTURING METHOD OF FIELD EFFECT TRANSISTOR
US20030045063A1 (en) 2001-09-03 2003-03-06 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US6905972B2 (en) 2001-09-03 2005-06-14 Renesas Technology Corporation Semiconductor device and method for manufacturing the same
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030080394A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US6875279B2 (en) 2001-11-16 2005-04-05 International Business Machines Corporation Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US20030162348A1 (en) 2001-11-30 2003-08-28 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US20030139000A1 (en) 2002-01-23 2003-07-24 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US20030143783A1 (en) 2002-01-31 2003-07-31 Maa Jer-Shen Method to form relaxed SiGe layer with high Ge content
US20030153161A1 (en) 2002-02-11 2003-08-14 Chu Jack O. Strained si based layer made by uhv-cvd, and devices therein
JP2005518093A (en) 2002-02-11 2005-06-16 インターナショナル・ビジネス・マシーンズ・コーポレーション Strained Si-based layer produced by UHV-CVD and device inside the same
WO2003069658A2 (en) 2002-02-11 2003-08-21 International Business Machines Corporation Strained si based layer made by uhv-cvd, and devices therein
US20030157787A1 (en) 2002-02-21 2003-08-21 Anand Murthy Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US20030207127A1 (en) 2002-02-21 2003-11-06 Anand Murthy Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6864520B2 (en) 2002-04-04 2005-03-08 International Business Machines Corporation Germanium field effect transistor and method of fabricating the same
US20030190791A1 (en) 2002-04-04 2003-10-09 International Business Machines Corporation Germanium field effect transistor and method of fabricating the same
US20040097022A1 (en) 2002-05-07 2004-05-20 Werkhoven Christiaan J. Silicon-on-insulator structures and methods
US7452757B2 (en) 2002-05-07 2008-11-18 Asm America, Inc. Silicon-on-insulator structures and methods
US6812495B2 (en) 2002-06-19 2004-11-02 Massachusetts Institute Of Technology Ge photodetectors
US20030235931A1 (en) 2002-06-19 2003-12-25 Kazumi Wada Ge photodetectors
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US20040157409A1 (en) 2002-07-09 2004-08-12 Bruno Ghyselen Transfer of a thin layer from a wafer comprising a buffer layer
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US20040075105A1 (en) 2002-08-23 2004-04-22 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US20040087117A1 (en) 2002-08-23 2004-05-06 Amberwave Systems Corporation Semiconductor heterostructures and related methods
US7049627B2 (en) 2002-08-23 2006-05-23 Amberwave Systems Corporation Semiconductor heterostructures and related methods
JP2005536876A (en) 2002-08-23 2005-12-02 アンバーウェーブ システムズ コーポレイション Semiconductor heterostructures with reduced dislocation pileup and related methods
US7357838B2 (en) 2002-08-27 2008-04-15 Taiwan Semiconductor Manufacturing Company Relaxed silicon germanium substrate with low defect density
JP2004179452A (en) 2002-11-28 2004-06-24 Shin Etsu Handotai Co Ltd Hetero epitaxial wafer
US20060057825A1 (en) 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US20050150447A1 (en) 2003-01-07 2005-07-14 Bruno Ghyselen Recycling by mechanical means of a wafer comprising a multilayer structure after taking-off a thin layer thereof
US20040151845A1 (en) 2003-02-04 2004-08-05 Tue Nguyen Nanolayer deposition process
US7022593B2 (en) 2003-03-12 2006-04-04 Asm America, Inc. SiGe rectification process
US20040219735A1 (en) 2003-03-13 2004-11-04 Brabant Paul D. Epitaxial semiconductor deposition methods and structures
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7682947B2 (en) 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US20100006024A1 (en) 2003-03-13 2010-01-14 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7115521B2 (en) 2003-03-13 2006-10-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7402504B2 (en) 2003-03-13 2008-07-22 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US20040178406A1 (en) 2003-03-15 2004-09-16 Chu Jack Oon Dual strain-state SiGe layers for microelectronics
US20040192002A1 (en) 2003-03-31 2004-09-30 Ravindra Soman Method for fabricating a heterojunction bipolar transistor
US20040197945A1 (en) 2003-04-05 2004-10-07 Rohm And Haas Electronic Materials L.L.C. Germanium compounds
US6833294B1 (en) 2003-06-26 2004-12-21 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US6830964B1 (en) 2003-06-26 2004-12-14 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US20050054175A1 (en) 2003-07-23 2005-03-10 Matthias Bauer Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates
US20050051795A1 (en) 2003-07-30 2005-03-10 Chantal Arena Epitaxial growth of relaxed silicon germanium layers
US20050067377A1 (en) 2003-09-25 2005-03-31 Ryan Lei Germanium-on-insulator fabrication utilizing wafer bonding
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050081787A1 (en) 2003-10-15 2005-04-21 Ki-Vin Im Apparatus and method for supplying a source, and method of depositing an atomic layer using the same
US7390725B2 (en) 2004-01-12 2008-06-24 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US20050170577A1 (en) 2004-01-30 2005-08-04 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer fabrication with reduced dislocation defect density
US7479443B2 (en) 2004-02-27 2009-01-20 Asm America Inc. Germanium deposition
US20050191826A1 (en) 2004-02-27 2005-09-01 Matthia Bauer Germanium deposition
US7329593B2 (en) 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
US20050192193A1 (en) 2004-03-01 2005-09-01 Korzenski Michael B. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050266700A1 (en) 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US7312165B2 (en) 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US20050277260A1 (en) 2004-06-14 2005-12-15 Cohen Guy M Mixed orientation and mixed material semiconductor-on-insulator wafer
US20070134886A1 (en) 2004-06-22 2007-06-14 Texas Instruments Incorporated Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication
US20070117335A1 (en) 2004-09-02 2007-05-24 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US20060138393A1 (en) 2004-12-27 2006-06-29 Samsung Electronics Co., Ltd. Ge precursor, GST thin layer formed using the same, phase-change memory device including the GST thin layer, and method of manufacturing the GST thin layer
EP1681711A1 (en) 2005-01-05 2006-07-19 Siltronic AG Semiconductor wafer with a silicon-germanium layer and process for its manufacture
US20060145188A1 (en) 2005-01-05 2006-07-06 Siltronic Ag Semiconductor wafer having a silicon-germanium layer, and method for its production
JP2006191112A (en) 2005-01-05 2006-07-20 Siltronic Ag Semiconductor wafer equipped with silicon-germanium layer, and manufacturing method therefor
US20060199357A1 (en) 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US20060216417A1 (en) 2005-03-10 2006-09-28 Todd Michael A System for control of gas injectors
US20060211230A1 (en) 2005-03-21 2006-09-21 Christophe Figuet Laminated layer structure and method for forming the same
US7387953B2 (en) 2005-03-21 2008-06-17 S.O.I.Tec Silicon On Insulator Technologies Laminated layer structure and method for forming the same
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US7037856B1 (en) 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
US20070044706A1 (en) 2005-08-26 2007-03-01 Samsung Electronics Co., Ltd. Method of forming a crystalline structure and a method of manufacturing a semiconductor device
US20070048956A1 (en) 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US7772127B2 (en) 2005-09-07 2010-08-10 S.O.I.Tec Silicon On Insulator Technologies Semiconductor heterostructure and method for forming same
US20070051975A1 (en) 2005-09-07 2007-03-08 Christophe Figuet Semiconductor heterostructure and method for forming same
US20070117398A1 (en) 2005-11-24 2007-05-24 Mitsuhiro Okada Film formation apparatus and method of using the same
US7901968B2 (en) 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7785995B2 (en) 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US20070264801A1 (en) 2006-05-09 2007-11-15 Cody Nyles W Semiconductor buffer structures
US20120244688A1 (en) 2006-06-07 2012-09-27 Asm America, Inc. Selective epitaxial formation of semiconductive films
US7825401B2 (en) 2006-07-24 2010-11-02 Asm America, Inc. Strained layers within semiconductor buffer structures
US7608526B2 (en) 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
US20080017952A1 (en) 2006-07-24 2008-01-24 Asm America, Inc. Strained layers within semiconductor buffer structures
US20090305458A1 (en) 2006-11-02 2009-12-10 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US8454928B2 (en) 2007-09-17 2013-06-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for GST deposition
US20090072271A1 (en) 2007-09-18 2009-03-19 Leonardo Gomez EPITAXIAL GROWTH OF THIN SMOOTH GERMANIUM (Ge) ON SILICON (Si) UTILIZING AN INTERFACIAL SILICON GERMANIUM (SiGe) PULSE GROWTH METHOD
US20130210217A1 (en) 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2011027321A1 (en) 2009-09-02 2011-03-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US20120231611A1 (en) 2009-09-02 2012-09-13 L'air Liquide Societe Anonyme Pour L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US20110117732A1 (en) 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
US20110256726A1 (en) 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20110262660A1 (en) 2010-04-22 2011-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US20110315992A1 (en) 2010-06-25 2011-12-29 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US20120028410A1 (en) 2010-07-27 2012-02-02 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
US20120247386A1 (en) 2011-03-28 2012-10-04 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US8623734B2 (en) 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
US20130045374A1 (en) 2011-08-17 2013-02-21 National Applied Research Laboratories Nano-laminated film with transparent conductive property and water-vapor resistance function and method thereof
US20130233240A1 (en) 2012-03-06 2013-09-12 Asm America, Inc. Methods and apparatuses for epitaxial films with high germanium content
US20140065841A1 (en) 2012-09-05 2014-03-06 Asm Ip Holding B.V. ATOMIC LAYER DEPOSITION OF GeO2
US20140120738A1 (en) 2012-11-01 2014-05-01 Asm Ip Holding B.V. Method of depositing thin film

Non-Patent Citations (97)

* Cited by examiner, † Cited by third party
Title
"Physics of Thin Films", printed from http://www.uccs.edu/˜tchriste/courses/PHYS549/549lectures/film2.html (Feb. 22, 2000).
Bauer et al., "Crystalline to Amorphous Phase Transition in Very Low Temperature Molecular Beam Epitaxy", Materials Science and Engineering B89:263-268 (2002).
Bauer et al., "High Ge content photodetectors on thin SiGe buffers", Materials Science and Engineering B89:77-83 (2002).
Bauer et al., "Relaxed SiGe buffers with thicknesses below 0.1 μm", Thin Solid Films 369:152-156 (2000).
Bensahel et al., "Single-wafer processing of in-situ doped polycrystalline Si and Si1-xGex", Solid State Technology, pp. S5-S10 (Mar. 1998).
Bolkhovityanov et al., "Artificial GeSi Substrates for Heteroepitaxy: Achievements and Problems," Semiconductors 37(5): 493-518 (2003).
Cannon, D. et al., "Tensile strained epitaxial Ge films on Si(100) substrates with potential application in L-band telecommunications," Applied Physics Letters, vol. 84, No. 6, Feb. 9, 2004, pp. 906-908.
Christiansen et al., "Strain Relaxation Mechanisms in He+-Implanted and Annealed Si1-xGex Layers on Si(001) Substrates", Material Research Society Symposium Proceedings 686:A1.6.1-A1.6.6 (2002).
Chui et al., "Ultrathin high-k gate dielectric technology for germanium MOS applications", IEEE 60th Annual Device Research Conference (DRC) Digest, paper VII.B2, pp. 191-192 (2002).
Colace et al., "Ge/Si(001) photodetector for near infrared light", Solid State Phenomena 54:55-58 (1997).
Colace et al., "Metal-Ge-Si diodes for near-infrared light detection", Journal of Vacuum Science and Technology B 17:465 (1999).
Colace et al., "Metal-semiconductor-metal near-infrared light detector based on epitaxial Ge/Si", Applied Physics Letters 72:3175-3177 (1998).
Colace et al., "Metal-Ge—Si diodes for near-infrared light detection", Journal of Vacuum Science and Technology B 17:465 (1999).
Colace, L. et al., "Efficient high-speed near-infrared Ge photodetectors integrated on Si substrates," Applied Physics Letters, vol. 76, No. 10, Mar. 6, 2000, pp. 1231-1233.
Colace, L. et al., "Ge-on-Si Approaches to the Detection of Near-Infrared Light," IEEE Journal of Quantum Electronics, vol. 35, No. 12, Dec. 1999, pp. 1843-1852.
Currie et al., "Controlling threading dislocation densities in Ge on Si using graded SiGe layers and chemical-mechanical polishing", Applied Physics Letters 72:1718-1720 (1998).
Delhougne et al., "Development of a New Type of SiGe Thin Strain Relaxed Buffer Based on the Incorporation of a Carbon-Containing Layer", Applied Surface Science 224:91-94 (2004).
Fama, S. et al., "High performance germanium-on-silicon detectors for optical communications," Applied Physics Letters, vol. 81, No. 4, Jul. 22, 2002, pp. 586-588.
File History printed May 23, 2012 for U.S. Appl. No. 10/800,390, filed Mar. 12, 2004, entitled "Epitaxial Semiconductor Deposition Methods and Structures".
File History printed May 23, 2012 for U.S. Appl. No. 10/993,024, filed Nov. 18, 2004, entitled "Epitaxial Semiconductor Deposition Methods and Structures".
File History printed May 23, 2012 for U.S. Appl. No. 11/067,307, filed Feb. 25, 2005, entitled "Germanium Deposition".
File History printed May 23, 2012 for U.S. Appl. No. 11/388,313, filed Mar. 23, 2006, entitled "Heteroepitaxial Deposition Over an Oxidized Surface".
File History printed May 23, 2012 for U.S. Appl. No. 11/431,336, filed May 9, 2006, entitled "Semiconductor Buffer Structures".
File History printed May 23, 2012 for U.S. Appl. No. 11/491,616, filed Jul. 24, 2006, entitled "Strained Layers Within Semiconductor Buffer Structures".
File History printed May 23, 2012 for U.S. Appl. No. 11/506,320, filed Aug. 18, 2006, entitled "Epitaxial Semiconductor Deposition Methods and Structures".
File History printed May 23, 2012 for U.S. Appl. No. 11/755,528, filed May 30, 2007, entitled "Epitaxial Semiconductor Deposition Methods and Structures".
File History printed May 23, 2012 for U.S. Appl. No. 11/867,318, filed Oct. 4, 2007, entitled "Germanium Deposition".
File History printed May 23, 2012 for U.S. Appl. No. 12/556,377, filed Sep. 9, 2009, entitled "Epitaxial Semiconductor Deposition Methods and Structures".
File History printed May 23, 2012 for U.S. Appl. No. 12/562,029, filed Sep. 17, 2009, entitled "Strained Layers Within Semiconductor Buffer Structures".
Fischetti et al., "Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys", Journal of Applied Physics 80:2234-2252 (1996).
Fitzgerald et al., "Totally Relaxed Gex Si1-x Layers with Low Threading Dislocation Densities Grown on Si Substrates," Appl. Phys. Lett. 59(7): 811-813 (1991).
Giovane et al., "Correlation between leakage current density and threading dislocation density in SiGe p-i-n diodes grown on relaxed graded buffer layers", Applied Physics Letters 78:541-543 (2001).
Hackbarth et al., "Alternatives to Thick MBE-Grown Relaxed SiGe Buffers", Thin Solid Films 369:148-151 (2000).
Hartmann et al., "Reduced pressure-chemical vapor deposition of Ge thick layers on Si(001) for 1.3-1.55-μm photodetection", Journal of Applied Physics 95:5905-5913 (2004).
Hartmann et al., "Reduced pressure—chemical vapor deposition of Ge thick layers on Si(001) for 1.3-1.55-μm photodetection", Journal of Applied Physics 95:5905-5913 (2004).
Haynes et al., "Composition Dependence of Solid-Phase Epitaxy in Silicon-Germanium Alloys: Experiment and Theory", Physical Review B 51:7762-7771 (1995).
Herzog et al., "Si/SiGe n-MODFETs on Thin SiGe Virtual Substrates Prepared by Means of He Implantation", IEEE Electron Device Letters, 23:485-487 (2002).
Huang et al., "Electron and Hole Mobility Enhancement in Strained SOI by Wafer Bonding", IEEE Transactions on Electron Devices 49:1566-1571 (2002).
Huang et al., "SiGe-on-Insulator Prepared by Wafer Bonding and Layer Transfer for High-Performance Field-Effect Transistors", Applied Physics Letters 78:1267-1269 (2001).
Hull, R., "Metastable strained layer configurations in the SiGe/Si system," (1999) EMIS Datareviews, Series No. 24: Properties of SiGe and SiGe:C, edited by Erich Kasper et al., INSPEC (2000), Londonm, UK.
International Preliminary Report on Patentability for International Application No. PCT/US2007/072252, dated Nov. 3, 2008.
International Preliminary Report on Patentability for PCT/US2007/008879 dated Nov. 20, 2008.
International Search Report and Written Opinion dated Feb. 6, 2015 in Application No. PCT/US2014/068387.
International Search Report and Written Opinion for PCT Application No. PCT/US2007/072252 dated Dec. 19, 2007.
International Search Report for International Application No. PCT/US04/07564 dated Apr. 6, 2005.
International Search Report for PCT/US05/06150 dated Jun. 19, 2008.
Isella et al., "Low-energy plasma-enhanced chemical vapor deposition for strained Si and Ge heterostructures and devices" Solid State Electronics, Elsevier Science Publishers, Barking, GB, vol. 48, No. 8, Aug. 2004 (Aug. 2008), pp. 1317-1323.
Ishikawa et al., "Strain-induced band gap shrinkage in Ge grown on Si substrate," Applied Physics Letters, vol. 82, No. 12, Mar. 31, 2003, pp. 2044-2046.
Jackson et al., "Gate-Self-Aligned p-Channel Germanium MISFET's", IEEE Electron Device Letters 12:605-607 (1991).
Kamins et al., "Deposition of Three-Dimensional Germanium Islands on Si(001) by Chemical Vapor Deposition at Atmospheric and Reduced Pressures", J. Appl. Phys. 81:211-219 (1997).
Kasper et al., "New virtual substrate concept for vertical MOS transistors", Thin Solid Films 336:319-322 (1998).
Kasper, "Prospects of SiGe Heterodevices", Journal of Crystal Growth 150:921-925 (1995).
Kutsukake et al., "Fabrication of SiGe-On-Insulator through Thermal Diffusion on Germanium on Si-on-Insulator Substrate", Jpn. J. Appl. Phys. 42:L232-L234 (2003).
Langdo et al., "High quality Ge on Si by epitaxial necking", Applied Physics Letter, vol. 76, No. 25, pp. 3700-3702, Jun. 19, 2000.
Lee et al., "Electron mobility characteristics of n-channel metal-oxide-semiconductor field-effect transistors fabricated on Ge-rich single- and dual-channel SiGe heterostructures", Journal of Applied Physics 95:1550-1555 (2004).
Lee et al., "Growth of strained Si and strained Ge heterostructures on relaxed Si1-xGex by ultrahigh vacuum chemical vapor deposition," J. Vac. Sci. Technol. B 22(1) (Jan./Feb. 2004).
Lee et al., "Strained Ge channel p-type metal-oxide-semiconductor field-effect transistors grown on Si1-xGex/Si virtual substrates", Applied Physics Letters 79:3344-3346 (2001).
Lee et al., "Strained Si/strained Ge dual-channel heterostructures on Relaxed Si0.5Ge0.5 for symmetric mobility p-type and n-type metal-oxide-semiconductor field-effect transistors", Applied Physics Letters 83:4202-4204 (2003).
Letertre et al., "Germanium-on-insulator (GeOI) structure realized by the Smart Cut™ technology", MRS Proceedings, vol. 809 (2004).
Levinstein, Michael E., Rumyantsev, Sergey L, and Shur, Michael S., Properties of Advanced Semiconductor Materials GaN, AlN, InN, BN, SiC, SiGe, John Wiley & Sons, Inc., 149-187 (2011).
Li, Q, et al., "Selective growth of Ge on Si(100) through vias of SiO2 nanotemplate using solid source molecular beam epitaxy," Applied Physics Letters, vol. 83, No. 24, Dec. 15, 2003, pp. 5032-5034.
Liszkay et al., "Strain Relaxation Induced by He-Implantation at the Si¬¬1-xGex/Si(100) Interface Investigated by Positron Annihilation", Applied Surface Science 194:136-139 (2002).
Liu, J. et al., "Silicidation-induced band gap shrinkage in Ge epitaxial films on Si," Applied Physics Letters, vol. 84, No. 5, Feb. 2, 2004, pp. 660-662.
Liu, J. et al., "Silicidation—induced band gap shrinkage in Ge epitaxial films on Si," Applied Physics Letters, vol. 84, No. 5, Feb. 2, 2004, pp. 660-662.
Luan et al., "High-quality Ge epilayers on Si with low threading-dislocation densities", Applied Physics Letters 75:2909-2911 (1999).
Luysberg et al., "Effect of Helium Ion Implantation and Annealing on the Relaxation Behavior of Pseudomorphic Si1-xGex Buffer Layers on Si(100) Substrates", Journal of Applied Physics 92:4290-4295 (2002).
Lyutovich et al., "Interaction between point defects and dislocations in SiGe", Solid State Phenomena 69-70:179-184 (1999).
Lyutovich et al., "Relaxed SiGe buffer layer growth with point defect injection", Materials Science and Engineering B71:14-19 (2000).
Lyutovich et al., "Thin SiGe buffers with high Ge content for n-MOSFETs", Materials Science and Engineering B89:341-345 (2002).
Masini, G. et al.; "High-Performance p-i-n Ge on Si Photodetectors for the Near Infrared: From Model to Demonstration," IEEE Transactions of Electron Devices, vol. 48, No. 6, Jun. 2001, pp. 1092-1096.
Medeiros-Ribeiro et al., "Equilibrium Size Distributions of Clusters During Strained Epitaxial Growth", Materials Science and Engineering B67:31-38 (1999).
Nakamura et al., "InGaN/GaN/A1GaN-Based Laser Diodes with Modulation-Doped Strained-Layer Superlattices", Jap. J. Appl. Phys., vol. 36, No. 12A, Part 2, p. L1568-L1571 (1997).
Nam et al., "Lateral epitaxy of low defect density GaN layers via organometallic vapor phase epitaxy", Phys. Lett, vol. 71, No. 18, p. 2638 (1997).
Ni et al., "X-ray reciprocal space mapping studies of strain relaxation in thin SiGe layers (≤100 nm) using a low temperature growth step", Journal of Crystal Growth 227-228:756-760 (2001).
Obata et al., "Structural Characterization of Si0.7Ge0.3 Layers Grown on Si(001) Substrates by Molecular Beam Epitaxy," J. Appl. Phys. 81(1): 199-204 (1997).
Osten et al., "Relaxed Si1-xGex-Si1-x-yGexCy Buffer Structures with Low Threading Dislocation Density", Applied Physics Letters 70:2813-2815 (1997).
Pending U.S. Appl. No. 11/146,572 entitled: Laminated Layer Structure and Method for Forming the Same, filed Jun. 6, 2005 by Christophe Figuet.
Pending U.S. Appl. No. 11/267,494 entitled: Semiconductor Heterostructure and Method for Forming a Semiconductor Heterostructure, filed Mar. 11, 2005 by Christophe Figuet and Mark Kennard.
Perego et al, "Fabrication of GeO2 layers using a divalent Ge precursor," Applied Physics Letters 90, 2007.
Presting et al., "Buffer Concepts of Ultrathin Simgen Superlattices" Thin Solid Films, Elsevier-Sequoia S.A. Lausanne, CH, vol. 222, No. ½, Dec. 20, 1992 (Dec. 20, 1992), pp. 215-220.
Profijt et al., "Plasma-assisted atomic layer deposition: basics, opportunities and challenges", J. Vac. Sci. Technol. A, vol. 29, No. 5, pp. 050801-1 050801-26.
Reinking et al., "Ge p-MOSFETs compatible with Si CMOS-technology", Proceedings of the 29th ESSDERC 99:300-303 (1999).
Samavedam et al., "High-quality germanium photodiodes integrated on silicon substrates using optimized relaxed graded buffers", Applied Physics Letters 73:2125-2127 (1998).
Schöllhorn et al., "Coalescence of germanium islands on silicon", Thin Solid Films 336:109-111 (1998).
Shang et al., "Electrical characterization of germanium p-channel MOSFETs", IEEE Electron Device Letters 24:242-244 (2003).
Singapore Search Report dated Jun. 16, 2009, received in Singapore Application No. 200809179-5, filed Jun. 27, 2007. 572VSG.
Singapore Written Opinion dated Jun. 16, 2009, received in Singapore Application No. 200809179-5, filed Jun. 27, 2007. 572VSG.
Sugii et al., "SiGe-on-Insulator Substrate Fabricated by Melt Solidification for a Strained-Silicon Complementary Metal-Oxide-Semiconductor", J. Vac. Sci. Technol. B20(5):1891-1896 (2002).
Sugiyama et al., "Formation of Strained-Silicon Layer on Thin Relaxed-SiGe/SiO2/Si Structure Using SIMOX Technology", Thin Solid Films 369:199-202 (2000).
Taiwanese Office Action dated Apr. 30, 2010 for Taiwanese Patent Application No. 093106618.
Teichert et al., "Interplay of Dislocation Network and Island Arrangement in SiGe Films Grown on Si(001)", Thin Solid Films 380:25-28 (2000).
Thomas et al., "Structural characterization of thick, high-quality epitaxial Ge on Si substrates grown by low-energy plasma-enhanced chemical vapor deposition", Journal of Electronic Materials 32:976-980 (2003).
Trinkaus et al., "Strain Relaxation Mechanism for Hydrogen-Implanted Si1-xGex/Si(100)Heterostructures", Applied Physics Letters 76:3552-3554 (2000).
Vescan et al., "Relaxation Mechanism of Low Temperature SiGe-Si(100) Buffer Layers", ICSI3, p. 141 (Mar. 2003).
Vescan et al., "Relaxation Mechanism of Low Temperature SiGe—Si(100) Buffer Layers", ICSI3, p. 141 (Mar. 2003).
Wolf, "Silicon Processing for the VLSI Era", vol. 1: Process Technology, pp. 198 & 519-520 (1986).
Yamamoto et al., "Dislocation Structures and Strain-Relaxation in SiGe Buffer Layers on Si (0 0 1) Substrates with an Ultra-Thin Ge Interlayer," Appl. Surface Sci. 224: 108-112 (2004).

Also Published As

Publication number Publication date
TW201418506A (en) 2014-05-16
US20200266053A1 (en) 2020-08-20
US20140065841A1 (en) 2014-03-06
US20160155635A1 (en) 2016-06-02
KR102104390B1 (en) 2020-04-27
US10553423B2 (en) 2020-02-04
TWI596227B (en) 2017-08-21
KR20140031811A (en) 2014-03-13
KR102192161B1 (en) 2020-12-17
US9171715B2 (en) 2015-10-27
KR20190077274A (en) 2019-07-03
KR101994305B1 (en) 2019-06-28
TW201734249A (en) 2017-10-01
TWI638061B (en) 2018-10-11
KR20200043960A (en) 2020-04-28

Similar Documents

Publication Publication Date Title
US10811249B2 (en) Atomic layer deposition of GeO2
US11047040B2 (en) Dual selective deposition
US10553482B2 (en) Selective deposition of aluminum and nitrogen containing material
US9368352B2 (en) Methods for forming doped silicon oxide thin films
US9330899B2 (en) Method of depositing thin film
US10741388B2 (en) Cyclical deposition of germanium
US11769664B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
US9981286B2 (en) Selective formation of metal silicides
US7947597B2 (en) Methods of titanium deposition
KR20180093832A (en) Selective deposition of aluminum and nitrogen containing material

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MATERO, RAIJA H.;REEL/FRAME:051645/0422

Effective date: 20130313

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4