TWI839162B - 積體電路設計方法及系統 - Google Patents

積體電路設計方法及系統 Download PDF

Info

Publication number
TWI839162B
TWI839162B TW112110103A TW112110103A TWI839162B TW I839162 B TWI839162 B TW I839162B TW 112110103 A TW112110103 A TW 112110103A TW 112110103 A TW112110103 A TW 112110103A TW I839162 B TWI839162 B TW I839162B
Authority
TW
Taiwan
Prior art keywords
path
timing
integrated circuit
design
derating
Prior art date
Application number
TW112110103A
Other languages
English (en)
Other versions
TW202420137A (zh
Inventor
林禹文
謝維致
佛羅倫丁 達杜
博格丹 圖圖亞努
高橋修
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI839162B publication Critical patent/TWI839162B/zh
Publication of TW202420137A publication Critical patent/TW202420137A/zh

Links

Images

Abstract

一種方法包括:確定積體電路(IC)設計的第一路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓;確定第一路徑上的訊號的轉變序列的第二定時,所述第二定時是基於所述簽出電壓以及沿著第一路徑的第一電壓降;基於轉變序列的第一定時與第二定時之間的定時間隙來計算第一路徑降額因數;以及使用第一路徑降額因數來對IC設計進行評估。

Description

積體電路設計方法及系統
在本發明的實施例中闡述的技術涉及積體電路設計方法及系統。
積體電路(integrated circuit,IC)不斷小型化的趨勢已使得裝置越來越小、功耗越來越低,但相較於早期技術以更高的速度提供更多的功能性。小型化是藉由與日益嚴格的規範相聯繫的設計及製造創新來達成。使用各種電子設計自動化(electronic design automation,EDA)工具來在確保滿足設計及製造規範的同時產生、修訂及驗證半導體裝置的設計。
本發明實施例提供一種方法,包括:確定IC設計的第一路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓;確定所述第一路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述簽出電壓以及沿著所述第一路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述 第二定時之間的定時間隙來計算第一路徑降額因數;以及使用所述第一路徑降額因數來對所述IC設計進行評估。
本發明實施例提供一種方法包括:針對IC設計的多個路徑中的每一路徑確定路徑訊號的轉變序列的第一定時及第二定時,所述第一定時是基於IC設計簽出電壓,且所述第二定時是基於所述簽出電壓以及沿著所述路徑的電壓降;將電壓降值的統計分佈指配給所述多個路徑中的每一路徑;針對所述多個路徑中的路徑與電壓降值的所述統計分佈中的電壓降值的每一組合,基於對應的所述轉變序列的所述第一定時與所述第二定時之間的定時間隙來計算路徑降額因數,藉此產生所述IC設計的多個路徑降額因數;以及將所述多個路徑降額因數中的路徑降額因數定義為IC設計簽出層級。
本發明實施例提供一種IC設計系統,包括:處理器及非暫時性電腦可讀取儲存媒體。所述非暫時性電腦可讀取儲存媒體包括用於一或多個程式的電腦程式碼。所述非暫時性電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:確定IC設計的路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓;確定所述路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述簽出電壓以及沿著所述路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述第二定時之間的定時間隙來計算路徑降額因數;以及基於所述路徑降額因數對所述IC設計實行定時分析。
100:方法
110、120、130、140、150、160、170、180:操作
200:路徑
300:IC設計
400:直方圖
500:設計流程/IC設計流程
510:IC設計資料庫
520、540:定時報告
530:統計電壓降模擬
550:OCV計算
560:工程變更命令(ECO)
602:處理器
604:可讀取儲存媒體
606:指令/電腦程式碼
608:匯流排
610:I/O介面
612:網路介面
614:網路
620:活動因數
622:機率分佈曲線
624:降級因數
626:使用者介面
700:系統/製造系統/IC製造系統
720:設計分部
722:IC設計佈局圖/設計佈局圖
730:罩幕分部
732:資料準備/罩幕資料準備
744:罩幕製作
745:罩幕
750:IC代工廠/IC製造商/IC製作商
752:晶圓製作工具/製作工具
753:半導體晶圓
760:IC裝置
AV:實際電壓
CE:電路元件
CN:節點
CP:資料捕獲路徑
CPS:訊號/資料捕獲訊號/資料捕獲路徑訊號
CPS1、LPS1:實例
CPS2、LPS2:實例/訊號實例
CS:時脈訊號
FF1、FF2、FF4:正反器
LP:資料發射路徑
LPS:訊號/資料發射訊號/資料發射路徑訊號
T、TC1、TC2、TL1、TL2:時間
TG:定時間隙
VDD:電源供應電壓位準
VDMAX:最大電壓降
VDMIN:最小電壓降
VSS:參考電壓位準
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1是根據一些實施例的實行IC設計過程的方法的流程圖。
圖2A至圖2C繪示出根據一些實施例的降額因數推導操作。
圖3A及圖3B繪示出根據一些實施例的降額因數推導操作。
圖4繪示出根據一些實施例的降額因數推導操作。
圖5繪示出根據一些實施例的IC設計流程。
圖6是根據一些實施例的IC設計系統的方塊圖。
圖7是根據一些實施例的積體電路(IC)製造系統以及與所述IC製造系統相關聯的IC製造流程的方塊圖。
以下揭露內容提供用於實施所提供標的物的不同特徵的諸多不同實施例或實例。以下闡述組件、值、操作、材料、排列方式或類似項的具體示例以簡化本揭露。當然,該些僅為示例且不旨在進行限制。設想亦存在其他組件、值、操作、材料、排列方式或類似項。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可 形成有附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可能在各種示例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
在各種實施例中,一種系統及方法是有關於確定IC設計的路徑上的訊號的轉變序列(Transition Sequence)的第一定時(Timing)及第二定時,所述第一定時是基於IC設計簽出(Signoff)電壓且所述第二定時是基於簽出電壓以及沿著所述路徑的電壓降。基於第一定時與第二定時之間的定時間隙(Timing Gap)來計算路徑降額因數(Path Derating Factor),且使用路徑降額因數來對IC設計進行評估。相較於在不包括由於製造製程的晶片上變化(on-chip variation,OCV)而引起的潛在局部電壓降的情況下基於簽出電壓的方法,所述系統及方法能夠辨識出原本可能會被遺漏的定時風險。
在一些實施例中,所述系統及方法包括將電壓降值的統計分佈指配給IC設計的多個路徑中的每一者、基於值的統計分佈計算多個路徑降額因數、以及將路徑降額因數定義為IC設計簽出層級。相較於其他方法,此類實施例使得能夠達成擴展的定時風險偵測且使得風險辨識及簽出層級定義二者皆能夠基於使用者規定的準則。
圖1是根據一些實施例的實行IC設計過程的方法100的流程圖。在一些實施例中,方法100中的一些或全部由電腦的處理器執行。在一些實施例中,執行方法100中的一些或全部是使用電腦的處理器來執行自動化佈置及佈線(automated place-and-route,APR)操作的一部分。在一些實施例中,方法100中的一些或全部由IC設計系統600的處理器602執行,IC設計系統600在以下針對圖6進行論述。
方法100的操作中的一些或全部能夠作為在設計分部(design house)(例如,以下針對圖7論述的設計分部720)中實行的設計程序的一部分來實行。
在一些實施例中,以圖1中所繪示的次序實行方法100的操作。在一些實施例中,以與圖1中所繪示的次序不同的次序實行方法100的操作。在一些實施例中,在實行方法100的一或多個操作之前、之間、期間及/或之後實行一或多個操作。
使用圖2A至圖5中所繪示的非限制性示例來對方法100的各種操作進行例示。如以下進一步所論述,圖2A至圖4繪示出 根據一些實施例的降額因數推導操作,且圖5繪示出根據一些實施例的IC設計流程。
在操作110處,在一些實施例中,接收IC設計簽出電壓。IC設計簽出電壓是與IC設計的P型金屬氧化物半導體(P-type metal-oxide-semiconductor,PMOS)電晶體及N型金屬氧化物半導體(N-type metal-oxide-semiconductor,NMOS)電晶體中的每一者的最慢切換速度對應的單個最低電壓位準,例如低於標稱電壓位準的最低容許位準。標稱電壓位準對應於標稱電源供應電壓位準與標稱參考電壓位準之間的差。在一些實施例中,單個最慢切換速度被稱為慢隅角(slow corner),且IC設計簽出電壓被稱為簽出隅角電壓或慢隅角電壓。
相對於標稱電壓位準降低的IC設計簽出電壓對應於IC設計對製造製程變化的容差的增加。在一些實施例中,IC設計簽出電壓具有介於自標稱電源供應電壓位準的85百分比(%)至95%的範圍內的值。在一些實施例中,IC設計簽出電壓具有標稱電壓位準的90%的值。
IC設計對應於IC製造製程及相應地對應於所製造的一或多個IC裝置設計。所述一或多個IC裝置設計包括一或多個網表(net list),所述網表包括根據各種電路功能配置的多個電路節點及路徑。所述一或多個IC裝置設計亦包括與所述一或多個網表對應的一或多個IC佈局圖且用作製造製程的各種操作的基準,如以下針對圖7所論述。
在操作120處,確定IC設計的第一路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓。所述訊號的轉變序列的第一定時是基於具有IC設計簽出電壓的整個第一路徑來確定。
轉變序列包括與第一路徑對應的多個訊號轉變。在一些實施例中,轉變序列對應於與第一路徑相關聯的各別訊號的多次轉變。在一些實施例中,轉變序列對應於與第一路徑相關聯(例如,與並列路徑分量對應)的兩個訊號的轉變。
圖2A至圖2C繪示出與非限制性示例對應的降額因數推導操作,其中圖2A中所繪示的路徑200包括資料發射路徑(data launch path)LP及資料捕獲路徑(data capture path)CP。資料發射路徑LP及資料捕獲路徑CP中的每一者自節點CN(在一些實施例中亦被稱為時脈節點ND)延伸至正反器(flip-flop)FF2且包括電路元件CE的一系列實例。資料發射路徑LP亦包括正反器FF1,所述正反器FF1與電路元件CE的實例一起耦合於節點CN與正反器FF2之間。在圖2B及圖2C中繪示出與路徑200對應的訊號定時且所述訊號定時是基於電路模擬,如以下進一步所論述。
電路元件CE是IC組件的類型(例如,對應於IC佈局圖胞元),所述IC組件被配置成經由一或多個電晶體(例如,一或多個PMOS電晶體與一或多個NMOS電晶體的組合)或其他結構來傳播一或多個訊號。在各種實施例中,電路元件CE的實例包 括反相器、緩衝器、延遲元件、時脈分頻器、傳輸閘、或閘(OR)、反或閘(NOR)、及閘(AND)、反及閘(NAND)或其他邏輯閘或其他合適的IC組件。
在各種實施例中,電路元件CE的實例是相同或不同類型的IC組件。在圖2A中所繪示的實施例中,電路元件CE的每一實例包括單個輸入端子及單個輸出端子。在一些實施例中,例如耦合於正反器FF1與正反器FF2之間的電路元件CE的給定實例包括多於一個輸入端子及/或多於一個輸出端子。
在一些實施例中,正反器(例如,正反器FF1或FF2)亦被稱為資料正反器,是被配置成基於所接收的資料訊號輸出資料訊號且具有基於所接收的時脈訊號的定時的IC組件。
圖2A中所繪示的電路元件CE的實例及正反器FF1的數目是出於例示目的而提供的非限制性示例。電路元件CE的實例及/或正反器FF1的其他數目亦處於本揭露的範圍內。
電路元件CE的實例的輸出端子耦合至節點CN且藉此被配置成在節點CN上輸出時脈訊號CS。資料發射路徑LP被配置成基於時脈訊號CS將資料發射路徑訊號LPS自節點CN傳播至正反器FF1的時脈輸入端子。正反器FF1包括被配置成接收資料訊號的資料輸入端子(未示出)且被配置成部分地基於所述資料訊號而進一步將資料發射路徑訊號LPS自資料輸出端子傳播至正反器FF2的資料輸入端子。
資料捕獲路徑CP被配置成基於時脈訊號CS將資料捕 獲路徑訊號CPS自節點CN傳播至正反器FF2的時脈輸入端子。
圖2A中所繪示的電路元件CE以及正反器FF1及FF2的數目是出於例示目的而提供的非限制性示例。電路元件CE以及正反器FF1及FF2的其他數目亦處於本揭露的範圍內。
圖2B繪示出資料發射訊號LPS在時間T處的實例LPS1及LPS2以及資料捕獲訊號CPS在時間T處的實例CPS1及CPS2。實例LPS1包括在時間TL1處自邏輯高至邏輯低的轉變,且實例CPS1包括在時間TC1處自邏輯低至邏輯高的轉變。
時間TL1對應於訊號LPS的轉變自節點CN傳播至正反器FF2的資料輸入端子所需的時間,且時間TC1對應於訊號CPS的轉變自節點CN傳播至正反器FF2的時脈輸入端子所需的時間。時間TL1及TC1中的每一者對應於路徑200,所述路徑200沿著資料發射路徑LP及資料捕獲路徑CP中的每一者的整體具有IC設計簽出電壓。
訊號LPS及CPS的轉變藉此對應於路徑200上的訊號LPS及CPS的轉變序列,且實例LPS1及CPS1藉此對應於轉變序列的第一定時。
以下針對方法100的附加操作進一步論述圖2A至圖2C。
在一些實施例中,IC設計的第一路徑是IC設計的多個路徑中的第一路徑,且確定IC設計的第一路徑上的訊號的轉變序列的第一定時包括確定所述多個路徑中的每一路徑上的訊號的轉 變序列的對應第一定時,每一第一定時是基於IC設計簽出電壓。
在操作130處,確定第一路徑上的訊號的轉變序列的第二定時,所述第二定時是基於簽出電壓以及沿著第一路徑的第一電壓降。由於簽出電壓是最低電壓位準,因此電壓降(例如,第一電壓降)對應於自大於簽出電壓的第一電壓值至等於或大於簽出電壓的第二電壓值的下降。
給定電壓降對應於沿著對應訊號傳播路徑的基於電阻的下降(即,IR下降),使得第一電壓值是存在於路徑起點處的早期路徑電壓且第二電壓值是存在於路徑終點處的晚期路徑電壓。
在各種實施例中,簽出電壓值或電壓降值的實例中的一者或二者對應於較標稱電源供應電壓位準小第一給定量的最大電壓值或較標稱參考電壓位準大第二給定量的最小電壓值中的一者或組合。
在圖2A至圖2C中所繪示的實施例中,路徑起點及終點分別對應於節點CN及正反器FF2。訊號實例LPS2及CPS2以及時間TL2及TC2中的每一者對應於路徑200,所述路徑200在正反器FF2處具有IC設計簽出電壓且具有較節點CN處的IC設計簽出電壓大的電壓值。實例LPS1及CPS1藉此對應於以上針對操作120論述的轉變序列的第二定時。
基於較IC設計簽出電壓大的節點CN電壓值,訊號實例LPS2相較於實例LPS1傳播得更快,使得時間TL2小於時間TL1,且訊號實例CPS2相較於實例CPS1傳播得更快,使得時間TC2 小於時間TC1。
基於具有與資料捕獲路徑CP的配置不同的配置地資料發射路徑LP,時間TL1與時間TL2之間的差不等於時間TC1與時間TC2之間的差。因此,在與實例LPS2及CPS2對應的轉變序列的第二定時和與實例LPS1及CPS1對應的轉變序列的第一定時之間存在定時間隙,如以下針對操作150進一步論述。
在各種實施例中,給定電壓降對應於IC設計的最大電壓降或者對應於較IC設計的最大電壓降小的非零值。在一些實施例中,給定電壓降是施加至對應路徑(例如,第一路徑)的多個電壓降中的一個電壓降。
在其中IC設計的第一路徑是IC設計的所述多個路徑中的第一路徑的一些實施例中,確定IC設計的第一路徑上的訊號的轉變序列的第二定時包括確定所述多個路徑中的每一路徑上的訊號的對應轉變序列的一或多個第二定時,每一第二定時是基於IC設計簽出電壓及一或多個電壓降。
如以下所論述,除了用於確定第一定時的IC設計簽出電壓之外亦確定包括電壓降的第二定時使得能夠對適用於多個IC設計的給定技術的OCV對效能的影響進行估測。
在操作140處,在一些實施例中,將值的統計分佈指配給IC設計的多個電壓降。指配值的統計分佈包括指配介於自IC設計簽出電壓至與IC簽出電壓加上最大電壓降值相等的值的範圍內的值。
在各種實施例中,指配值的統計分佈包括將值的統計分佈指配給與給定路徑對應的多個電壓降及/或將值的統計分佈指配給與多個路徑對應的多個電壓降。
在一些實施例中,指配值的統計分佈包括實行蒙特卡羅模擬(Monte-Carlo simulation)以產生被指配給所述多個電壓降的值。
在一些實施例中,指配值的統計分佈包括基於全域IC設計資訊(例如,一或多個胞元特徵(例如電晶體電壓臨限值類型、胞元大小、電晶體大小或類型、胞元功能或其他合適的特徵))來指配值的統計分佈。
在一些實施例中,指配值的統計分佈包括基於一或多個使用者定義的活動因數(Activity Factor)(例如,例如5%的胞元活動百分比率)來指配值的統計分佈。
在一些實施例中,指配值的統計分佈包括基於使用者定義的機率分佈曲線(例如均勻分佈或指數分佈)來指配值的統計分佈。
在一些實施例中,指配值的統計分佈包括基於使用者輸入(例如,經由以下針對圖6論述的使用者介面626接收)來指配值的統計分佈。
在各種實施例中,指配值的統計分佈包括向給定路徑的組件指配不相等的值,例如向訊號路徑的資料發射路徑指配第一值且向訊號路徑的資料捕獲路徑指配第二值。
在圖3A及圖3B中所繪示的實施例中,IC設計300包括具有在節點CN與正反器FF2之間延伸的資料發射路徑及資料捕獲路徑的訊號路徑(未標記)以及具有在節點CN與正反器FF4之間延伸的資料發射路徑及資料捕獲路徑的訊號路徑(未標記),如以上針對圖2A所論述。
如圖3B中所繪示,電壓降對應於實際電壓AV與標稱電壓之間的差,所述標稱電壓等於電源供應電壓位準VDD減去參考電壓位準VSS。電壓降具有與低於電源供應電壓位準VDD的實際電壓AV的最大位準的統計變化及高於參考電壓位準VSS的實際電壓AV的最小位準的統計變化對應的值的統計分佈。最大電壓降值對應於實際電壓AV的最小值,且最小電壓降值對應於實際電壓AV的最大值。
在圖3A中所繪示的實施例中,自節點CN延伸至正反器FF2的資料發射路徑被指配有最小電壓降VDMIN,且對應的資料捕獲路徑被分配有最大電壓降VDMAX。
圖3A中所繪示的實施例是出於例示目的而提供的非限制性示例。其他電壓降指配(例如,除了最大值或最小值及/或具有相等值的電壓降指配)亦處於本揭露的範圍內。
將值的統計分佈指配給電壓降使得能夠對一組全面的潛在定時風險進行評估,如以下進一步所論述。
在操作150處,基於轉變序列的第一定時與第二定時之間的定時間隙來計算第一路徑降額因數。在各種實施例中,計算 第一路徑降額因數是基於各別訊號的第一定時與第二定時之間的定時間隙或者具有兩個分量(例如,資料發射訊號及資料捕獲訊號)的訊號的第一定時與第二定時之間的定時間隙。
在一些實施例中,計算定時間隙包括將轉變序列的第一定時的資料發射路徑轉變與資料捕獲路徑轉變之間的第一差和轉變序列的第二定時的資料發射路徑轉變與資料捕獲路徑轉變之間的第二差進行比較。
在一些實施例中,計算路徑降額因數包括將路徑降額因數與資料發射路徑轉變的時間的乘積設定成等於資料發射路徑轉變時間與定時間隙之間的差。
在圖2A至圖2C中所繪示的實施例中,在圖2C中繪示出定時間隙計算示例。對資料捕獲路徑訊號CPS的實例CPS1及CPS2進行移位,使得與時間TC1及TC2對應的轉變對準。相應地對資料發射路徑訊號LPS的實例LPS1及LPS2進行移位,使得與時間TL1及TL2對應的轉變對與時間TL1減去時間TL2相等的定時間隙TG進行定義。
藉由使用以下方程式將定時間隙TG連接至降額因數來計算路徑200的資料發射路徑的降額因數(1-OCV):TL1(1-OCV)=TL1-TG。 (1)因此,OCV=TG/TL1=[(TL1-TC1)+(TC2-TL2)]/TL1。 (2)
基於與用於確定實例LPS2及CPS2的電壓降對應的 OCV的值,藉此計算降額因數(1-OCV)。降額因數(1-OCV)能夠用於一或多個定時分析(Timing Analysis),以沿著路徑200的資料發射路徑LP對訊號定時進行調整。
圖2A至圖2C中所繪示的基於定時間隙TG的降額因數計算是出於例示目的而提供的非限制性示例。其中定時間隙以相似方式連接至降額因數的其他計算亦處於本揭露的範圍內。
在一些實施例中,計算第一路徑降額因數包括基於與第一路徑的電壓降值的統計分佈對應的一或多個定時間隙來計算包括第一降額因數的多個降額因數。
在其中IC設計的第一路徑是IC設計的所述多個路徑中的第一路徑的一些實施例中,計算第一路徑降額因數包括計算所述多個路徑中的每一路徑的一或多個降額因數,每一降額因數是基於對應的所述一或多個定時間隙。
在操作160處,使用第一路徑降額因數來對IC設計進行評估。在一些實施例中,使用第一路徑降額因數來對IC設計進行評估包括實行包括第一路徑降額因數的定時分析。
在其中第一路徑降額因數包括於多個降額因數(例如,基於電壓降值的統計分佈)中的一些實施例中,使用第一路徑降額因數來對IC設計進行評估包括使用降額因數中的一些或全部來對IC設計進行評估(例如,藉由實行定時分析)。
在其中第一路徑降額因數包括於多個降額因數中的一些實施例中,使用第一路徑降額因數來對IC設計進行評估包括例 如基於蒙特卡羅模擬來產生降額因數直方圖。在一些實施例中,產生降額因數直方圖包括例如經由以下針對圖6論述的使用者介面626向使用者顯示直方圖。
在其中第一路徑降額因數包括於多個降額因數中的一些實施例中,使用第一路徑降額因數來對IC設計進行評估包括自動選擇或接收降額因數(例如,與平均降額因數或最大降額因數對應)的IC設計簽出層級的使用者選擇。
圖4繪示出根據一些實施例的降額因數推導操作。圖4包括基於定時間隙計算的降額因數的直方圖400,所述定時間隙對應於藉由蒙特卡羅模擬指配的電壓降值。降額因數對應於圖2中所繪示的路徑200,其中資料保持活動的定時受到沿著資料發射路徑LP及資料捕獲路徑CP的電壓降的影響。
在圖4中所繪示的實施例中,直方圖400包括對與平均降額因數、四分之三降額因數、99%層級的降額因數及最差降額因數對應的降額因數的指示。基於選擇最差降額因數作為IC設計簽出層級的定時分析藉此覆蓋基於電壓降的統計分佈的所有潛在定時風險。基於選擇平均降額因數或其他降額因數作為IC設計簽出層級的定時分析藉此覆蓋基於電壓降的統計分佈的潛在定時風險的對應部分。
圖4中所繪示的直方圖是出於例示目的而提供的非限制性示例。其他直方圖類型及IC設計簽出層級亦處於本揭露的範圍內。
在操作170處,在一些實施例中,因應於所述評估而修改IC設計。在各種實施例中,修改IC設計包括修改IC設計的網表或IC佈局圖中的一者或二者。
在一些實施例中,修改IC設計包括將網表或IC佈局圖儲存於儲存裝置中。在各種實施例中,將網表或IC佈局圖儲存於儲存裝置中包括將網表或IC佈局圖儲存於非揮發性電腦可讀取記憶體或胞元庫(例如,資料庫)中及/或包括藉由網路儲存網表或IC佈局圖。在一些實施例中,將網表或IC佈局圖儲存於儲存裝置中包括使用以下針對圖6論述的IC設計系統600。
圖5繪示出根據一些實施例的IC設計流程500。設計流程500包括IC設計資料庫510、定時報告520及540、統計電壓降模擬530、OCV計算550及工程變更命令(engineering change order,ECO)560。
IC設計資料庫510對應於APR系統,其中基於一或多個網表中所規定的電路路徑在一或多個IC佈局圖中自動地對訊號連接進行佈線。
定時報告520對應於確定第一定時的操作120中的一些或全部。統計電壓降模擬530對應於將值的統計分佈指配給電壓降的操作150中的一些或全部。定時報告540對應於基於值的統計分佈來確定第一定時的操作130中的一些或全部。OCV計算550對應於基於定時間隙計算第一路徑降額因數的操作140中的一些或全部。
OCV計算560對應於使用第一路徑降額因數來對IC設計進行評估的操作160中的一些或全部及基於所述評估來修改IC設計的操作170中的一些或全部。
圖5中所繪示的IC設計流程500是出於例示目的而提供的非限制性示例。與方法100的操作中的一些或全部一致的其他設計流程亦處於本揭露的範圍內。
在操作180處,在一些實施例中,製作半導體IC的層中的一或多個半導體罩幕中的至少一者或至少一個組件,或者基於經修改的IC設計實行一或多個製造操作。在以下針對圖7論述在半導體IC的層中製作一或多個半導體罩幕或至少一個組件以及基於對應的IC佈局圖實行一或多個製造操作(例如,一或多個微影曝光)。
在一些實施例中,實行一或多個製造操作包括實行一或多個IC裝置設計操作。在一些實施例中,實行所述一或多個IC裝置設計操作包括將一或多條金屬線佈線至IC設計的一或多個組件。
藉由執行方法100的操作中的一些或全部來確定IC設計的路徑上的訊號的轉變序列的第一定時及第二定時,所述第一定時是基於IC設計簽出電壓,且所述第二定時是基於簽出電壓以及沿著所述路徑的電壓降。基於第一定時與第二定時之間的定時間隙來計算路徑降額因數,且使用路徑降額因數來對IC設計進行評估。相較於在不包括由於製造製程OCV而引起的潛在局部電壓 降的情況下基於簽出電壓的方法,所述方法能夠辨識出原本可能會被遺漏的定時風險。
在一些實施例中,執行方法100的操作中的一些或全部包括將電壓降值的統計分佈指配給IC設計的多個路徑中的每一者、基於值的統計分佈計算多個路徑降額因數、以及將路徑降額因數定義為IC設計簽出層級。相較於其他方法,此類實施例使得能夠達成擴展的定時風險偵測且使得風險辨識及簽出層級定義二者皆能夠基於使用者規定的準則。
圖6是根據一些實施例的IC設計系統600的方塊圖。根據一些實施例,以上針對圖1至圖5論述的方法100的一或多個操作可使用IC設計系統600來實施。在一些實施例中,IC設計系統600是EDA系統。
在一些實施例中,IC設計系統600是包括處理器602及非暫時性電腦可讀取儲存媒體604的計算裝置。非暫時性電腦可讀取儲存媒體604被編碼有(即,儲存)電腦程式碼606(即,一組可執行指令)等。處理器602對指令606的執行(至少部分地)表示IC裝置設計系統,所述IC裝置設計系統實施例如以上針對圖1論述的方法100的一部分或全部(在下文中被稱為所提出的過程及/或方法)。
處理器602經由匯流排608電性耦合至非暫時性電腦可讀取儲存媒體604。處理器602亦藉由匯流排608電性耦合至I/O介面610。網路介面612亦經由匯流排608電性連接至處理器602。 網路介面612連接至網路614,以使得處理器602及非暫時性電腦可讀取儲存媒體604能夠經由網路614連接至外部元件。處理器602被配置成執行編碼於非暫時性電腦可讀取儲存媒體604中的電腦程式碼606以使IC設計系統600可用於實行所提出的過程及/或方法的一部分或全部。在一或多個實施例中,處理器602是中央處理單元(central processing unit,CPU)、多處理器、分佈式處理系統、特定應用積體電路(application specific integrated circuit,ASIC)及/或合適的處理單元。
在一或多個實施例中,非暫時性電腦可讀取儲存媒體604是電子、磁性、光學、電磁、紅外線及/或半導體系統(或設備或裝置)。舉例而言,非暫時性電腦可讀取儲存媒體604包括半導體記憶體或固態記憶體、磁帶、可移除式電腦磁片、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、硬磁碟及/或光碟。在使用光碟的一或多個實施例中,非暫時性電腦可讀取儲存媒體604包括光碟唯讀記憶體(compact disk-read only memory,CD-ROM)、光碟讀取/寫入(compact disk-read/write,CD-R/W)及/或數位視訊碟(digital video disc,DVD)。
在一或多個實施例中,非暫時性電腦可讀取儲存媒體604儲存電腦程式碼606,電腦程式碼606被配置成使IC設計系統600可用於實行所提出的過程及/或方法中的一部分或全部。在一或多個實施例中,非暫時性電腦可讀取儲存媒體604亦儲存便 於實行所提出的過程及/或方法中的一部分或全部的資訊。在各種實施例中,非暫時性電腦可讀取儲存媒體604儲存至少一個活動因數620、機率分佈曲線622、IC設計簽出或其他降級因數624或其他設計準則(未標記)中的一者或其組合,如以上針對方法100及圖1至圖5所論述。
IC設計系統600包括I/O介面610。I/O介面610耦合至外部電路系統。在各種實施例中,I/O介面610包括用於將資訊及命令傳送至處理器602及/或自處理器602傳送資訊及命令的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、顯示器、觸控螢幕及/或遊標方向鍵中的一者或組合。
IC設計系統600亦包括耦合至處理器602的網路介面612。網路介面612使得IC設計系統600能夠與網路614進行通訊,網路614連接有一或多個其他電腦系統。網路介面612包括無線網路介面,例如藍芽(BLUETOOTH)、無線保真(wireless fidelity,WIFI)、全球互通微波存取(Worldwide Interoperability for Microwave Access,WIMAX)、通用封包無線電服務(General Packet Radio Service,GPRS)或寬頻分碼多重存取(wideband code division multiple access,WCDMA);或者有線網路介面,例如乙太網路(ETHERNET)、通用串列匯流排(universal serial bus,USB)或電機及電子工程師學會-1364(Institute of Electrical and Electronic Engineers-1364,IEEE-1364)。在一或多個實施例中,在二或更多個IC設計系統600中實施所提出的過程及/或方法中的一部分或全 部。
IC設計系統600被配置成經由I/O介面610接收資訊。經由I/O介面610接收的資訊包括由處理器602進行處理的至少一個電阻值、至少一個網表、至少一個IC佈局圖、至少一個設計規則及/或其他參數中的一者或組合。經由匯流排608將資訊傳送至處理器602。IC設計系統600被配置成經由I/O介面610發射及/或接收與使用者介面626相關的資訊。
在一些實施例中,以由處理器執行的獨立的軟體應用形式來實施所提出的過程及/或方法中的一部分或全部。在一些實施例中,以作為附加軟體應用的一部分的軟體應用形式來實施所提出的過程及/或方法中的一部分或全部。在一些實施例中,以軟體應用的插件形式來實施所提出的過程及/或方法中的一部分或全部。在一些實施例中,以作為EDA工具的一部分的軟體應用形式來實施所提出的過程及/或方法中的至少一者。在一些實施例中,使用工具(例如,可自楷登設計系統(CADENCE DESIGN SYSTEMS)公司購得的VIRTUOSO®或另一合適的佈局產生工具)來產生IC佈局圖。
在一些實施例中,以非暫時性電腦可讀取記錄媒體中所儲存的程式的功能形式來達成所述過程。非暫時性電腦可讀取記錄媒體的示例包括但不限於外部/可移除及/或內部/內建儲存單元或記憶單元,例如光碟(例如DVD)、磁碟(例如硬碟)、半導體記憶體(例如ROM、RAM)、記憶卡及類似單元中的一或多者。
藉由可用於實施方法100的一或多個操作(如以上針對圖1至圖5所論述),包括非暫時性電腦可讀取儲存媒體604的IC設計系統600使得能夠達成以上針對方法100論述的益處。
圖7是根據一些實施例的IC製造系統700以及與IC製造系統700相關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖而使用製造系統700製作以下中的至少一者:(A)一或多個半導體罩幕或(B)半導體積體電路的層中的至少一個組件。
在圖7中,IC製造系統700包括例如設計分部720、罩幕分部730及IC製造商/製作商(「代工廠(fab)」)750等實體,所述實體在與製造IC裝置760相關的設計、開發及製造循環及/或服務中彼此進行交互。系統700中的實體是藉由通訊網路而連接。在一些實施例中,通訊網路是單一網路。在一些實施例中,通訊網路是各種不同的網路,例如內部網路及網際網路。通訊網路包括有線通訊通道及/或無線通訊通道。每一實體與其他實體中的一或多者進行交互,且向其他實體中的一或多者提供服務及/或自其他實體中的一或多者接收服務。在一些實施例中,單一較大的公司擁有設計分部720、罩幕分部730及IC代工廠750中的二或更多者。在一些實施例中,設計分部720、罩幕分部730及IC代工廠750中的二或更多者共存於共同的設施中且使用共同的資源。
設計分部(或設計團隊)720基於以上針對圖1至圖6論述的方法100來產生IC設計佈局圖722。IC設計佈局圖722包 括各種幾何圖案,所述幾何圖案對應於構成欲被製作的IC裝置760的各種組件的金屬層、氧化物層或半導體層的圖案。各種層進行組合以形成各種IC特徵。舉例而言,IC設計佈局圖722的一部分包括欲形成於半導體基底(例如矽晶圓)中的各種IC特徵(例如主動區、閘極電極、源極及汲極、層間內連線的金屬線或通孔以及結合接墊的開口)以及設置於半導體基底上的各種材料層。設計分部720實施適當設計程序(包括以上針對圖1至圖6論述的方法100)以形成IC設計佈局圖722。設計程序包括邏輯設計、物理設計或佈置及佈線中的一或多者。IC設計佈局圖722是以具有幾何圖案的資訊的一或多個資料檔案形式來呈現。舉例而言,可以GDSII檔案格式或DFII檔案格式表達IC設計佈局圖722。
罩幕分部730包括資料準備732及罩幕製作744。罩幕分部730使用IC設計佈局圖722,以根據IC設計佈局圖722製造一或多個罩幕745以用於製作IC裝置760的各種層。罩幕分部730實行罩幕資料準備732,在進行所述罩幕資料準備732時將IC設計佈局圖722轉譯成代表性資料檔案(「representative data file,RDF」)。罩幕資料準備732為罩幕製作744提供RDF。罩幕製作744包括罩幕繪圖機(mask writer)。罩幕繪圖機將RDF轉換成基底(例如,罩幕(罩版(reticle))745或半導體晶圓753)上的影像。罩幕資料準備732操控設計佈局圖722以遵循罩幕繪圖機的特定特性及/或IC代工廠750的要求。在圖7中,將罩幕資料準備732及罩幕製作744示出為分開的元件。在一些實施例中,罩幕資 料準備732及罩幕製作744可被統稱為罩幕資料準備。
在一些實施例中,罩幕資料準備732包括光學近接修正(optical proximity correction,OPC),光學近接修正使用微影增強技術來對影像誤差(例如可能由繞射、干擾、其他製程效應及類似原因引起的影像誤差)進行補償。OPC對IC設計佈局圖722進行調整。在一些實施例中,罩幕資料準備732更包括解析度增強技術(resolution enhancement technique,RET),例如偏軸照明、次級解析輔助特徵、相移罩幕、其他合適的技術及類似技術或者其組合。在一些實施例中,亦使用反演微影技術(inverse lithography technology,ILT),其將OPC視為反演成像問題。
在一些實施例中,罩幕資料準備732包括罩幕規則檢查器(mask rule checker,MRC),所述罩幕規則檢查器利用含有某些幾何限制及/或連接性限制的一組罩幕創建規則對已經歷OPC中的過程的IC設計佈局圖722進行檢查,以確保有足夠的餘裕來將半導體製造製程的可變性及類似因素考量在內。在一些實施例中,MRC修改IC設計佈局圖722以對罩幕製作744期間的限制進行補償,此可取消為滿足罩幕創建規則而藉由OPC實行的修改的一部分。
在一些實施例中,罩幕資料準備732包括微影製程檢查(lithography process checking,LPC),所述微影製程檢查對將由IC代工廠750為製作IC裝置760而實施的處理進行模擬。LPC基於IC設計佈局圖722對此種處理進行模及以創建模擬的已製成 裝置,例如IC裝置760。LPC模擬中的處理參數可包括與IC製造循環的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數及/或製造製程的其他態樣。LPC會考慮到各種因子,例如空中影像對比度(aerial image contrast)、焦深(「depth of focus,DOF」)、罩幕誤差增強因子(「mask error enhancement factor,MEEF」)、其他合適的因子及類似因子或者其組合。在一些實施例中,在已藉由LPC而創建模擬的已製成裝置之後,若模擬的裝置的形狀相近度不足以滿足設計規則,則重複進行OPC及/或MRC以進一步改進IC設計佈局圖722。
應理解,對罩幕資料準備732的以上說明已出於清晰目的而加以簡化。在一些實施例中,資料準備732包括附加特徵,例如根據製造規則修改IC設計佈局圖722的邏輯運算(logic operation,LOP)。另外,可按照各種不同的次序執行在資料準備732期間應用於IC設計佈局圖722的製程。
在罩幕資料準備732之後及在罩幕製作744期間,基於經修改的IC設計佈局圖722製作罩幕745或罩幕745的群組。在一些實施例中,罩幕製作744包括基於IC設計佈局圖722實行一或多次微影曝光。在一些實施例中,使用電子束(electron-beam,e-beam)或由多個電子束構成的機制來基於經修改的IC設計佈局圖722在罩幕(光罩(photomask)或罩版)745上形成圖案。可以各種技術形成罩幕745。在一些實施例中,使用二元技術形成罩幕745。在一些實施例中,罩幕圖案包括不透明區及透明區。用於 對已塗佈於晶圓上的影像敏感材料層(例如,光阻)進行曝光的輻射束(例如,紫外線(ultraviolet,UV)束)被不透明區阻擋且透射穿過透明區。在一個示例中,罩幕745的二元罩幕版本包括透明基底(例如,熔融石英)及塗佈於二元罩幕的不透明區中的不透明材料(例如,鉻)。在另一示例中,使用相移技術形成罩幕745。在罩幕745的相移罩幕(phase shift mask,PSM)版本中,形成於所述相移罩幕上的圖案中的各種特徵被配置成具有適當相位差以增強解析度及成像品質。在各種示例中,相移罩幕可為衰減的PSM或交替的PSM。由罩幕製作744產生的罩幕用於各種製程中。舉例而言,此種罩幕用於離子植入製程中以在半導體晶圓753中形成各種經摻雜區,用於蝕刻製程中以在半導體晶圓753中形成各種蝕刻區,及/或用於其他合適的製程中。
IC代工廠750是包括用於製作各種不同的IC產品的一或多個製造設施的IC製作企業。在一些實施例中,IC代工廠750是半導體鑄造廠。舉例而言,可存在用於多個IC產品的前端製作(製程前端(front-end-of-line,FEOL)製作)的製造設施,而第二製造設施可提供用於IC產品的內連及封裝的後端製作(製程後端(back-end-of-line,BEOL)製作),且第三製造設施可為鑄造企業提供其他服務。
IC代工廠750包括晶圓製作工具752,晶圓製作工具752被配置成對半導體晶圓753執行各種製造操作,進而使得根據罩幕(例如,罩幕745)製作IC裝置760。在各種實施例中,製作 工具752包括以下中的一或多者:晶圓步進機、離子植入機、光阻塗佈機、製程腔室(例如,化學氣相沈積(chemical phase deposition,CVD)腔室或低壓CVD(low pressure CVD,LPCVD)爐)、化學機械研磨(chemical mechanical polishing,CMP)系統、電漿蝕刻系統、晶圓清潔系統或能夠實行本文中所論述的一或多個合適的製造製程的其他製造裝備。
IC代工廠750使用由罩幕分部730製作的罩幕745來製作IC裝置760。因此,IC代工廠750至少間接使用IC設計佈局圖722來製作IC裝置760。在一些實施例中,由IC代工廠750使用罩幕745來製作半導體晶圓753以形成IC裝置760。在一些實施例中,IC製作包括至少間接地基於IC設計佈局圖722實行一或多次微影曝光。半導體晶圓753包括矽基底或上面形成有材料層的其他適當基底。半導體晶圓753更包括各種經摻雜區、介電特徵、多層級內連線及類似特徵(在後續的製造步驟處形成)中的一或多者。
在一些實施例中,一種方法包括確定IC設計的第一路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓;確定所述第一路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述簽出電壓以及沿著所述第一路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述第二定時之間的定時間隙來計算第一路徑降額因數;以及使用所述第一路徑降額因數來對所述IC設計進行評估。
在相關的實施例中,所述第一路徑包括資料發射路徑及資料捕獲路徑,所述轉變序列包括資料發射路徑轉變時間及資料捕獲路徑轉變時間,所述第一定時的所述資料發射路徑轉變時間減去所述資料捕獲路徑轉變時間具有第一量值及極性,所述第二定時的所述資料發射路徑轉變時間減去所述資料捕獲路徑轉變時間具有第二量值及極性,且所述定時間隙所具有的值等於所述第一量值及極性與所述第二量值及極性之間的差。
在相關的實施例中,所述計算所述第一路徑降額因數包括:將所述第一路徑降額因數與所述資料發射路徑轉變時間的乘積,設定成等於所述資料發射路徑轉變時間與所述定時間隙之間的差。
在相關的實施例中,所述第一電壓降是沿著所述第一路徑的多個電壓降中的第一電壓降,所述轉變序列的所述第二定時是所述轉變序列的與所述多個電壓降對應的多個第二定時中的一個第二定時,所述第一路徑降額因數是多個第一路徑降額因數中的一個第一路徑降額因數,所述計算所述第一路徑降額因數包括:基於所述多個第二定時中的每一個與所述第一定時之間的對應定時間隙,來計算所述多個第一路徑降額因數,且使用所述第一路徑降額因數來對所述積體電路設計進行評估包括:使用所述多個第一路徑降額因數。
在相關的實施例中,所述第一路徑是所述積體電路設計的多個路徑中的第一路徑,沿著所述第一路徑的所述多個電壓降 包括於所述積體電路設計的多個電壓降中,且所述積體電路設計方法更包括:將值的統計分佈指配給所述積體電路設計的所述多個電壓降。
在相關的實施例中,所述多個第一路徑降額因數包括於所述積體電路設計的多個路徑降額因數的集合中,所述集合中的每一多個路徑降額因數對應於所述積體電路設計的所述多個路徑中的路徑,且所述使用所述多個第一路徑降額因數來對所述積體電路設計進行評估包括:基於所述積體電路設計的所述多個電壓降的所述值的所述統計分佈,來計算所述多個路徑降額因數;以及將所述多個路徑降額因數中的路徑降額因數,定義為積體電路設計簽出值。
在相關的實施例中,所述將所述值的所述統計分佈指配給所述積體電路設計的所述多個電壓降包括以下中的一或多者:基於胞元特徵上的一或多個電壓降值進行指配;將使用者定義的活動因數應用於所述統計分佈;或者將使用者定義的機率分佈曲線應用於所述統計分佈。
在相關的實施例中,所述積體電路設計簽出電壓包括慢隅角電壓。
在一些實施例中,一種方法包括:針對IC設計的多個路徑中的每一路徑確定路徑訊號的轉變序列的第一定時及第二定時,所述第一定時是基於IC設計簽出電壓,且所述第二定時是基於所述簽出電壓以及沿著所述路徑的電壓降;將電壓降值的統計 分佈指配給所述多個路徑中的每一路徑;針對所述多個路徑中的路徑與電壓降值的所述統計分佈中的電壓降值的每一組合,基於對應的所述轉變序列的所述第一定時與所述第二定時之間的定時間隙來計算路徑降額因數,藉此產生所述IC設計的多個路徑降額因數;以及將所述多個路徑降額因數中的路徑降額因數定義為IC設計簽出層級。
在相關的實施例中,所述多個路徑中的所述每一路徑包括資料發射路徑及資料捕獲路徑,對應的所述轉變序列包括資料發射路徑轉變時間與資料捕獲路徑轉變時間之間的時間變量,且對應的所述定時間隙具有基於對應的所述第一定時的所述時間變量與對應的所述第二定時的所述時間變量之間的差的值。
在相關的實施例中,所述計算所述多個路徑降額因數中對應的所述路徑降額因數包括:將所述路徑降額因數與所述資料發射路徑轉變時間的乘積,設定成等於所述資料發射路徑轉變時間與所述定時間隙之間的差。
在相關的實施例中,所述將所述電壓降值的所述統計分佈指配給所述多個路徑中的每一路徑包括以下中的一或多者:基於胞元特徵上的一或多個電壓降值進行指配;將使用者定義的活動因數應用於所述統計分佈;或者將使用者定義的機率分佈曲線應用於所述統計分佈。
在相關的實施例中,所述將所述電壓降值的所述統計分佈指配給所述多個路徑中的每一路徑包括:使用蒙特卡羅模擬來 產生降額因數直方圖,且所述將所述多個路徑降額因數中的所述路徑降額因數定義為所述積體電路設計簽出層級包括:選擇所述降額因數直方圖中的降額因數。
在相關的實施例中,所述選擇所述降額因數直方圖中的所述降額因數包括:選擇平均降額因數或最大降額因數中的一者。
在一些實施例中,一種IC設計系統包括處理器及非暫時性電腦可讀取儲存媒體,所述非暫時性電腦可讀取儲存媒體包括用於一或多個程式的電腦程式碼。所述非暫時性電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:確定IC設計的路徑上的訊號的轉變序列的第一定時,所述第一定時是基於IC設計簽出電壓;確定所述路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述簽出電壓以及沿著所述路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述第二定時之間的定時間隙來計算路徑降額因數;以及基於所述路徑降額因數對所述IC設計實行定時分析。
在相關的實施例中,所述路徑包括資料發射路徑及資料捕獲路徑,且所述電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:基於對所述轉變序列的所述第一定時的資料發射路徑轉變與資料捕獲路徑轉變之間的第一差和所述轉變序列的所述第二定時的所述資料發射路徑轉變與所述資料捕獲路徑轉變之間的第二差的比較,來計算所述定時間隙。
在相關的實施例中,所述電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:藉由將所述路徑降額因數與所述資料發射路徑轉變的時間的乘積設定成等於所述資料發射路徑轉變的所述時間與所述定時間隙之間的差,來計算所述路徑降額因數。
在相關的實施例中,所述電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:基於對應轉變序列的第一定時與第二定時之間的對應定時間隙將所述路徑降額因數,計算為多個路徑的多個路徑降額因數中的一個路徑降額因數,其中所述第二定時是藉由將值的統計分佈指配給所述第二定時的對應電壓降來計算;以及基於所述多個路徑降額因數對所述積體電路設計,實行所述定時分析。
在相關的實施例中,所述電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:藉由將使用者定義的活動因數及/或使用者定義的機率分佈曲線應用於所述值的所述統計分佈,來將所述統計分佈指配給所述第二定時的所述對應電壓降。
在相關的實施例中,所述電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:使用蒙特卡羅模擬將所述值的所述統計分佈,指配給所述第二定時的所述對應電壓降,以產生降額因數直方圖;接收所述降額因數直方圖中的降額因數的使用者選擇,作為積體電路設計簽出層級;以及基 於所述積體電路設計簽出層級對所述積體電路設計,實行所述定時分析。
前述內容概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、取代及變更。
100:方法
110、120、130、140、150、160、170、180:操作

Claims (10)

  1. 一種積體電路設計方法,包括:確定積體電路(IC)設計的第一路徑上的訊號的轉變序列的第一定時,所述第一定時是基於積體電路設計簽出電壓;確定所述第一路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述積體電路設計簽出電壓以及沿著所述第一路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述第二定時之間的定時間隙,來計算第一路徑降額因數;以及使用所述第一路徑降額因數,來對所述積體電路設計進行評估。
  2. 如請求項1所述的積體電路設計方法,其中所述第一路徑包括資料發射路徑及資料捕獲路徑,所述轉變序列包括資料發射路徑轉變時間及資料捕獲路徑轉變時間,所述第一定時的所述資料發射路徑轉變時間減去所述資料捕獲路徑轉變時間具有第一量值及極性,所述第二定時的所述資料發射路徑轉變時間減去所述資料捕獲路徑轉變時間具有第二量值及極性,且所述定時間隙所具有的值等於所述第一量值及極性與所述第二量值及極性之間的差。
  3. 如請求項2所述的積體電路設計方法,其中 所述計算所述第一路徑降額因數包括:將所述第一路徑降額因數與所述資料發射路徑轉變時間的乘積,設定成等於所述資料發射路徑轉變時間與所述定時間隙之間的差。
  4. 如請求項1所述的積體電路設計方法,其中所述第一電壓降是沿著所述第一路徑的多個電壓降中的第一電壓降,所述轉變序列的所述第二定時是所述轉變序列的與所述多個電壓降對應的多個第二定時中的一個第二定時,所述第一路徑降額因數是多個第一路徑降額因數中的一個第一路徑降額因數,所述計算所述第一路徑降額因數包括:基於所述多個第二定時中的每一個與所述第一定時之間的對應定時間隙,來計算所述多個第一路徑降額因數,且使用所述第一路徑降額因數來對所述積體電路設計進行評估包括:使用所述多個第一路徑降額因數。
  5. 如請求項4所述的積體電路設計方法,其中所述第一路徑是所述積體電路設計的多個路徑中的第一路徑,沿著所述第一路徑的所述多個電壓降包括於所述積體電路設計的多個電壓降中,且所述積體電路設計方法更包括:將值的統計分佈指配給所述積體電路設計的所述多個電壓降。
  6. 如請求項5所述的積體電路設計方法,其中所述多個第一路徑降額因數包括於所述積體電路設計的多個路徑降額因數的集合中,所述集合中的每一多個路徑降額因數對應於所述積體電路設計的所述多個路徑中的路徑,且所述使用所述多個第一路徑降額因數來對所述積體電路設計進行評估包括:基於所述積體電路設計的所述多個電壓降的所述值的所述統計分佈,來計算所述多個路徑降額因數;以及將所述多個路徑降額因數中的路徑降額因數,定義為積體電路設計簽出值。
  7. 如請求項5所述的積體電路設計方法,其中所述將所述值的所述統計分佈指配給所述積體電路設計的所述多個電壓降包括以下中的一或多者:基於胞元特徵上的一或多個電壓降值進行指配;將使用者定義的活動因數應用於所述統計分佈;或者將使用者定義的機率分佈曲線應用於所述統計分佈。
  8. 一種積體電路設計方法,包括:針對積體電路(IC)設計的多個路徑中的每一路徑,確定路徑訊號的轉變序列的第一定時及第二定時,所述第一定時是基於積體電路設計簽出電壓,且所述第二定時是基於所述積體電路設計簽出電壓以及沿著所述每一路徑的電壓降;將電壓降值的統計分佈,指配給所述多個路徑中的所述每一 路徑;針對所述統計分佈中的電壓降值的所述多個路徑中的路徑與所述電壓降值的每一組合,基於對應的所述轉變序列的所述第一定時與所述第二定時之間的定時間隙,來計算路徑降額因數,藉此產生所述積體電路設計的多個路徑降額因數;以及將所述多個路徑降額因數中的路徑降額因數,定義為積體電路設計簽出層級。
  9. 如請求項8所述的積體電路設計方法,其中所述將所述電壓降值的所述統計分佈指配給所述多個路徑中的每一路徑包括:使用蒙特卡羅模擬來產生降額因數直方圖,且所述將所述多個路徑降額因數中的所述路徑降額因數定義為所述積體電路設計簽出層級包括:選擇所述降額因數直方圖中的降額因數。
  10. 一種積體電路(IC)設計系統,包括:處理器;以及非暫時性電腦可讀取儲存媒體,包括用於一或多個程式的電腦程式碼,所述非暫時性電腦可讀取儲存媒體及所述電腦程式碼被配置成與所述處理器一起使所述處理器:確定積體電路設計的路徑上的訊號的轉變序列的第一定時,所述第一定時是基於積體電路設計簽出電壓;確定所述路徑上的所述訊號的所述轉變序列的第二定時,所述第二定時是基於所述積體電路設計簽出電壓以及沿著所 述路徑的第一電壓降;基於所述轉變序列的所述第一定時與所述第二定時之間的定時間隙,來計算路徑降額因數;以及基於所述路徑降額因數對所述積體電路設計,實行定時分析。
TW112110103A 2022-11-01 2023-03-17 積體電路設計方法及系統 TWI839162B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/381,805 2022-11-01
US18/160,593 2023-01-27

Publications (2)

Publication Number Publication Date
TWI839162B true TWI839162B (zh) 2024-04-11
TW202420137A TW202420137A (zh) 2024-05-16

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11055460B1 (en) 2020-05-21 2021-07-06 Cadence Design Systems, Inc. Input-directed constrained random simulation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11055460B1 (en) 2020-05-21 2021-07-06 Cadence Design Systems, Inc. Input-directed constrained random simulation

Similar Documents

Publication Publication Date Title
US11392749B2 (en) Integrated circuit layout generation method and system
US11681853B2 (en) Integrated circuit and method of forming same and a system
US11699009B2 (en) Integrated circuit design method and system
TWI779178B (zh) 產生積體電路布局的方法及系統
US20230261003A1 (en) Integrated circuit device and method
US20240088126A1 (en) Cell structure having different poly extension lengths
US20240096866A1 (en) Active zones with offset in semiconductor cell
US20210248297A1 (en) Integrated circuit design method, system and computer program product
US11616055B2 (en) Integrated circuit and method of forming the same
US11942945B2 (en) Method for forming a timing circuit arrangements for flip-flops
TWI839162B (zh) 積體電路設計方法及系統
US20230154990A1 (en) Arrangement of source or drain conductors of transistor
US11916017B2 (en) Signal conducting line arrangements in integrated circuits
TW202420137A (zh) 積體電路設計方法及系統
US20240143880A1 (en) Integrated circuit design method and system
US12003242B2 (en) Integrated circuit having latch with transistors of different gate widths
CN117592412A (zh) 集成电路设计方法及***
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US20230237235A1 (en) Method of implementing an integrated circuit having a narrow-width cell and a wider-width cell with same functionality
US20240105601A1 (en) Deep lines and shallow lines in signal conducting paths
US20240088147A1 (en) Integrated circuit having transistors with different width source and drain terminals
US20240070364A1 (en) Circuit cells having power grid stubs
CN117637738A (zh) 集成电路及其制造方法
TW202420139A (zh) 具有以不同閘極寬度之電晶體構成的閂鎖的積體電路