TWI839066B - 影像感測器與其形成方法 - Google Patents

影像感測器與其形成方法 Download PDF

Info

Publication number
TWI839066B
TWI839066B TW112100878A TW112100878A TWI839066B TW I839066 B TWI839066 B TW I839066B TW 112100878 A TW112100878 A TW 112100878A TW 112100878 A TW112100878 A TW 112100878A TW I839066 B TWI839066 B TW I839066B
Authority
TW
Taiwan
Prior art keywords
region
disposed
doped
doping
semiconductor substrate
Prior art date
Application number
TW112100878A
Other languages
English (en)
Other versions
TW202339238A (zh
Inventor
陳彥瑜
江彥廷
黃百韜
盧澤華
許慈軒
丁世汎
劉人誠
楊敦年
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/832,905 external-priority patent/US20230307479A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202339238A publication Critical patent/TW202339238A/zh
Application granted granted Critical
Publication of TWI839066B publication Critical patent/TWI839066B/zh

Links

Images

Abstract

本揭露的各種實施例是有關於一種影像感測器。所述影 像感測器包括具有第一側及第二側的基底。基底包括畫素區。光偵測器位於畫素區中。第一摻雜區位於畫素區中。第二摻雜區位於畫素區中。第二摻雜區在垂直方向上位於第一摻雜區與基底的第一側之間。摻雜井位於基底中且在側向上環繞畫素區。摻雜井部分地位於第二摻雜區中。第二摻雜區的一部分在垂直方向上位於摻雜井與基底的第二側之間。溝渠隔離結構位於基底中且在側向上環繞畫素區。溝渠隔離結構的覆蓋區位於摻雜井的覆蓋區內。

Description

影像感測器與其形成方法
本發明實施例是有關於一種影像感測器與其形成方法。
諸多現代電子裝置(例如,智慧型電話、數位相機、生物醫學成像裝置、汽車成像裝置等)包括影像感測器。影像感測器包括被配置成吸收入射輻射且輸出與入射輻射對應的電性訊號的一或多個光偵測器(例如,光電二極體、光電電晶體(phototransistor)、光敏電阻器(photoresistor)等)。一些類型的影像感測器包括電荷耦合裝置(charge-coupled device,CCD)影像感測器及互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)影像感測器。相較於CCD影像感測器而言,CMOS影像感測器因功耗低、尺寸小、資料處理快、直接輸出資料且製造成本低而受到青睞。一些類型的CMOS影像感測器包括正面照明(front-side illuminated,FSI)影像感測器及背面照明(backside illuminated,BSI)影像感測器。
本發明實施例提供一種影像感測器,其包括半導體基底、光偵測器、第一摻雜區、第二摻雜區、摻雜井以及深溝渠隔離結構。所述半導體基底包括畫素區,其中所述半導體基底具有第一側,且其中所述半導體基底具有與所述半導體基底的所述第一側相對的第二側。所述光偵測器設置於所述畫素區中。所述第一摻雜區設置於所述畫素區中。所述第二摻雜區設置於所述畫素區中,其中所述第二摻雜區在垂直方向上設置於所述第一摻雜區與所述半導體基底的所述第一側之間。所述摻雜井設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述摻雜井部分地設置於所述第二摻雜區中,且其中所述第二摻雜區的一部分在垂直方向上設置於所述摻雜井與所述半導體基底的所述第二側之間。所述深溝渠隔離結構設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述深溝渠隔離結構的覆蓋區設置於所述摻雜井的覆蓋區內。
本發明實施例提供一種影像感測器,其包括第一光偵測器、第二光偵測器、第一摻雜區、摻雜井、浮置擴散節點以及深溝渠隔離結構。所述第一光偵測器設置於半導體基底的第一畫素區中,其中所述半導體基底具有第一側及與所述第一側相對的第二側。所述第二光偵測器設置於所述半導體基底的第二畫素區中。所述第一摻雜區設置於所述第一畫素區及所述第二畫素區二者中。所述摻雜井設置於所述半導體基底中且在側向上環繞所述 第一畫素區及所述第二畫素區二者,其中所述摻雜井至少部分地設置於所述第一摻雜區中。所述浮置擴散節點設置於所述摻雜井中,其中所述浮置擴散節點在側向上設置於所述第一光偵測器與所述第二光偵測器之間。所述深溝渠隔離結構設置於所述半導體基底中且在側向上環繞所述第一畫素區及所述第二畫素區二者,其中:所述深溝渠隔離結構的覆蓋區設置於所述摻雜井的覆蓋區內;所述深溝渠隔離結構的第一部分在第一方向上在側向上延伸穿過所述半導體基底;所述深溝渠隔離結構的第二部分在垂直於所述第一方向的第二方向上在側向上延伸穿過所述半導體基底;所述深溝渠隔離結構的所述第一部分在所述深溝渠隔離結構的第三部分處與所述深溝渠隔離結構的所述第二部分相交;所述浮置擴散節點上覆於所述深溝渠隔離結構的所述第三部分上;所述深溝渠隔離結構的所述第一部分具有第一深度;所述深溝渠隔離結構的所述第二部分具有第二深度;所述深溝渠隔離結構的所述第三部分具有第三深度;所述第三深度大於所述第一深度;且所述第三深度大於所述第二深度。
本發明實施例提供一種用於形成影像感測器的方法,所述方法包括:在半導體基底中形成摻雜區,其中所述摻雜區藉由毯覆式摻雜製程形成;在所述半導體基底中形成摻雜井,其中所述摻雜井被形成為自所述半導體基底的第一側延伸至所述半導體基底中且被形成為與所述半導體基底的第二側在垂直方向上間隔開,其中所述半導體基底的所述第一側與所述半導體基底的所述 第二側相對,且其中所述摻雜井在垂直方向上延伸至所述摻雜區中;沿所述半導體基底的所述第一側形成轉移閘,其中所述轉移閘在側向上形成於所述摻雜井的第一部分與所述摻雜井的第二部分之間;在所述摻雜井的所述第一部分中形成浮置擴散節點;以及在所述半導體基底中形成深溝渠隔離結構,其中所述深溝渠隔離結構自所述半導體基底的所述第二側延伸至所述半導體基底中,其中所述深溝渠隔離結構形成有設置於所述摻雜井的覆蓋區內的覆蓋區,且其中形成所述深溝渠隔離結構包括在所述半導體基底中形成暴露出所述摻雜區的一部分的溝渠。
100、200、300、400、500、600、700、800、1100、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400、2500、2600:剖視圖
102:基底
102b:背面
102f:正面
103:畫素區
104、1102a:第一摻雜區
106:第二摻雜區
108、1102c:第三摻雜區
110:第四摻雜區
112:浮置擴散節點
114:轉移閘/轉移閘極
116:閘極介電結構
118:閘極電極結構
120:層間介電(ILD)結構
122:內連線結構
122a:導電接觸件
122b:導通孔
122c:導線
124:摻雜井
124L、126L、2102L:縱向部分
124T、126T、2102T:橫向部分
126:深溝渠隔離(DTI)結構
126L1:第一縱向部分
126T1:第一橫向部分
126X、2102X:相交部分
126X1:第一相交部分
128、906:上表面
130:光偵測器
130a:第一光偵測器
130b:第二光偵測器
130c:第三光偵測器
130d:第四光偵測器
302:第一部分
304:第二部分
306:淺溝渠隔離(STI)結構
402:厚度
403:第三部分
404、406:深度
408:第一距離
602:介電襯墊結構
604:介電填充結構
606:隔離網格
608:介電層
610:電磁輻射(EMR)濾波器
612:微透鏡
614:畫素節距
616:畫素感測器單元
616a:畫素感測器單元/第一畫素感測器單元
616b:畫素感測器單元/第二畫素感測器單元
616c:畫素感測器單元/第三畫素感測器單元
616d:畫素感測器單元/第四畫素感測器單元
702:部分
802:上部部分
804:下部部分
806、908、909:下表面
900a:佈局圖/視圖
900b、900c、900d:剖視圖/視圖
902:共用浮置擴散節點
904a:畫素區/第一畫素區
904b:畫素區/第二畫素區
904c:畫素區/第三畫素區
904d:畫素區/第四畫素區
910:第一深度
912:第二深度
914:第三深度
1000:佈局圖
1002、1004:寬度
1006:尺寸
1102:摻雜區
1200:方塊圖
1201:IC
1202:第一晶片
1204:第二晶片
1206:第三晶片
1402:經圖案化罩幕層
2102:溝渠
2700:流程圖
2702、2704、2706、2708、2710、2712、2714、2716、2718、2720、2722:動作
A-A、B-B、B-B’、C-C、D-D、E-E’:線
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1是示出具有用於小畫素設計的改良結構的影像感測器的一些實施例的剖視圖。
圖2是示出圖1的影像感測器的一些實施例的剖視圖。
圖3是示出圖1的影像感測器的一些實施例的剖視圖。
圖4是示出圖1的影像感測器的一些其他實施例的剖視圖。
圖5是示出圖1的影像感測器的一些其他實施例的剖視圖。
圖6是示出圖1的影像感測器的一些其他實施例的剖視圖。
圖7是示出圖6的影像感測器的一些其他實施例的剖視圖。
圖8是示出圖7的影像感測器的一些其他實施例的剖視圖。
圖9A至圖9D是示出圖6的影像感測器的一些實施例的各種視圖。
圖10是示出圖9A至圖9D的影像感測器的一些實施例的佈局圖。
圖11是示出圖9A至圖9D的影像感測器的一些其他實施例的剖視圖。
圖12是示出包括圖9A至圖9D的影像感測器的積體晶片(IC)的一些實施例的方塊圖。
圖13至圖26是示出用於形成具有用於小畫素設計的改良結構的影像感測器的方法的一些實施例的一系列剖視圖。
圖27是示出用於形成具有用於小畫素設計的改良結構的影像感測器的方法的一些實施例的流程圖。
以下揭露內容提供用於實施所提供標的物的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得第一特 徵與第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
諸多可攜式電子裝置(例如,相機、行動電話等)包括用於捕捉影像的影像感測器。此種影像感測器的一個實例是具有光偵測器陣列的互補金屬氧化物半導體(CMOS)影像感測器(CMOS image sensor,CIS)。光偵測器中的每一者設置於基底(例如,半導體基底)的畫素區中。畫素區中的每一者包括基底的摻雜部分。基底的摻雜部分是基底的具有第一摻雜類型(例如,n型)的一部分。
背面深溝渠隔離(back-side deep trench isolation,BDTI)結構設置於基底中且在側向上環繞畫素區。通常,深井區設置於基底中。深井區是基底的具有與第一摻雜類型相反的第二摻雜類 型(例如p型)的深度摻雜區(deeply doped region)。深井區自基底的正面在垂直方向上延伸至(或非常接近於)基底的背面。BDTI結構設置於深井區中,且深井區在側向上環繞整個BDTI結構。
通常,基底的多個摻雜部分及深井區藉由微影製程(lithography process)形成。舉例而言,基底的摻雜部分藉由第一微影製程形成,且深井區藉由第二微影製程形成。第一微影製程需要第一罩幕層(例如,正型/負型光阻),且第二微影製程需要與第一罩幕層不同的第二罩幕層(例如,正型/負型光阻)。由於基底的摻雜部分藉由第一微影製程形成且由於深井區藉由第二微影製程形成,因此基底的摻雜部分可與深井區在側向上間隔開非零距離(例如,由於不良重疊控制(poor overlay control))。因此,按比例縮小影像感測器的畫素區的尺寸(例如,覆蓋區尺寸)的能力可能會受到限制。因此,用於形成影像感測器的典型製程可能會限制按比例縮小CIS的尺寸的能力(例如,限制極小畫素節距CIS的發展)。
此外,由於深井區的深度,第二罩幕層必須相對高(例如,第二罩幕層需要為高的以防止離子被無意地注入基底的被遮罩的部分中)。由於第二罩幕層需要相對高,因此第二罩幕層可能會限制按比例縮小深井區的尺寸的能力(例如,難以控制在第二罩幕層中形成的小溝渠的寬度)。由於第二罩幕層可能會限制按比例縮小深井區的尺寸的能力,因此第二罩幕層可進一步限制按比例縮小畫素區的尺寸的能力。因此,深井區可進一步限制按比例 縮小CIS的尺寸的能力。
本揭露的各種實施例是有關於一種影像感測器(例如,CIS)。所述影像感測器包括具有與第二側相對的第一側的半導體基底。半導體基底包括畫素區。光偵測器設置於畫素區中。第一摻雜區設置於畫素區中。第二摻雜區設置於畫素區中。第二摻雜區在垂直方向上設置於第一摻雜區與半導體基底的第一側之間。摻雜井設置於半導體基底中且在側向上環繞畫素區。摻雜井部分地延伸至第二摻雜區中使得第二摻雜區的一部分在垂直方向上設置於摻雜井與半導體基底的第二側之間。深溝渠隔離(deep trench isolation,DTI)結構設置於半導體基底中且在側向上環繞畫素區。DTI結構自半導體基底的第二側在垂直方向上延伸至半導體基底中。DTI結構的覆蓋區設置於摻雜井的覆蓋區內。
由於摻雜井部分地延伸至半導體基底中,且由於DTI結構的覆蓋區設置於摻雜井的覆蓋區內,因此相較於典型影像感測器的畫素區的尺寸而言,畫素區的尺寸(例如,畫素區的覆蓋區的尺寸)可減小。更具體而言,由於摻雜井部分地延伸至半導體基底中(而非如在典型影像感測器的情形中完全延伸至半導體基底中),因此相較於典型CIS而言,摻雜井的尺寸(例如,厚度)可減小。因此,相較於典型CIS而言,畫素區的尺寸(例如,覆蓋區尺寸)可減小。因此,由於相較於典型CIS而言畫素區的尺寸可減小,因此本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。此外,由於DTI結構設置於摻雜井的覆蓋區內, 因此相較於典型CIS而言,畫素區的尺寸(例如,覆蓋區尺寸)可減小,同時仍具有良好的電性效能(例如,相鄰光偵測器之間的良好電性隔離、良好滿井容量(full well capacity)等)。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸,同時仍潛在地具有滿足或超過典型CIS效能的效能。
此外,在一些實施例中,DTI結構接觸第一摻雜區及第二摻雜區。由於摻雜井部分地延伸至半導體基底中且由於將在下面更詳細地闡述的形成本揭露的影像感測器的改良方法(例如,藉由毯覆式摻雜製程形成第一摻雜區及第二摻雜區),因此DTI結構可接觸第一摻雜區及第二摻雜區。因此,相較於典型CIS而言,畫素區的尺寸甚至可進一步減小。因此,由於相較於典型CIS而言畫素區的尺寸甚至可進一步減小,因此本揭露的影像感測器相較於典型CIS可具有甚至更按比例縮小的尺寸。
圖1是示出具有用於小畫素設計的改良結構的影像感測器的一些實施例的剖視圖100。
如圖1的剖視圖100所示,影像感測器包括基底102(例如,半導體基底)。基底102具有正面102f及與正面102f相對的背面102b。在一些實施例中,基底102的正面102f由第一表面(例如,正面表面)界定,且基底102的背面102b由與第一表面相對的第二表面(例如,背面表面)界定。基底102包括畫素區(pixel region)103。畫素區103是基底102的其中設置有影像感測器的各別畫素(例如,畫素感測器)的特徵(例如,下面更詳細闡述 的結構特徵)的一部分。在一些實施例中,基底102包括以陣列形式設置的多個畫素區,且畫素區103是所述多個畫素區中的各別畫素區。
基底102可包含任何類型的半導體主體(例如,單晶矽(monocrystalline silicon)/CMOS塊(CMOS bluk)、鍺(Ge)、III-V族半導體材料、矽鍺(SiGe)、絕緣體上矽(silicon on insulator,SOI)等)。在一些實施例中,影像感測器(例如,背面照明影像感測器)被配置成記錄穿過基底102的背面102b的入射輻射(例如,光子)。在其他實施例中,影像感測器(例如,正面照明影像感測器)被配置成記錄穿過基底102的正面102f的入射輻射(例如,光子)。基底102可具有第一摻雜類型(例如,p型/n型),或者可為固有的(intrinsic)。
在基底102中設置第一摻雜區(first doped region)104。第一摻雜區104亦設置於畫素區103中。第一摻雜區104是基底102的具有第二摻雜類型(例如,n型/p型)的區。第二摻雜類型與第一摻雜類型相反(例如,第二摻雜類型是n型,則第一摻雜類型是p型,反之亦然)。
在基底102中設置第二摻雜區(second doped region)106。第二摻雜區106亦設置於畫素區103中。第二摻雜區106是基底102的具有第二摻雜類型(例如,n型/p型)的區。在一些實施例中,第一摻雜區104相較於第二摻雜區106具有更高濃度的第二摻雜類型摻雜劑(例如,n型摻雜劑(如磷(P)、砷(As)、 銻(Sb)等)或p型摻雜劑(如硼(B)、鋁(Al)、鎵(Ga)等))。在其他實施例中,第一摻雜區104相較於第二摻雜區106具有更低濃度的第二摻雜類型摻雜劑。
第一摻雜區104在垂直方向上設置於基底102的背面102b與第二摻雜區106之間。在一些實施例中,第一摻雜區104自第二摻雜區106在垂直方向上延伸至基底102的背面102b。第二摻雜區106自第一摻雜區104朝基底102的正面102f在垂直方向上延伸。
第一摻雜區104在側向上延伸穿過基底102。在一些實施例中,第一摻雜區104在側向上連續延伸穿過基底102,使得第一摻雜區104在側向上連續延伸在基底102的相對的最外側(例如,晶粒的相對的最外側壁)之間。第二摻雜區在側向上延伸穿過基底102。在一些實施例中,第二摻雜區106在側向上連續延伸穿過基底,使得第二摻雜區106在側向上連續延伸在基底102的相對的最外側(例如,晶粒的相對的最外側壁)之間。
在基底102中設置第三摻雜區(third doped region)108。第三摻雜區108亦設置於畫素區103中。第三摻雜區108是基底102的具有第二摻雜類型(例如,n型/p型)的區。第三摻雜區108在垂直方向上設置於第二摻雜區106與基底102的正面102f之間。在一些實施例中,第三摻雜區108相較於第二摻雜區106具有更高濃度的第二摻雜類型摻雜劑。在其他實施例中,第三摻雜區108相較於第二摻雜區106具有更低濃度的第二摻雜類型摻雜 劑。
在基底102中設置第四摻雜區(fourth doped region)110。第四摻雜區110亦設置於畫素區103中。第四摻雜區110是基底102的具有第一摻雜類型(例如,p型/n型)的區。第四摻雜區110在垂直方向上設置於第三摻雜區108與基底102的正面102f之間。在一些實施例中,第四摻雜區110自第三摻雜區108在垂直方向上延伸至基底102的正面102f。在一些實施例中,第三摻雜區108自第二摻雜區106在垂直方向上延伸至第四摻雜區110。在一些實施例中,第四摻雜區110相較於基底102(例如,基底102的未被標記為圖1中的基底102的特定摻雜區的一些部分)具有更高濃度的第一摻雜類型摻雜劑(例如,p型摻雜劑(如硼(B)、鋁(Al)、鎵(Ga)等)或n型摻雜劑(如磷(P)、砷(As)、銻(Sb)等))。
在基底102中設置浮置擴散節點(floating diffusion node)112。浮置擴散節點112亦可至少部分地設置於畫素區103中。浮置擴散節點112是基底102的具有第二摻雜類型的區。浮置擴散節點112可與第三摻雜區108及/或第四摻雜區110在側向上間隔開。在一些實施例中,浮置擴散節點112相較於第一摻雜區104、第二摻雜區106及/或第三摻雜區108具有更高濃度的第二摻雜類型摻雜劑。在又一些實施例中,浮置擴散節點112可為由二或更多個畫素感測器單元共享的共用浮置擴散節點。
在基底102的正面102f之上/上方設置轉移閘(transfer gate)114(亦稱轉移閘極)。轉移閘114可至少部分地上覆於畫素區103上。轉移閘114在側向上設置於第四摻雜區110與浮置擴散節點112之間。在一些實施例中,轉移閘114在側向上設置於第三摻雜區108與浮置擴散節點112之間。
轉移閘114包括閘極介電結構(gate dielectric structure)116及閘極電極結構(gate electrode structure)118。閘極介電結構116可設置於基底102的正面102f之上。閘極電極結構118上覆於閘極介電結構116上。在一些實施例中,閘極介電結構116為或包含例如以下材料:氧化物(例如,二氧化矽(SiO2))、高介電常數(high-k)介電材料(例如,氧化鉿(HfO)、氧化鉭(TaO)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鋁(AlO)、氧化鋯(ZrO)、介電常數大於約3.9的某種其他介電材料)、某種其他介電材料或前述材料的組合。在一些實施例中,閘極電極結構118為或包含例如以下材料:多晶矽、金屬(例如,鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鉬(Mo)、鈷(Co)或類似材料)、某種其他導電材料或前述材料的組合。
在基底102的正面102f之上設置層間介電(interlayer dielectric,ILD)結構120。ILD結構120設置於轉移閘114之上。在一些實施例中,ILD結構120包括一或多個堆疊的ILD層,所述一或多個堆疊的ILD層可分別包含低介電常數(low-k)介電質(例如,介電常數小於約3.9的介電材料)、氧化物(例如,SiO2)或類似材料。在一些實施例中,ILD結構120被稱為介電結構。
在ILD結構120中以及基底102的正面102f之上設置內連線結構(interconnect structure)122(例如,銅內連線)。內連線結構122包括多個導電接觸件(conductive contact)122a(例如,金屬接觸件)、多個導通孔(conductive via)122b(例如,金屬通孔)及多條導線(conductive wire)122c(例如,金屬線)。在一些實施例中,舉例而言,內連線結構122可為或包含例如以下材料:銅(Cu)、鋁(Al)、鎢(W)、金(Au)、某種其他導電材料或前述材料的組合。在又一些實施例中,所述多個導電接觸件122a可包含第一導電材料(例如,W),且所述多個導通孔122b及所述多條導線122c可包含與第一導電材料不同的第二導電材料(例如,Cu)。
在基底102中設置摻雜井(doped well)124。摻雜井124亦至少部分地設置於畫素區103中。摻雜井124是基底102的具有第一摻雜類型的一部分。在一些實施例中,第二摻雜區106在側向上連續延伸在摻雜井124的相對的內側之間。在又一些實施例中,第二摻雜區106(直接)接觸摻雜井124的兩個相對的內側。
摻雜井124自基底102的正面102f在垂直方向上延伸至基底102中。摻雜井124可部分地延伸穿過基底102(例如,不完全穿過基底102)。摻雜井124在垂直方向上延伸穿過基底102且延伸至第二摻雜區106中。在一些實施例中,摻雜井124在垂直方向上部分地延伸至第二摻雜區106中,使得第二摻雜區106的一部分在垂直方向上設置於摻雜井124與第一摻雜區104之間。
摻雜井124以閉環路徑(closed loop path)在側向上延伸穿過基底102。在一些實施例中,摻雜井124以閉環路徑在側向上延伸穿過基底102,使得摻雜井124在側向上環繞畫素區103。在一些實施例中,當自俯視圖(及/或佈局圖)觀察時,摻雜井124具有環形佈局。在一些實施例中,摻雜井124的一半設置於畫素區103中。舉例而言,在摻雜井124具有環形佈局的實施例中,摻雜井124的內部環形部分設置於畫素區103中且摻雜井124的外部環形部分設置於畫素區103外面(例如,基底102的與畫素區103相鄰的其他畫素區中),摻雜井124的外部環形部分在側向上環繞摻雜井124的內部環形部分。在又一些實施例中,摻雜井124的內部環形部分與摻雜井124的外部環形部分可具有相同的厚度(例如,環形結構的內徑與外徑之間的距離)。應理解,摻雜井124的多於一半或少於一半可設置於畫素區103中(例如,摻雜井124的內部環形部分的厚度不同於(小於或大於)摻雜井124的外部環形部分)。
在一些實施例中,摻雜井124相較於基底102具有更高濃度的第一摻雜類型摻雜劑。在一些實施例中,摻雜井124相較於第四摻雜區110具有更低濃度的第一摻雜類型摻雜劑。在其他實施例中,摻雜井124相較於第四摻雜區110具有更高濃度的第一摻雜類型摻雜劑。在一些實施例中,第一摻雜類型摻雜劑的摻雜濃度可沿摻雜井124的深度為約相同(例如,「約」相同包括由製造製程引起的小變化)。在其他實施例中,第一摻雜類型摻雜劑 的摻雜濃度可沿摻雜井124的深度變化(例如,摻雜井124可具有擁有二或更多種不同摻雜濃度的梯度摻雜分佈(gradient doping profile))。
在基底102中設置深溝渠隔離(DTI)結構126。DTI結構126自基底102的背面102b在垂直方向上延伸至基底102中。DTI結構126可部分地延伸穿過基底102(例如,不完全穿過基底102)。在一些實施例中,第二摻雜區106在側向上連續延伸在DTI結構126的相對的內側壁之間。在又一些實施例中,第二摻雜區106(直接)接觸DTI結構126的兩個相對的內側壁。在一些實施例中,第二摻雜區106在側向上連續延伸在摻雜井124的相對的內側之間且在側向上連續延伸在DTI結構126的相對的內側壁之間。
DTI結構126在垂直方向上延伸穿過基底102且延伸至第二摻雜區106中。在一些實施例中,DTI結構126在垂直方向上部分地延伸至第二摻雜區106中,使得第二摻雜區106的一部分在垂直方向上設置於DTI結構126與基底102的正面102f之間。在其他實施例中,DTI結構126可在垂直方向上延伸穿過第一摻雜區104及第二摻雜區106二者,使得基底102的在垂直方向上設置於第二摻雜區106與基底102的正面102f之間的某個其他部分在垂直方向上設置於DTI結構126與基底102的正面102f之間。在再一些其他實施例中,DTI結構126可自基底102的背面102b延伸至基底102的正面102f(例如,完全延伸穿過基底 102)。
在一些實施例中,DTI結構126被稱為隔離結構。在一些實施例中,DTI結構126可被稱為背面深溝渠隔離(BDTI)結構。在此種實施例中,DTI結構126可自基底102的背面102b延伸至基底102中。應理解,在一些實施例中,DTI結構126可自基底102的正面102f延伸至基底中,而非自基底102的背面102b延伸至基底中。在此種實施例中,DTI結構126可被稱為正面深溝渠隔離(front-side deep trench isolation,FDTI)結構。
在一些實施例中,DTI結構126可為或包含例如以下材料:氧化物(例如,SiO2)、氮化物(例如,氮化矽(SiN))、氮氧化物(例如,氮氧化矽(SiON))、四乙氧基矽烷(tetraethoxysilane,TEOS)、高介電常數介電材料(例如,氧化鉿(HfO)、氧化鉭(TaO)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鋁(AlO)、氧化鋯(ZrO)、介電常數大於約3.9的某種其他介電材料)、某種其他介電材料或前述材料的組合。在一些實施例中,DTI結構126可具有成角度的側壁,如圖1的剖視圖100所示。在其他實施例中,DTI結構126的側壁可為實質上直的(例如,垂直的)。
DTI結構126以閉環路徑在側向上延伸穿過基底102。在一些實施例中,DTI結構126以閉環路徑在側向上延伸穿過基底102,使得DTI結構126在側向上環繞畫素區103。在一些實施例中,當自俯視圖(及/或佈局圖)觀察時,DTI結構126具有環形 佈局。在一些實施例中,DTI結構126的一半設置於畫素區103中。舉例而言,在DTI結構126具有環形佈局的實施例中,DTI結構126的內部環形部分設置於畫素區103中且DTI結構126的外部環形部分設置於畫素區103外面(例如,在基底102的與畫素區103相鄰的其他畫素區中),DTI結構126的外部環形部分在側向上環繞DTI結構126的內部環形部分。在又一些實施例中,DTI結構126的內部環形部分與DTI結構126的外部環形部分可具有相同的厚度(例如,環形結構的內徑與外徑之間的距離)。應理解,DTI結構126的多於一半或少於一半可設置於畫素區103中(例如,DTI結構126的內部環形部分的厚度不同於(小於或大於)DTI結構126的外部環形部分)。
DTI結構126的上表面128具有設置於摻雜井124的覆蓋區(footprint)(或稱佔用空間)內的覆蓋區。覆蓋區對應於由給定特徵在側向平面(lateral plane)上的垂直投影所佔據的區域,側向平面是在側向上延伸平行於基底102的背面102b。舉例而言,DTI結構126的上表面128的覆蓋區對應於由DTI結構126的上表面128在第一側向平面上的垂直投影所佔據的區域,其中第一側向平面在側向上延伸平行於基底102的背面102b,且摻雜井124的覆蓋區對應於由摻雜井124在第一側向平面上的垂直投影所佔據的區域。在又一些實施例中,DTI結構的最厚部分(例如,DTI結構126的被設置為相較於DTI結構126的任何其他部分最接近於基底102的背面102b的一部分)具有設置於摻雜井124 的覆蓋區內的覆蓋區。換言之,DTI結構126的最大覆蓋區可設置於摻雜井124的覆蓋區內。在再一些實施例中,摻雜井124的覆蓋區對應於摻雜井124的最大覆蓋區。
在基底102中設置光偵測器(photodetector)130(例如,光電二極體)。光偵測器130亦設置於畫素區103中。光偵測器130被配置成吸收入射輻射(例如,光)且產生與入射輻射對應的電性訊號。換言之,光偵測器130是感光性的。轉移閘114被配置成在光偵測器130與浮置擴散節點112之間以選擇性地形成導電通道,使得光偵測器130中累積的電荷(例如,藉由吸收入射輻射)可轉移至浮置擴散節點112。
在一些實施例中,由於光偵測器130包括空乏區(depletion region),因此光偵測器130是感光性的。在又一些實施例中,空乏區的至少一部分可由DTI結構126誘發(例如,DTI結構126中的固定電荷(及/或藉由用於形成DTI結構126的製程產生的固定電荷)可誘發空乏區)。在又一些實施例中,空乏區的至少一部分可由摻雜井124誘發(例如,由於摻雜井124與第二摻雜區106之間的p-n接面)。在再一些實施例中,空乏區可由基底102的與第一摻雜區104及/或第二摻雜區106鄰接的具有第一摻雜類型的其他區(例如,基底102的上覆於第二摻雜區106上且具有第一摻雜類型的區)誘發。在一些實施例中,光偵測器130亦可設置於第三摻雜區108中。
由於摻雜井124部分地延伸至基底102中,因此相較於 典型CIS的畫素區的尺寸而言,畫素區103的尺寸(例如,畫素區103的覆蓋區的尺寸)可減小。因此,由於相較於典型CIS的畫素區的尺寸而言,畫素區103的尺寸可減小,因此本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。在一些實施例中,相較於典型CIS的畫素區的尺寸而言,部分地延伸至基底102中的摻雜井124可減小畫素區103的尺寸,此至少部分地是由于形成具有相對短(例如,薄)的罩幕層的摻雜井的能力(例如,在薄罩幕層中形成的溝渠相較於在厚罩幕層中形成的對應的溝渠可具有更小的寬度)。
此外,由於DTI結構126設置於摻雜井124的覆蓋區內,因此相較於典型影像感測器的畫素區的尺寸而言,畫素區103的尺寸可減小,同時仍具有良好的電性效能(例如,相鄰光偵測器之間的良好電性隔離、良好滿井容量等)。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸,同時亦潛在地具有滿足或超過典型CIS的效能度量(performance metric)的效能度量。在一些實施例中,設置於摻雜井124的覆蓋區內的DTI結構126可為影像感測器提供良好的效能度量,此至少是部分地由於DTI結構126與摻雜井124的組合在相鄰畫素區之間提供了良好的電性隔離及/或DTI結構126與摻雜井124的組合誘發了光偵測器130的空乏區(例如,使得光偵測器130相較於典型CIS的光偵測器消耗更大面積的畫素區103)。
此外,由於第二摻雜區106(直接)接觸DTI結構126 的兩個相對的內側壁(且由於第二摻雜區106(直接)接觸摻雜井124的兩個相對的內側)而非在側向上間隔開,因此相較於典型CIS的畫素區的尺寸而言,畫素區103的尺寸可進一步減小。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。
圖2是示出圖1的影像感測器的一些實施例的剖視圖200。圖2的剖視圖200是沿圖1的線A-A截取的。DTI結構126在圖2的剖視圖200中以虛影示出(由虛線示出)。
如圖2的剖視圖200所示,DTI結構126的覆蓋區設置於摻雜井124的覆蓋區內。摻雜井124在側向上環繞光偵測器130。DTI結構126亦在側向上環繞光偵測器130。摻雜井124在側向上環繞畫素區103。DTI結構126亦在側向上環繞畫素區103。
圖2的剖視圖200中亦示出,摻雜井124的第一部分(例如,摻雜井124的內部環形部分)設置於畫素區103中,且摻雜井124的第二部分(例如,摻雜井124的外部環形部分)設置於畫素區103外面。摻雜井124的第二部分可在側向上環繞摻雜井124的第一部分。DTI結構126的第一部分(例如,DTI結構126的內部環形部分)設置於畫素區103中,且DTI結構126的第二部分(例如,DTI結構126的外部環形部分)設置於畫素區103外面。DTI結構126的第二部分可在側向上環繞DTI結構126的第一部分。
圖2的剖視圖200中亦示出,在一些實施例中,摻雜井 124的佈局具有網格狀形狀(grid-like shape)。因此,摻雜井124的覆蓋區具有網格狀形狀。摻雜井124的網格狀形狀包括摻雜井124的多個縱向部分(longitudinal portion)124L及摻雜井124的多個橫向部分(transverse portion)124T。摻雜井124的縱向部分124L在第一側向方向上彼此平行延伸。摻雜井124的橫向部分124T在垂直於第一側向方向的第二側向方向上彼此平行延伸。摻雜井124的縱向部分124L與摻雜井124的橫向部分124T彼此相交。摻雜井124的其中摻雜井124的縱向部分124L與摻雜井124的橫向部分124T相交的區可被稱為摻雜井124的相交部分(intersection portion)(為了圖式清晰起見,未在圖2中標記摻雜井124的相交部分)。
圖2的剖視圖200中亦示出,在一些實施例中,DTI結構126的佈局具有網格狀形狀。因此,DTI結構126的覆蓋區具有網格狀形狀。DTI結構126的網格狀形狀的覆蓋區設置於摻雜井124的網格狀形狀的覆蓋區內。DTI結構126的網格狀形狀包括DTI結構126的多個縱向部分126L及DTI結構126的多個橫向部分126T。DTI結構126的縱向部分126L在第一側向方向上彼此平行延伸。DTI結構126的橫向部分126T在第二側向方向上彼此平行延伸。DTI結構126的縱向部分126L與DTI結構126的橫向部分126T彼此相交。DTI結構126的其中DTI結構126的縱向部分126L與DTI結構126的橫向部分126T相交的區可被稱為DTI結構126的相交部分(為了圖式清晰起見,未在圖2中標記DTI 結構126的相交部分)。
圖3是示出圖1的影像感測器的一些實施例的剖視圖300。
如圖3的剖視圖300所示,第二摻雜區106具有第一部分302及第二部分304。第二摻雜區106的第一部分302設置於畫素區103中。第二摻雜區106的第二部分304設置於畫素區103外面。應理解,在一些實施例中,第二摻雜區106的第二部分304設置於與畫素區103相鄰的不同畫素區中。
摻雜井124上覆於第二摻雜區106的第一部分302上。摻雜井124的第一部分在垂直方向上(直接)設置於第二摻雜區106的第一部分302與基底102的正面102f之間。摻雜井124上覆於第二摻雜區106的第二部分304上。摻雜井124的第二部分在垂直方向上(直接)設置於第二摻雜區106的第二部分304與基底102的正面102f之間。摻雜井124的第三部分在垂直方向上(直接)設置於DTI結構126與基底102的正面102f之間,且摻雜井124的第三部分在側向上設置於摻雜井124的第一部分與摻雜井124的第二部分之間。
第二摻雜區106的第一部分302具有第一摻雜濃度的第二摻雜類型摻雜劑。第二摻雜區106的第二部分304具有第二摻雜濃度的第二摻雜類型摻雜劑。在一些實施例中,第一摻雜濃度處於第二摻雜濃度的百分之十(10%)以內。換言之,第一摻雜濃度是第二摻雜濃度的至少百分之九十(90%)。在又一些實施例中, 第一摻雜濃度處於第二摻雜濃度的百分之六(6%)以內。
圖3的剖視圖300中亦示出,圖3包括在側向上延伸穿過第二摻雜區106且在側向上延伸穿過DTI結構126的一部分的線B-B’。更具體而言,線B-B’在側向上延伸穿過第二摻雜區106的第二部分304及第二摻雜區106的第一部分302。在一些實施例中,第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化不超過百分之十(10%)。在又一些實施例中,第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化不超過百分之六(6%)。
圖3的剖視圖300中亦示出,第二摻雜區106(直接)接觸DTI結構126。在一些實施例中,第一摻雜區104(直接)接觸DTI結構126。在一些實施例中,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化不超過百分之十(10%),則可表示第二摻雜區106沿線B-B’接觸DTI結構126。換言之,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化超過百分之十(10%),則可表示某個其他摻雜區沿線B-B’將第二摻雜區106與DTI結構126在側向上隔開。在又一些實施例中,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化不超過百分之六(6%),則可表示第二摻雜區106沿線B-B’接觸DTI結構126。換言之,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線B-B’自B至B’變化超過百分之六(6%),則可表示某個其他摻雜區沿線B-B’ 將第二摻雜區106與DTI結構126在側向上隔開。
圖3的剖視圖300中亦示出,可在基底102中設置淺溝渠隔離(shallow trench isolation,STI)結構306。STI結構306設置於摻雜井124中。STI結構306自基底102的正面102f延伸至基底102中。STI結構306可具有成角度的側壁。在其他實施例中,STI結構306的側壁可為實質上直的(例如,垂直的)。在一些實施例中,STI結構306可為或包含以下材料:氧化物(例如,二氧化矽(SiO2))、氮化物(例如,氮化矽(SiN))、氮氧化物(例如,氮氧化矽(SiON))、碳化物(例如,碳化矽(SiC))、某種其他介電材料或前述材料的組合。
在一些實施例中,STI結構306的覆蓋區設置於摻雜井124的覆蓋區內。STI結構306的覆蓋區可設置於DTI結構126的覆蓋區內。在一些實施例中,DTI結構126與STI結構306在垂直方向上間隔開。在又一些實施例中,摻雜井124的一部分(例如,摻雜井124的第三部分)在垂直方向上(直接)設置於DTI結構126與基底102的正面102f之間。在其他實施例中,DTI結構126可(直接)接觸STI結構306。
圖4是示出圖1的影像感測器的一些其他實施例的剖視圖400。
如圖4的剖視圖400所示,基底102具有厚度402。在一些實施例中,厚度402介於約2微米(μm)與約6微米之間。DTI結構126具有深度404。深度404可介於約1.5微米與約6微米之 間。在一些實施例中,深度404介於約2微米與約5.7微米之間。摻雜井124具有深度406。深度406可介於約0.1微米與約2.5微米之間。在一些實施例中,深度406介於約0.5微米與約1.5微米之間。
在一些實施例中,DTI結構126與摻雜井124在垂直方向上間隔開。在又一些實施例中,DTI結構126的上表面128與摻雜井124在垂直方向上間隔開。在此種實施例中,第二摻雜區106的第三部分403在垂直方向上(直接)設置於DTI結構126的上表面128與摻雜井124之間。在一些實施例中,DTI結構126與摻雜井124在垂直方向上間隔開第一距離408。在一些實施例中,第一距離408大於約0微米且小於或等於約5.3微米。
在一些實施例中,厚度402可為約3微米。在此種實施例中,第一距離(first distance)408可大於約0微米且小於或等於約0.7微米。在其他實施例中,厚度402可為約6微米。在此種實施例中,第一距離408可大於約0微米且小於或等於約5.3微米。
圖5是示出圖1的影像感測器的一些其他實施例的剖視圖500。
如圖5的剖視圖500所示,在一些實施例中,DTI結構126(直接)接觸摻雜井124。在一些實施例中,DTI結構126在垂直方向上延伸至摻雜井124中第二距離502。第二距離(second distance)502可大於約0微米且小於或等於約1.5微米。在一些實施例中,厚度402可為約3微米。在此種實施例中,第二距離 502可大於約0微米且小於或等於約0.5微米。在其他實施例中,厚度402可為約6微米。在此種實施例中,第二距離502可大於約0微米且小於或等於約1.5微米。
應理解,在一些實施例中,DTI結構126可(直接)接觸摻雜井124,而不延伸至摻雜井124中(例如,第二距離為0微米(及/或第一距離為0微米))。在此種實施例中,DTI結構126的上表面128可(直接)接觸摻雜井124的底側(例如,DTI結構126的上表面128與摻雜井124的底側藉由直接表面接觸彼此接觸)。舉例而言,如圖1的剖視圖100所示,DTI結構126的上表面128(直接)接觸摻雜井124的底側(例如,DTI結構126的上表面128與摻雜井124的底側之間的距離為0微米)。DTI結構126與摻雜井124間隔開(例如,參見圖4)、DTI結構126延伸至摻雜井124中(例如,參見圖5)、以及DTI結構126接觸摻雜井124的底側(例如,參見圖1)可各自提供其自身的效益。舉例而言,在一些實施例中,影像感測器的畫素隔離效能可隨著第二距離502增加而改善,且影像效能(例如,滿井容量效能、雜訊效能(noise performance)等)可隨著第一距離408增加而改善。因此,可調整DTI結構126的深度404及/或摻雜井124的深度406以確保影像感測器具有某些預定的效能特性。
圖6是示出圖1的影像感測器的一些其他實施例的剖視圖600。
如圖6的剖視圖600所示,在一些實施例中,DTI結構 126包括介電襯墊結構(dielectric liner structure)602及介電填充結構(dielectric filler structure)604。介電襯墊結構602襯於基底102上且襯於介電填充結構604的表面(例如,側壁及上表面)上。在DTI結構126包括介電襯墊結構602及介電填充結構604的實施例中,DTI結構126的上表面128可由介電襯墊結構602的上表面界定。
在一些實施例中,介電襯墊結構602可為或包含例如以下材料:高介電常數介電材料(例如HfO、TaO、HfSiO、HfTaO、AlO、ZrO等)、氧化物(例如,SiO2)、氮化物(例如,SiN)、氮氧化物(例如,SiON)、碳化物(例如,碳化矽(SiC))、某種其他介電材料或前述材料的組合。在一些實施例中,介電填充結構604可為或包含例如以下材料:氧化物(例如,SiO2)、氮化物(例如,SiN)、氮氧化物(例如,SiON)、四乙氧基矽烷(TEOS)、某種其他介電材料或前述材料的組合。在一些實施例中,介電填充結構604具有第一化學組成(例如,TEOS),且介電襯墊結構602具有與第一化學組成不同的第二化學組成(例如,高介電常數介電材料)。在一些實施例中,介電襯墊結構602的下表面可與基底102的背面102b實質上共面。在一些實施例中,介電填充結構604的下表面可與基底102的背面102b實質上共面。
在一些實施例中,沿基底102的背面102b設置隔離網格(isolation grid)606。在一些實施例中,隔離網格606沿DTI結構126的下表面設置。隔離網格606可為或包含例如以下材料: 金屬(例如,鎢(W)、鋁(Al)、鈷(Co)、銅(Cu)、銀(Ag)、金(Au)、某種其他金屬或前述材料的組合)、氧化物(例如,SiO2)、氮化物(例如,SiN)、碳化物(例如,SiC)、高介電常數介電材料(例如,HfO、TaO等)、低介電常數介電材料、某種其他隔離材料或前述材料的組合。
在一些實施例中,沿基底102的背面102b且沿隔離網格606設置介電層(dielectric layer)608。介電層608可襯於基底102的背面102b及隔離網格606上。在一些實施例中,介電層608為或包含例如以下材料:氧化物(例如,SiO2)、氮化物(例如,SiN)、碳化物(例如,SiC)、高介電常數介電材料(例如,HfO、TaO等)、低介電常數介電材料或類似材料。
在一些實施例中,沿介電層608且在隔離網格606內設置電磁輻射(electromagnetic radiation,EMR)濾波器(EMR filter)610(例如,彩色濾波器、紅外濾波器等)。在一些實施例中,EMR濾波器610實質上位於畫素區103之上的中心位置。介電層608可將EMR濾波器610與基底102的背面102b在垂直方向上隔開。EMR濾波器610被配置成透射(傳輸)特定波長(或特定波長範圍)的入射輻射。應理解,EMR濾波器610可為設置於隔離網格606內的多個EMR濾波器中的一個EMR濾波器。在一些實施例中,隔離網格606被配置成改善EMR濾波器610的效能(例如,藉由反射入射輻射的某些部分)。
在一些實施例中,沿EMR濾波器610設置微透鏡 (micro-lens)612。在一些實施例中,EMR濾波器610將微透鏡612與基底102的背面102b在垂直方向上隔開。在一些實施例中,微透鏡612實質上位於畫素區103之上的中心位置。微透鏡612被配置成將入射輻射朝光偵測器130聚焦。應理解,微透鏡612可為沿所述多個EMR濾波器設置的多個微透鏡中的一個微透鏡。
圖6的剖視圖600中亦示出,影像感測器具有畫素節距(pixel pitch)614。在一些實施例中,畫素節距614介於約0.2微米與約2微米之間。應理解,在其他實施例中,畫素節距614可小於0.2微米或大於2微米。
圖6的剖視圖600中亦示出,影像感測器包括畫素感測器單元(pixel sensor unit)616。畫素感測器單元616包括基底102的畫素區103及基底102的設置於畫素區103中的多個摻雜區(例如,設置於畫素區103中的第一摻雜區104的所述一部分、設置於畫素區103中的第二摻雜區106的所述一部分、第三摻雜區108、第四摻雜區110、浮置擴散節點112、摻雜井124的設置於畫素區103中的所述一部分等)。畫素感測器單元616亦包括設置於畫素區103中的特徵(例如,結構特徵)的一些部分。舉例而言,畫素感測器單元616包括設置於畫素區103中的DTI結構126的所述一部分(且包括設置於畫素區103中的STI結構306的所述一部分)。此外,畫素感測器單元616包括轉移閘114。此外,畫素感測器單元616可包括上/下伏於畫素區103的特徵(例如,結構特徵)的一些部分。舉例而言,畫素感測器單元616可包括 下伏於畫素區103的隔離網格606的一部分、下伏於畫素區103的介電層608的一部分、EMR濾波器610、微透鏡612等。應理解,畫素感測器單元616可包括圖6中未示出的用於促進由光偵測器130記錄的入射輻射的讀出(例如,數位讀出)的其他特徵(例如,儲存節點、抗暈閘極(anti-blooming gate)、重置電晶體、源極跟隨電晶體、列選擇電晶體或類似特徵)及/或圖6中未示出的設置於畫素區103中、下伏於畫素區103下或上覆於畫素區103上的其他特徵。
圖7是示出圖6的影像感測器的一些其他實施例的剖視圖700。
如圖7的剖視圖700所示,在一些實施例中,介電填充結構604的一部分702沿基底102的背面102b設置。介電填充結構604的多個垂直部分自介電填充結構604的一部分702延伸至基底102中,且至少部分地界定DTI結構126。介電填充結構604的一部分702可將隔離網格606及/或介電層608與基底102的背面102b在垂直方向上隔開。在一些實施例中,介電填充結構604的一部分702可被稱為介電層。在又一些實施例中,畫素感測器單元616包括下伏於畫素區103的介電填充結構604的一部分702的區。
圖8是示出圖7的影像感測器的一些其他實施例的剖視圖800。
如圖8的剖視圖800所示,轉移閘114包括上部部分 (upper portion)802及下部部分(lower portion)804。轉移閘114的上部部分802上覆於基底102的正面102f上。轉移閘114的上部部分802包括閘極介電結構116的上部部分及閘極電極結構118的上部部分。轉移閘114的下部部分804自轉移閘114的上部部分802在垂直方向上延伸至基底102中。轉移閘114的下部部分804包括閘極介電結構116的下部部分及閘極電極結構118的下部部分。在轉移閘114包括上部部分802及下部部分804的實施例中,轉移閘114可被稱為垂直轉移閘。
轉移閘114具有下表面806。在一些實施例中,下表面806由閘極介電結構116的下部部分的下表面界定。轉移閘114的下表面806可在垂直方向上設置於基底102的正面102f與基底102的背面102b之間。轉移閘114的下表面806可在垂直方向上設置於基底的正面102f與摻雜井124的下側之間。在一些實施例中,轉移閘114的下表面806在垂直方向上設置於DTI結構126的上表面128與基底102的正面102f之間。
在一些實施例中,轉移閘114的下表面806與第二摻雜區106的上側實質上對準(例如,沿側向平面對準),如圖8的剖視圖800所示。在其他實施例中,轉移閘114的下表面806在垂直方向上設置於第二摻雜區106的上側與基底102的正面102f之間。在再一些其他實施例中,轉移閘114的下表面806在垂直方向上設置於第二摻雜區106的上側與基底102的背面102b之間。在此種實施例中,轉移閘114的下部部分804在垂直方向上延伸 至第二摻雜區106中。
圖9A至圖9D是示出圖6的影像感測器的一些實施例的各種視圖900a至900d。更具體而言,圖9A是示出圖6的影像感測器的一些實施例的佈局圖900a。圖9B是示出沿圖9A的線B-B截取的圖9A的影像感測器的剖視圖900b。圖9C是示出沿圖9A的線C-C截取的圖9A的影像感測器的剖視圖900c。圖9D是示出沿圖9A的線D-D截取的圖9A的影像感測器的剖視圖900d。
如圖9A至圖9D的各種視圖900a至900d所示,影像感測器包括多個畫素感測器單元616a至616d。舉例而言,影像感測器包括第一畫素感測器單元(亦稱畫素感測器單元)616a、第二畫素感測器單元(亦稱畫素感測器單元)616b、第三畫素感測器單元(亦稱畫素感測器單元)616c、第四畫素感測器單元(亦稱畫素感測器單元)616d。所述多個畫素感測器單元616a至616d可以被設置呈包括列及行的陣列。所述多個畫素感測器單元616a至616d中的每一畫素感測器單元可包括與本文中闡述的畫素感測器單元616相同的多個特徵(例如,結構特徵)。舉例而言,所述多個畫素感測器單元616a至616d中的每一者可包括基底102的對應的畫素區(例如,參見本文中闡述的畫素區103)、對應的第三摻雜區(例如,參見本文中闡述的第三摻雜區108)、對應的第四摻雜區(例如,參見本文中闡述的第四摻雜區110)、對應的轉移閘(例如,參見本文中闡述的轉移閘114)、對應的EMR濾波器(例如,參見本文中闡述的EMR濾波器610)、對應的微透鏡(例 如,參見本文中闡述的微透鏡612)等等。應理解,所述多個畫素感測器單元616a至616d的EMR濾波器可被配置成透射不同波長(或不同波長範圍)的入射輻射(例如,EMR濾波器中的一者可為紅色濾波器,另一者可為綠色濾波器,再一者可為藍色濾波器,等等)。
在一些實施例中,所述多個畫素感測器單元616a至616d可共享共用浮置擴散節點(common floating diffusion node)902。共用浮置擴散節點902設置於基底102中。共用浮置擴散節點902是基底102的具有第二摻雜類型的區。在一些實施例中,共用浮置擴散節點902設置於摻雜井124中。共用浮置擴散節點902可與所述多個畫素感測器單元616a至616d的第三摻雜區及/或第四摻雜區在側向上間隔開。在一些實施例中,共用浮置擴散節點902相較於所述多個畫素感測器單元616a至616d的第一摻雜區104、第二摻雜區106及/或第三摻雜區108具有更高濃度的第二摻雜類型摻雜劑。
所述多個畫素感測器單元616a至616d的畫素區分別包括多個畫素區904a至904d。共用浮置擴散節點902亦可設置於所述多個畫素區904a至904d中。舉例而言,第一畫素感測器單元616a包括基底102的第一畫素區(亦稱畫素區)904a,第二畫素感測器單元616b包括基底102的第二畫素區(亦稱畫素區)904b,第三畫素感測器單元616c包括基底102的第三畫素區(亦稱畫素區)904c,且第四畫素感測器單元616d包括基底102的第四畫素 區(亦稱畫素區)904d;並且共用浮置擴散節點902部分地設置於第一畫素區904a、第二畫素區904b、第三畫素區904c及第四畫素區904d中的每一者中。所述多個畫素感測器單元616a至616d的轉移閘各自被配置成在其對應的光偵測器與共用浮置擴散節點902之間以選擇性地形成導電通道,使得所述多個畫素感測器單元616a至616d的光偵測器中累積的電荷可轉移至共用浮置擴散節點902。舉例而言,第一畫素感測器單元616a包括設置於第一畫素區904a中的第一光偵測器130a,第二畫素感測器單元616b包括設置於第二畫素區904b中的第二光偵測器130b,第三畫素感測器單元616c包括設置於第三畫素區904c中的第三光偵測器130c,且第四畫素感測器單元616d包括設置於第四畫素區904d中的第四光偵測器130d。第一畫素感測器單元616a的轉移閘(例如,第一轉移閘)被配置成在第一光偵測器130a與共用浮置擴散節點902之間以選擇性地形成導電通道,第二畫素感測器單元616b的轉移閘(例如,第二轉移閘)被配置成在第二光偵測器130b與共用浮置擴散節點902之間以選擇性地形成導電通道,第三畫素感測器單元616c的轉移閘(例如,第三轉移閘)被配置成在第三光偵測器130c與共用浮置擴散節點902之間以選擇性地形成導電通道,且第四畫素感測器單元616d的轉移閘(例如,第四轉移閘)被配置成在第四光偵測器130d與共用浮置擴散節點902之間以選擇性地形成導電通道。
如圖9A至圖9D的各種視圖900a至900d所示,摻雜井 124在側向上環繞所述多個畫素區904a至904d中的每一者。摻雜井124的覆蓋區具有網格狀形狀。DTI結構126在側向上環繞所述多個畫素區904a至904d中的每一者。DTI結構126的覆蓋區亦具有網格狀形狀。DTI結構126的網格狀形狀的覆蓋區設置於摻雜井124的網格狀形狀的覆蓋區內。
在一些實施例中,DTI結構126具有第一橫向部分126T1及第一縱向部分126L1。DTI結構126的第一橫向部分126T1垂直於DTI結構126的第一縱向部分126L1。DTI結構126的第一橫向部分126T1與DTI結構126的第一縱向部分126L1相交。DTI結構126的第一橫向部分126T1與DTI結構126的第一縱向部分126L1相交的區被稱為DTI結構126的第一相交部分126X1。DTI結構126的第一相交部分126X1在側向上設置於第一光偵測器130a與第三光偵測器130c之間,且在側向上設置於第二光偵測器130b與第四光偵測器130d之間。
在一些實施例中,共用浮置擴散節點902上覆於DTI結構126的第一相交部分126X1上。DTI結構126的第一相交部分126X1具有上表面906。DTI結構126的第一相交部分126X1的上表面906在垂直方向上設置於DTI結構126的上表面128與基底102的正面102f之間。在一些實施例中,畫素感測器單元616a至616d的轉移閘的下表面(例如,參見本文中闡述的下表面806)在垂直方向上設置於DTI結構126的第一相交部分126X1的上表面906與DTI結構126的上表面128之間。舉例而言,第一畫素 感測器單元616a的轉移閘具有下表面908。第一畫素感測器單元616a的轉移閘的下表面908在垂直方向上設置於DTI結構126的第一相交部分126X1的上表面906與DTI結構126的上表面128之間。在一些實施例中,第一畫素感測器單元616a的轉移閘的下表面908與第二摻雜區106的上側實質上對準(例如,沿側向平面對準)。在其他實施例中,第一畫素感測器單元616a的轉移閘的下表面908與第二摻雜區106的上側在垂直方向上間隔開。在一些實施例中,STI結構306的下表面909在垂直方向上設置於DTI結構126的第一相交部分126X1的上表面906與DTI結構126的上表面128之間。
在一些實施例中,畫素感測器單元616a至616d的第三摻雜區(例如,參見本文中闡述的第三摻雜區108)在垂直方向上設置於DTI結構126的第一相交部分126X1的上表面906與DTI結構126的上表面128之間。舉例而言,第一畫素感測器單元616a的第三摻雜區108的至少一部分在垂直方向上設置於DTI結構126的第一相交部分126X1的上表面906與DTI結構126的上表面128之間。
在一些實施例中,在DTI結構126的第一相交部分126X1處,DTI結構具有「尖峰(peak)」。所述尖峰是DTI結構126的相較於DTI結構126的多個環繞部分更深地延伸至基底102中的一部分。舉例而言,DTI結構126的第一橫向部分126T1具有位於DTI結構126的第一相交部分126X1的第一側上的第一部分及位 於DTI結構126的第一相交部分126X1的第二側(與第一側相對)上的第二部分。DTI結構126的第一橫向部分126T1的第一部分在垂直方向上延伸至基底102中第一距離,DTI結構126的第一橫向部分126T1的第二部分在垂直方向上延伸至基底102中第二距離,且DTI結構126的第一相交部分126X1在垂直方向上延伸至基底102中第三距離。第三距離大於第一距離及第二距離。在一些實施例中,第一距離實質上相同於第二距離。
在一些實施例中,DTI結構126的第一相交部分126X1的尖峰可具有被修圓的上表面。在其他實施例中,DTI結構126的第一相交部分126X1的尖峰可具有實質上平的上表面。在一些實施例中,DTI結構126的第一相交部分126X1的尖峰可具有自DTI結構126的環繞部分延伸至尖峰的上表面的成角度的側壁。在其他實施例中,DTI結構126的第一相交部分126X1的尖峰可具有自DTI結構126的環繞部分延伸至尖峰的上表面的實質上直的(例如,實質上垂直的)側壁。
在一些實施例中,DTI結構126的第一相交部分126X1在垂直方向上延伸至摻雜井124中,且DTI結構126的環繞部分與摻雜井124在垂直方向上間隔開。舉例而言,DTI結構126的第一橫向部分126T1的第一部分及DTI結構126的第一橫向部分126T1的第二部分與摻雜井124可在垂直方向上間隔開,而DTI結構126的第一相交部分126X1延伸至摻雜井124中。在其他實施例中,DTI結構126的第一相交部分126X1及DTI結構126的 環繞部分可各自在垂直方向上延伸至摻雜井124中。舉例而言,DTI結構126的第一橫向部分126T1的第一部分、DTI結構126的第一橫向部分126T1的第二部分及DTI結構126的第一相交部分126X1可各自延伸至摻雜井124中。在再一些其他實施例中,DTI結構126的第一相交部分126X1在垂直方向上延伸至摻雜井124中,且DTI結構126的環繞部分(直接)接觸摻雜井124而不延伸至摻雜井124中。
在一些實施例中,DTI結構126的第一相交部分126X1具有第一深度910,DTI結構126的多個橫向部分(例如,參見本文中闡述的橫向部分126T)具有第二深度912,且DTI結構126的多個縱向部分(例如,參見本文中闡述的縱向部分126L)具有第三深度914。在此種實施例中,DTI結構126的第一橫向部分126T1具有第二深度912,且DTI結構126的第一縱向部分126L1具有第三深度914。第一深度910大於第二深度912。第一深度910大於第三深度914。在一些實施例中,第二深度912實質上相同於第三深度914。在其他實施例中,第二深度912不同於(例如,小於或大於)第三深度914。在一些實施例中,第一深度910介於約2微米(μm)與約5.7微米之間。在一些實施例中,第二深度912介於約2微米與約5.5微米之間。在一些實施例中,第三深度914介於約2微米與約5.5微米之間。
在一些實施例中,基底102具有約3微米的厚度(例如,參見本文中闡述的厚度402)。在一些此種實施例中,第一深度910 介於約2微米與約2.7微米之間。在一些此種實施例中,第一深度910介於約2微米與約2.5微米之間。在一些此種實施例中,第二深度912可為約2微米。在一些此種實施例中,第二深度912可為約2.5微米。在一些此種實施例中,第三深度914可為約2微米。在一些此種實施例中,第三深度914可為約2.5微米。在一些此種實施例中,DTI結構126的第一相交部分126X1在垂直方向上延伸至摻雜井124中。在一些此種實施例中,DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124可在垂直方向上隔開(例如,參見圖4),DTI結構126的橫向部分及DTI結構126的縱向部分可在垂直方向上延伸至摻雜井124中(例如,參見圖5),或者可(直接)接觸摻雜井124而不在垂直方向上延伸至摻雜井124中(例如,參見圖1)。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124在垂直方向上隔開,則DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124可在垂直方向上隔開大於約0微米且小於或等於約0.7微米。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分(直接)接觸摻雜井124而不在垂直方向上延伸至摻雜井124中,則DTI結構126的上表面128可(直接)接觸摻雜井124的底側。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分在垂直方向上延伸至摻雜井124中,則DTI結構126的橫向部分及DTI結構126的縱向部分可在垂直方向上延伸至摻雜井124中大於約0微米且 小於或等於約0.5微米。在又一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分在垂直方向上延伸至摻雜井124中,則第二深度912及第三深度914二者可為約2.5微米。
在一些實施例中,基底102具有約6微米的厚度(例如,參見本文中闡述的厚度402)。在一些此種實施例中,第一深度910介於約4微米與約5.7微米之間。在一些此種實施例中,第二深度912可為約4微米。在一些此種實施例中,第二深度912可為約5.5微米。在一些此種實施例中,第三深度914可為約4微米。在一些此種實施例中,第三深度914可為約5.5微米。在一些此種實施例中,DTI結構126的第一相交部分126X1在垂直方向上延伸至摻雜井124中。在一些此種實施例中,DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124可在垂直方向上隔開(例如,參見圖4),DTI結構126的橫向部分及DTI結構126的縱向部分可在垂直方向上延伸至摻雜井124中(例如,參見圖5),或者可(直接)接觸摻雜井124而不在垂直方向上延伸至摻雜井124中(例如,參見圖1)。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124在垂直方向上隔開,則DTI結構126的橫向部分及DTI結構126的縱向部分與摻雜井124可在垂直方向上隔開大於約0微米且小於或等於約5.3微米。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分(直接)接觸摻雜井124而不在垂直方向上延伸至摻雜井124中,則DTI結構126的上表面128可(直 接)接觸摻雜井124的底側。在一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分在垂直方向上延伸至摻雜井124中,則DTI結構126的橫向部分及DTI結構126的縱向部分可在垂直方向上延伸至摻雜井124中大於約0微米且小於或等於約1.5微米。在又一些此種實施例中,若DTI結構126的橫向部分及DTI結構126的縱向部分在垂直方向上延伸至摻雜井124中,則第二深度912及第三深度914二者可為約5.5微米。
圖10是示出圖9A至圖9D的影像感測器的一些實施例的佈局圖1000。
如圖10的佈局圖1000所示,DTI結構126的第一橫向部分126T1具有寬度1002。在一些實施例中,DTI結構126的橫向部分126T中的每一者具有寬度1002。在一些實施例中,寬度1002可被稱為厚度(例如,環形結構的內徑與外徑之間的距離)。
DTI結構126的第一縱向部分126L1具有寬度1004。在一些實施例中,DTI結構126的縱向部分126L中的每一者具有寬度1004。在一些實施例中,寬度1004可被稱為厚度(例如,環形結構的內徑與外徑之間的距離)。在一些實施例中,寬度1002實質上相同於寬度1004。在其他實施例中,寬度1002可不同於(例如,大於或小於)寬度1004。
圖10的佈局圖1000中亦示出,第一相交部分126X1是DTI結構126的多個相交部分126X中的一個相交部分。DTI結構126的第一相交部分126X1具有尺寸1006。尺寸1006是DTI結構 126的第一相交部分126X1的相對的外點(outer point)之間的距離,所述相對的外點沿與DTI結構126的第一相交部分126X1以對角線相交的平面設置。在一些實施例中,尺寸1006是DTI結構126的第一相交部分126X1的兩個相對的外點之間的最大距離。在又一些實施例中,DTI結構126的第一相交部分126X1具有正方形狀形狀(自俯視圖/佈局圖觀察),且尺寸1006對應於正方形狀形狀的對角線的長度。在一些實施例中,DTI結構126的所述多個相交部分126X中的每一者具有尺寸1006。
尺寸1006大於寬度1002及寬度1004。在一些實施例中,當DTI結構126的深度(例如,參見第一深度910)小於或等於約3微米時,尺寸
Figure 112100878-A0305-02-0046-1
。應理解,以上等式中的「尺寸1006」是指尺寸1006的值。應理解,以上等式中的「(寬度1002)」是指寬度1002的值。應理解,以上等式中的「(寬度1004)」是指寬度1004的值。舉例而言,在一些實施例中,寬度1002等於1微米且寬度1004等於1微米。在此種實施例中,以上等式如下:
Figure 112100878-A0305-02-0046-2
。因此,在此種實施例中,尺寸1006小於或等於1.414微米。
若尺寸
Figure 112100878-A0305-02-0046-3
,則在一些實施例中,DTI結構126的深度(例如,參見本文中闡述的第一深度910)可使得DTI結構126的第一相交部分126X1至少部分地穿透共用浮置擴散節點902。在一些實施例中,若DTI結構126的第一相交部分126X1穿透共用浮置擴散節點902,則影像感測器的效能可 能會受到負面影響及/或良率可能會降低(例如,穿透共用浮置擴散節點902的DTI結構126的第一相交部分126X1可能會破壞影像感測器的一或多個畫素感測器單元的功能性)。
在一些實施例中,當DTI結構126的深度(例如,參見本文中闡述的第一深度910)為約2微米時,尺寸1006可大於或等於約1.4微米且小於或等於約1.9微米。在一些實施例中,寬度1002可介於約0.01微米與約1.5微米之間。在又一些實施例中,寬度1002可介於約0.01微米與約0.15微米之間。在一些實施例中,寬度1004可介於約0.01微米與約1.5微米之間。在又一些實施例中,寬度1004可介於約0.01微米與約0.15微米之間。
圖11是示出圖9A至圖9D的影像感測器的一些其他實施例的剖視圖1100。
如圖11的剖視圖1100所示,在一些實施例中,摻雜井124可自基底102的正面102f在垂直方向上延伸至基底102的背面102b。
圖11的剖視圖1100中亦示出,在一些實施例中,在基底102中設置多個摻雜區1102。在又一些實施例中,所述多個摻雜區1102分別設置於所述多個畫素感測器單元616a至616d的畫素區中。舉例而言,所述多個摻雜區1102中的第一摻雜區1102a設置於基底102的第一畫素區904a中,所述多個摻雜區1102中的第二摻雜區(未示出)設置於基底102的第二畫素區904b中,所述多個摻雜區1102中的第三摻雜區1102c設置於基底102的第 三畫素區904c中,且所述多個摻雜區1102中的第四摻雜區(未示出)設置於基底102的第三畫素區904c中。在一些實施例中,所述多個摻雜區1102與摻雜井124及/或DTI結構126在側向上間隔開。
所述多個摻雜區1102是基底102的具有第二摻雜類型(例如,n型/p型)的區。在一些實施例中,第一摻雜區104相較於所述多個摻雜區1102具有更高濃度的第二摻雜類型摻雜劑(例如,n型摻雜劑(如磷(P)、砷(As)、銻(Sb)等)或p型摻雜劑(如硼(B)、鋁(Al)、鎵(Ga)等))。在其他實施例中,第一摻雜區104相較於所述多個摻雜區1102具有更低濃度的第二摻雜類型摻雜劑。
圖12是示出包括圖9A至圖9D的影像感測器的積體晶片(integrated chip,IC)的一些實施例的方塊圖1200。
如圖12的方塊圖1200所示,IC 1201包括第一晶片(first chip)1202、第二晶片(second chip)1204及第三晶片(third chip)1206。在一些實施例中,第一晶片1202包括本揭露的影像感測器。舉例而言,在一些實施例中,第一晶片1202包括所述多個畫素感測器單元616a至616d。第一晶片1202、第二晶片1204與第三晶片1206接合於一起(例如,經由一或多個接合結構)。第一晶片1202、第二晶片1204與第三晶片1206在垂直方向上堆疊且電性耦合於一起(例如,經由一或多個導電接墊)。在此種實施例中,影像感測器可被稱為三(3)晶片影像感測器(例如,3-chip CIS)。 儘管圖12的方塊圖1200示出包括接合於一起的三(3)個晶片的IC 1201,然而應理解,IC 1201可包括接合於一起的任何數目的晶片(例如,2個晶片、3個晶片、4個晶片、5個晶片等)。亦應理解,在一些實施例中,IC可僅包括第一晶片1202(例如,1晶片CIS)。
圖13至圖26是示出用於形成具有用於小畫素設計的改良結構的影像感測器的方法的一些實施例的一系列剖視圖1300至2600。
如圖13的剖視圖1300所示,在基底102中形成第一摻雜區104。基底102包括多個畫素區904a至904d。第一摻雜區104亦形成於所述多個畫素區904a至904d中。第一摻雜區104是基底102的具有第二摻雜類型(例如,n型/p型)的區。
在一些實施例中,藉由將第二摻雜類型摻雜劑(例如,n型摻雜劑(如磷(P)、砷(As)、銻(Sb)等)或p型摻雜劑(如硼(B)、鋁(Al)、鎵(Ga)等))注入至基底102中的毯覆式摻雜製程形成第一摻雜區104。毯覆式摻雜製程不利用罩幕層(例如,正型/負型光阻、硬罩幕等)將第二摻雜類型摻雜劑注入至基底102的所述多個畫素區904a至904d中。在一些實施例中,毯覆式摻雜製程為或包括例如以下製程:毯覆式離子注入製程、毯覆式擴散製程、某種其他毯覆式摻雜製程或前述製程的組合。應理解,毯覆式摻雜製程不同於利用罩幕層將第二摻雜類型摻雜劑選擇性地注入至基底102的所述多個畫素區904a至904d中的摻 雜製程。亦應理解,在其他實施例中,可藉由利用罩幕層將第二摻雜類型摻雜劑選擇性地注入至基底102的所述多個畫素區904a至904d中的摻雜製程形成第一摻雜區104。
圖13的剖視圖1300中亦示出,在基底102中形成第二摻雜區106。第二摻雜區106形成於第一摻雜區104之上。第二摻雜區106亦形成於所述多個畫素區904a至904d中。第二摻雜區106是基底102的具有第二摻雜類型的區。在一些實施例中,第一摻雜區104相較於第二摻雜區106具有更高濃度的第二摻雜類型摻雜劑。在其他實施例中,第一摻雜區104相較於第二摻雜區106具有更低濃度的第二摻雜類型摻雜劑。
在一些實施例中,藉由將第二摻雜類型摻雜劑注入至基底102中的毯覆式摻雜製程形成第二摻雜區106。毯覆式摻雜製程不利用罩幕層(例如,正型/負型光阻、硬罩幕等)將第二摻雜類型摻雜劑注入至基底102的所述多個畫素區904a至904d中。在一些實施例中,毯覆式摻雜製程為或包括例如以下製程:毯覆式離子注入製程、毯覆式擴散製程、某種其他毯覆式摻雜製程或前述製程的組合。應理解,毯覆式摻雜製程不同於利用罩幕層將第二摻雜類型摻雜劑選擇性地注入至基底102的所述多個畫素區904a至904d中的摻雜製程。亦應理解,在其他實施例中,可藉由利用罩幕層將第二摻雜類型摻雜劑選擇性地注入至基底102的所述多個畫素區904a至904d中的摻雜製程形成第二摻雜區106。
圖14的剖視圖1400中亦示出,在基底102中形成摻雜 井124。摻雜井124是基底102的具有第一摻雜類型的一部分。摻雜井124被形成為在垂直方向上延伸至基底102中。摻雜井124被形成為在垂直方向上延伸至第二摻雜區106中。摻雜井124被形成為在側向上延伸穿過基底102,使得摻雜井124在側向上環繞所述多個畫素區904a至904d。在一些實施例中,摻雜井124被形成為部分地延伸穿過基底102。在一些實施例中,摻雜井124被形成為自基底102的正面102f在垂直方向上延伸至第二摻雜區106中,且被形成為與基底102的背面102b在垂直方向上間隔開。
在一些實施例中,可藉由利用位於基底102的正面102f上的經圖案化罩幕層(patterned masking layer)1402(例如,正型/負型光阻、硬罩幕等)將第一摻雜類型摻雜劑(例如,p型摻雜劑)選擇性地注入至基底102中的摻雜製程(例如,藉由離子注入、擴散等)形成摻雜井124。在一些實施例中,用於形成經圖案化罩幕層1402的製程包括在基底102的正面102f上沈積罩幕層(未示出)。可藉由例如化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(physical vapor deposition,PVD)、原子層沈積(atomic layer deposition,ALD)、旋塗製程、某種其他沈積製程或前述製程的組合來沈積罩幕層。此後,將罩幕層暴露於圖案(例如,藉由諸如光微影、極紫外微影或類似製程等微影製程)並顯影,藉此在基底102的正面102f之上形成經圖案化罩幕層1402。在經圖案化罩幕層1402在基底102的正面102f之上處於恰當位置的情況下,對基底102實行摻雜製程,藉 此形成摻雜井124。在一些實施例中,摻雜製程可為例如離子注入製程、擴散製程、某種其他摻雜製程或前述製程的組合。隨後,在一些實施例中,剝除經圖案化罩幕層1402。
在一些實施例中,由於摻雜井124被形成為部分地延伸穿過基底102(例如,不完全穿過基底102),因此經圖案化罩幕層1402可為相對短(例如,具有相對小的厚度)。因此,相較於典型CIS而言,摻雜井124的尺寸可按比例縮小。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。
由於第二摻雜區106藉由毯覆式摻雜製程而非藉由利用微影製程的摻雜製程形成,因此摻雜井124可被形成為接觸第二摻雜區106。因此,相較於典型CIS而言,所述多個畫素區904a至904d的尺寸可減小。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。
此外,圖14包括在側向上延伸穿過第二摻雜區106且設置於摻雜井124的一部分下方(例如,正下方)的線E-E’。在一些實施例中,第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化不超過百分之十(10%)。在一些實施例中,由於第二摻雜區106藉由毯覆式摻雜製程形成,因此第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度可沿線E-E’自E至E’變化不超過百分之十(10%)。在又一些實施例中,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化不超過百分之十(10%),則可表示第二摻雜區106藉由毯覆式摻雜製程 形成。換言之,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化超過百分之十(10%),則可表示第二摻雜區106藉由某種其他形成製程(例如,利用微影製程的摻雜製程)形成。
在一些實施例中,第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化不超過百分之六(6%)。在一些實施例中,由於第二摻雜區106藉由毯覆式摻雜製程形成,因此第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度可沿線E-E’自E至E’變化不超過百分之六(6%)。在又一些實施例中,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化不超過百分之六(6%),則可表示第二摻雜區106藉由毯覆式摻雜製程形成。換言之,若第二摻雜區106的第二摻雜類型摻雜劑的摻雜濃度沿線E-E’自E至E’變化超過百分之六(6%),則可表示第二摻雜區106藉由某種其他形成製程(例如,利用微影製程的摻雜製程)形成。
如圖15的剖視圖1500所示,在基底102中形成STI結構306。STI結構306形成於摻雜井124內。STI結構306可形成有設置於摻雜井124的覆蓋區內的覆蓋區。
在一些實施例中,用於形成STI結構306的製程包括在基底102的正面102f之上形成經圖案化罩幕層(未示出)(例如,負型/正型光阻、硬罩幕等)。在經圖案化罩幕層在基底102的正面102f之上處於恰當位置的情況下,然後對基底102實行蝕刻製程。 蝕刻製程移除基底的未被遮罩的部分,藉此在基底102中形成溝渠。蝕刻製程可為或包括例如以下製程:濕式蝕刻製程、乾式蝕刻製程、反應離子蝕刻(reactive ion etching,RIE)製程、某種其他蝕刻製程或前述製程的組合。隨後,在一些實施例中,然後剝除經圖案化罩幕層。此後,用一或多種介電材料填充溝渠,藉此在基底102中形成STI結構306。
如圖16的剖視圖1600所示,在所述多個畫素區904a至904d中分別形成多個第三摻雜區(例如,參見本文中闡述的第三摻雜區108)。所述多個第三摻雜區108形成於第二摻雜區106之上。所述多個第三摻雜區108是基底102的具有第二摻雜類型的區。在一些實施例中,所述多個第三摻雜區108相較於第二摻雜區106可具有更高濃度的第二摻雜類型摻雜劑。在其他實施例中,所述多個第三摻雜區108相較於第二摻雜區106具有更低濃度的第二摻雜類型摻雜劑。
在一些實施例中,用於形成所述多個第三摻雜區108的製程包括在基底102的正面102f之上形成經圖案化罩幕層(未示出)(例如,負型/正型光阻、硬罩幕等)。此後,在經圖案化罩幕層處於恰當位置的情況下,對基底實行摻雜製程(例如,離子注入製程、擴散製程等)以根據經圖案化罩幕層將第二摻雜類型摻雜劑選擇性地注入至基底102中,藉此形成所述多個第三摻雜區108。隨後,在一些實施例中,剝除經圖案化罩幕層。
如圖17的剖視圖1700所示,在基底102的正面102f之 上/上方形成多個轉移閘(例如,參見本文中闡述的轉移閘114)。所述多個轉移閘114分別被形成為至少部分地上覆於所述多個畫素區904a至904d上。在一些實施例中,所述多個轉移閘114中的每一者形成於摻雜井124的兩個部分之間。所述多個轉移閘114中的每一者形成有閘極介電結構116及閘極電極結構118。
在一些實施例中,用於形成所述多個轉移閘114的製程包括在基底102的正面102f之上形成第一經圖案化罩幕層(未示出)(例如,負型/正型光阻、硬罩幕等)。在第一經圖案化罩幕層在基底102的正面102f之上處於恰當位置的情況下,然後對基底102實行蝕刻製程。蝕刻製程移除基底102的未被遮罩的部分,藉此在基底102中形成多個垂直閘極溝渠。蝕刻製程可為或包括例如以下製程:濕式蝕刻製程、乾式蝕刻製程、RIE製程、某種其他蝕刻製程或前述製程的組合。隨後,在一些實施例中,然後剝除經圖案化罩幕層。
此後,在基底102的正面102f之上/上方形成閘極介電層(未示出),且將閘極介電層襯於所述多個垂直閘極溝渠上。在一些實施例中,閘極介電層為或包含例如以下材料:氧化物(例如,二氧化矽(SiO2))、高介電常數介電材料(例如,氧化鉿(HfO)、氧化鉭(TaO)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鋁(AlO)、氧化鋯(ZrO)、介電常數大於約3.9的某種其他介電材料)、某種其他介電材料或前述材料的組合。然後在閘極介電層上及所述多個垂直閘極溝渠中形成閘極電極層(未示出)。在一些實 施例中,閘極電極層為或包含例如以下材料:多晶矽、金屬(例如,鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鎢(W)、鉬(Mo)、鈷(Co)或類似材料)、某種其他導電材料或前述材料的組合。
此後,在閘極電極層上形成第二經圖案化罩幕層(未示出)(例如,負型/正型光阻、硬罩幕等)。在第二經圖案化罩幕層處於恰當位置的情況下,對閘極電極層及閘極介電層實行蝕刻製程。蝕刻製程移除閘極電極層的未被遮罩的部分,藉此形成閘極電極結構(例如,參見本文中闡述的閘極電極結構118)。蝕刻製程亦移除閘極介電層的未被遮罩的部分,藉此形成閘極介電結構(例如,參見本文中闡述的閘極介電結構116)。在一些實施例中,蝕刻製程可為或包括例如以下製程:濕式蝕刻製程、乾式蝕刻製程、RIE製程、某種其他蝕刻製程或前述製程的組合。隨後,在一些實施例中,剝除第二經圖案化罩幕層。
如圖18的剖視圖1800所示,在所述多個畫素區904a至904d中分別形成多個第四摻雜區(例如,參見本文中闡述的第四摻雜區110)。所述多個第四摻雜區110形成於所述多個第三摻雜區108之上。所述多個第四摻雜區110是基底102的具有第一摻雜類型的區。在一些實施例中,可藉由利用位於基底102的正面102f之上(以及位於所述多個轉移閘114之上)的經圖案化罩幕層(未示出)(例如,正型/負型光阻、硬罩幕等)將第一摻雜類型摻雜劑選擇性地注入至基底102中的摻雜製程(例如,藉由(成角度的)離子注入、擴散等)形成所述多個第四摻雜區110。在一 些實施例中,所述多個轉移閘114至少部分地被用作經圖案化罩幕層。隨後,在一些實施例中,剝除經圖案化罩幕層。
如圖19的剖視圖1900所示,在基底102中形成共用浮置擴散節點902。在一些實施例中,共用浮置擴散節點902形成於摻雜井124中。共用浮置擴散節點902是基底102的具有第二摻雜類型的區。在一些實施例中,可藉由利用位於基底102的正面102f之上(以及位於所述多個轉移閘114之上)的經圖案化罩幕層(未示出)(例如,正型/負型光阻、硬罩幕等)將第二摻雜類型摻雜劑選擇性地注入至基底102中的摻雜製程(例如,藉由離子注入、擴散等)形成共用浮置擴散節點902。在一些實施例中,所述多個轉移閘114至少部分地被用作經圖案化罩幕層。隨後,在一些實施例中,剝除經圖案化罩幕層。
如圖20的剖視圖2000所示,在基底102的正面102f之上及所述多個轉移閘114之上形成ILD結構120。圖20的剖視圖2000中亦示出,在ILD結構120中以及基底102的正面102f之上形成內連線結構122。在一些實施例中,內連線結構122包括多個導電接觸件122a、多個導電孔122b及多條導線122c。
在一些實施例中,用於形成ILD結構120及內連線結構122的製程包括在基底102的正面102f之上形成第一ILD層。此後,在第一ILD層中形成多個接觸開口。然後在第一ILD層上及接觸開口中形成導電材料(例如,鎢(W))。此後,對導電材料實行平坦化製程(例如,化學機械平坦化(chemical-mechanical planarization,CMP))以在第一ILD層中形成所述多個導電接觸件122a。然後在第一ILD層及所述多個導電接觸件122a之上形成第二ILD層。然後在第二ILD層中形成多個溝渠。在第二ILD層上及溝渠中形成導電材料(例如,銅(Cu))。此後,對導電材料實行平坦化製程(例如,CMP)以形成所述多條導線122c中的第一組導線(例如,第一層導線(例如,金屬層1)的導線)。
此後,可藉由重複鑲嵌製程(例如,單鑲嵌製程或雙鑲嵌製程)來形成內連線結構122的所述多個導通孔122b及所述多條導線122c中的剩餘導線,直至在ILD結構120中形成預定數目的導通孔及導線。所述鑲嵌製程可藉由以下方式來實行:在第二ILD層及所述多條導線122c中的第一組導線之上沈積後續的ILD層,對後續的ILD層進行蝕刻以在後續的ILD層中形成一或多個介層窗孔及/或一或多個溝渠,以及用導電材料(例如,銅(Cu))填充所述一或多個介層窗孔及/或所述一或多個溝渠。此後,對導電材料實行平坦化製程(例如,CMP),藉此形成所述多條導線122c中的第二組導線(例如,第二層導線(例如,金屬層2)的導線)及/或所述多個導通孔122b中的在第一組導線與第二組導線之間在垂直方向上延伸的導通孔。重複此鑲嵌製程,直至內連線結構122的所述多個導通孔122b中的每一者及所述多條導線122c中的每一者形成於ILD結構120中。可藉由例如CVD、PVD、ALD、某種其他沈積製程或前述製程的組合來形成ILD層。可利用沈積製程(例如,CVD、PVD、濺鍍等)及/或鍍覆製程(例如,電化 學鍍覆、無電鍍覆等)來形成導電材料(例如,鎢(W)、銅(Cu)等)。
如圖21的剖視圖2100所示,在基底102中形成溝渠2102。溝渠2102自基底102的背面102b延伸至基底102中。溝渠2102被形成為在側向上延伸穿過基底102,使得溝渠2102在側向上環繞所述多個畫素區904a至904d。溝渠2102形成有設置於摻雜井124的覆蓋區內的覆蓋區。
溝渠2102可部分地延伸穿過基底102(例如,不完全穿過基底102)。溝渠2102在垂直方向上延伸穿過第一摻雜區104且在垂直方向上延伸至第二摻雜區106中。在此種實施例中,溝渠2102暴露出第一摻雜區104的一些部分及第二摻雜區106的一些部分。在又一些此種實施例中,第一摻雜區104的所述一些部分及第二摻雜區106的所述一些部分至少部分地界定溝渠2102的表面(例如,側壁)。在一些實施例中,溝渠2102在垂直方向上部分地延伸至第二摻雜區106中,使得第二摻雜區106的一部分在垂直方向上設置於溝渠2102與基底102的正面102f之間。在其他實施例中,溝渠2102可在垂直方向上延伸穿過第一摻雜區104及第二摻雜區106二者,使得基底102的在垂直方向上設置於第二摻雜區106與基底102的正面102f之間的某個其他部分在垂直方向上設置於溝渠2102與基底102的正面102f之間。在再一些其他實施例中,溝渠2102可自基底102的背面102b延伸至基底102的正面102f(例如,完全延伸穿過基底102)。應理解,在 一些實施例中,溝渠2102可被形成為自基底102的正面102f延伸至基底中,而非自基底102的背面102b延伸至基底中。在一些實施例中,溝渠2102可具有成角度的側壁,如圖1的剖視圖100所示。在其他實施例中,溝渠2102的側壁可為實質上直的(例如,垂直的)。
在一些實施例中,第二摻雜區106在側向上連續延伸在溝渠2102的相對的內側壁之間。在一些實施例中,第二摻雜區106在側向上連續延伸在摻雜井124的相對的內側之間,且在側向上連續延伸在溝渠2102的相對的內側壁之間。在一些實施例中,第一摻雜區104在側向上連續延伸在溝渠2102的相對的內側壁之間。
在一些實施例中,溝渠2102的佈局具有網格狀形狀。因此,溝渠2102的覆蓋區具有網格狀形狀。在此種實施例中,溝渠2102的網格狀形狀的覆蓋區設置於摻雜井124的網格狀形狀的覆蓋區內。溝渠2102的網格狀形狀包括溝渠2102的多個縱向部分2102L及溝渠2102的多個橫向部分2102T。溝渠2102的縱向部分2102L在第一側向方向上彼此平行延伸。溝渠2102的橫向部分2102T在垂直於第一側向方向的第二側向方向上彼此平行延伸。溝渠2102的縱向部分2102L與溝渠2102的橫向部分2102T彼此相交。溝渠2102中的溝渠2102的縱向部分2102L與溝渠2102的橫向部分2102T相交的區可被稱為溝渠2102的相交部分2102X。溝渠2102的相交部分2102X形成有較溝渠2102的縱向部分2102L 及溝渠2102的橫向部分2102T大的深度(例如,在垂直方向上更深地延伸至基底102中)。
在一些實施例中,溝渠2102在垂直方向上延伸至摻雜井124中。在又一些實施例中,溝渠2102的相交部分2102X可延伸至摻雜井124中,而溝渠2102的橫向部分2102T及溝渠2102的縱向部分2102L與摻雜井124在垂直方向上間隔開。在其他實施例中,溝渠2102的相交部分2102X可延伸至摻雜井124中,而溝渠2102的橫向部分2102T及溝渠2102的縱向部分2102L(直接)接觸摻雜井124而不延伸至摻雜井124中。在再一些其他實施例中,溝渠2102的相交部分2102X、溝渠2102的橫向部分2102T及溝渠2102的縱向部分2102L可在垂直方向上延伸至摻雜井124中。延伸至摻雜井124中的溝渠2102的一些部分暴露出摻雜井124的一些部分。在一些實施例中,溝渠2102的多個相交部分2102X可分別暴露出多個共用浮置擴散節點(例如,參見共用浮置擴散節點902)的一些部分。
在一些實施例中,用於形成溝渠2102的製程包括在基底102的背面102b之上形成經圖案化罩幕層(未示出)(例如,正型/負型光阻、硬罩幕等)。在一些實施例中,用於形成經圖案化罩幕層的製程包括對圖20所示的結構進行翻轉(例如,旋轉180度),使得基底102的背面102b朝上。此後,在基底102的背面102b上沈積罩幕層(圖中未示出)。可藉由例如CVD、PVD、ALD、旋塗製程、某種其他沈積製程或前述製程的組合來沈積罩幕層。此 後,將罩幕層暴露於圖案(例如,藉由諸如微影、極紫外微影或類似製程等微影製程)並顯影,藉此在基底102的背面102b之上形成經圖案化罩幕層。
在經圖案化罩幕層在基底102的背面102b之上處於恰當位置的情況下,然後對基底102實行蝕刻製程。蝕刻製程移除基底102的未被遮罩的部分,藉此在基底102中形成溝渠2102。蝕刻製程可為或包括例如以下制程:濕式蝕刻製程、乾式蝕刻製程、RIE製程、某種其他蝕刻製程或前述製程的組合。隨後,在一些實施例中,剝除經圖案化罩幕層。
如圖22的剖視圖2200所示,形成襯於溝渠2102的表面(例如,溝渠2102的側壁、溝渠2102的下表面等)上的介電襯墊結構602。在一些實施例中,介電襯墊結構602被形成為接觸(例如,直接接觸)第二摻雜區106,如圖22的剖視圖2200所示。在一些實施例中,介電襯墊結構602被形成為接觸(例如,直接接觸)摻雜井124,如圖22的剖視圖2200所示。在一些實施例中,介電襯墊結構602被形成為接觸(例如,直接接觸)第一摻雜區104。在一些實施例中,介電襯墊結構602被形成為接觸(例如,直接接觸)共用浮置擴散節點902。在一些實施例中,省略介電襯墊結構602。
在一些實施例中,用於形成介電襯墊結構602的製程包括在基底102的背面102b上且沿溝渠2102的表面沈積介電襯墊層(未示出)。介電襯墊層可為或包含例如以下材料:高介電常數 介電材料(例如HfO、TaO、HfSiO、HfTaO、AlO、ZrO等)、氧化物(例如,SiO2)、氮化物(例如,SiN)、氮氧化物(例如,SiON)、碳化物(例如,碳化矽(SiC))、某種其他介電材料或前述材料的組合。可藉由例如CVD、PVD、ALD、某種其他沈積製程或前述製程的組合來沈積介電襯墊層。此後,移除介電襯墊層的上部部分,藉此將剩餘的部分置於恰當位置處作為介電襯墊結構602。在一些實施例中,可藉由例如平坦化製程(例如,化學機械平坦化(CMP))、蝕刻製程(例如,濕式蝕刻、乾式蝕刻等)、某種其他移除製程或類似製程來移除介電襯墊層的上部部分。
如圖23的剖視圖2300所示,在溝渠2102(例如,參見圖22)中形成介電填充結構604。在一些實施例中,介電填充結構604亦形成於基底102的背面102b之上。在此種實施例中,介電填充結構604的一部分702沿基底102的背面102b形成。在一些實施例中,形成介電填充結構604會完成在溝渠2102中的DTI結構126的形成。換言之,DTI結構126形成於溝渠2102中,且在溝渠2102中形成DTI結構126包括在溝渠2102中形成介電填充結構604。由於DTI結構126形成於溝渠2102中,應理解,溝渠2102包括與本文中闡述的DTI結構126的特徵對應的特徵(例如,結構特徵)。舉例而言,如本文中所述,DTI結構126可具有尺寸1006。因此,應理解,溝渠2102亦可具有尺寸1006(或者實質上相似於尺寸1006的尺寸)。DTI結構126包括DTI結構126的多個縱向部分126L、DTI結構126的多個橫向部分126T及DTI 結構126的多個相交部分126X。
由於第二摻雜區106藉由毯覆式摻雜製程而非藉由利用微影製程的摻雜製程形成,因此DTI結構126可被形成為接觸第二摻雜區106。因此,相較於典型CIS而言,所述多個畫素區904a至904d的尺寸可減小。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸。
此外,由於溝渠2102形成有設置於摻雜井124的覆蓋區內的覆蓋區,且由於DTI結構126形成於溝渠2102中,因此DTI結構126形成有設置於摻雜井124的覆蓋區內的覆蓋區。由於DTI結構126形成有設置於摻雜井124的覆蓋區內的覆蓋區,因此相較於典型CIS的畫素區的尺寸而言,所述多個畫素區904a至904d的尺寸可減小,同時仍具有良好的電性效能(例如,相鄰光偵測器之間的良好電性隔離、良好滿井容量等)。因此,本揭露的影像感測器相較於典型CIS可具有更按比例縮小的尺寸,同時亦具有滿足或超過典型CIS的效能度量的效能度量。
在一些實施例中,用於形成介電填充結構604的製程包括在介電襯墊結構602上沈積介電填充結構604以及沈積介電填充結構604以填充溝渠2102。在一些實施例中,亦在基底102的背面102b上沈積介電填充結構604。在一些實施例中,對介電填充結構604實行平坦化製程(例如,CMP)以對介電填充結構604的上表面進行平坦化。在又一些實施例中,對介電填充結構604實行平坦化製程(及/或某種其他移除製程)以移除介電填充結構 604的一部分702。
如圖24的剖視圖2400所示,沿介電填充結構604的一部分702形成隔離網格606。在其他實施例中,隔離網格606可沿基底102的背面102b形成。在又一些實施例中,隔離網格606上覆於DTI結構126上而形成。
在一些實施例中,用於形成隔離網格606的製程包括在介電填充結構604的一部分702上形成經圖案化罩幕層(未示出),所述經圖案化罩幕層中設置有溝渠。此後,在經圖案化罩幕層上及溝渠中沈積隔離材料。隔離材料可為或包含例如以下材料:金屬(例如,鎢(W)、鋁(Al)、鈷(Co)、銅(Cu)、銀(Ag)、金(Au)、某種其他金屬或前述金屬的組合)、氧化物(例如,SiO2)、氮化物(例如,SiN)、碳化物(例如,SiC)、高介電常數介電材料(例如,HfO、TaO等)、低介電常數介電材料、某種其他隔離材料或前述材料的組合。此後,對隔離材料實行平坦化製程(例如,CMP、回蝕製程等)以移除隔離材料的上部部分,藉此將置於溝渠中的隔離材料的下部部分作為隔離網格606。隨後,在一些實施例中,剝除經圖案化罩幕層。
圖24的剖視圖2400亦示出,沿介電填充結構604的一部分702且在隔離網格606之上形成介電層608。在其他實施例中,介電層608可沿基底102的背面102b且在隔離網格606之上形成。在一些實施例中,用於形成介電層608的製程包括在介電填充結構604的一部分702上及隔離網格606上沈積介電層608。 可藉由例如CVD、PVD、ALD、濺鍍、某種其他沈積製程或前述製程的組合來沈積介電層608。
如圖25的剖視圖2500所示,在介電層608上方/之上及隔離網格606內形成多個EMR濾波器(例如,參見本文中闡述的EMR濾波器610)。在一些實施例中,用於形成所述多個EMR濾波器610的製程包括在介電層608上及隔離網格606內沈積(例如,藉由CVD、PVD、ALD、濺鍍、旋塗製程等)一或多種濾光材料。所述一或多種濾光材料是允許具有特定波長範圍的輻射(例如,光)透射,同時阻擋特定範圍之外的波長的光的材料。隨後,在一些實施例中,可對所述多個EMR濾波器610實行平坦化製程(例如,CMP),以對所述多個EMR濾波器610的上表面進行平坦化。
如圖26的剖視圖2600所示,在所述多個EMR濾波器610上方/之上形成多個微透鏡(例如,參見本文中闡述的微透鏡612)。在一些實施例中,可藉由在所述多個EMR濾波器610上沈積微透鏡材料(例如,藉由CVD、PVD、ALD、濺鍍、旋塗製程等)來形成所述多個微透鏡612。在微透鏡材料上方對具有彎曲上表面的微透鏡模板(圖中未示出)進行圖案化。在一些實施例中,微透鏡模板可包括光阻材料,所述光阻材料使用分佈式曝光劑量(distributing exposing light dose)被曝光(例如,對於負型光阻在彎曲的底部進行更多曝光,且在彎曲的頂部進行更少曝光)、顯影並烘焙以形成圓形形狀。然後,藉由根據微透鏡模板以選擇性 地蝕刻微透鏡材料來形成所述多個微透鏡612。在一些實施例中,在形成所述多個微透鏡612之後,多個畫素感測器單元616a至616d(例如,參見圖9A至圖9D)的形成被完成。在又一些實施例中,在形成所述多個微透鏡612之後,影像感測器的形成(例如,參見圖9A至圖9D)被完成。
為清晰起見,應理解,本文中用來闡述圖中所示結構的空間相對性術語(例如,之上、之下、上部、下部等)通常是基於此種結構在其相應的圖中所示的定向。舉例而言,在闡述圖26所示的結構時,可以講所述多個微透鏡形成於所述多個EMR濾波器之上。另一方面,在闡述圖9B所示的結構時,可以講所述多個EMR濾波器上覆於所述多個微透鏡上。
圖27示出用於形成具有用於小畫素設計的改良結構的影像感測器的方法的一些實施例的流程圖2700。儘管圖27的流程圖2700在本文中被示出並闡述為一系列動作或事件,然而應理解,此種動作或事件的所示次序不應被解釋為限制性的。舉例而言,一些動作可透過不同的次序發生及/或與除了在本文中示出及/或闡述的動作或事件之外的其他動作或事件可同時發生。此外,可能並非所有示出的動作皆為實施本文中闡述的一或多個態樣或實施例所需要的,且本文中所繪示的動作中的一或多者可在一或多個單獨的動作及/或階段中執行。
在動作2702處,在基底中形成第一摻雜區。圖13是示出與動作2702對應的一些實施例的剖視圖1300。
在動作2704處,在基底中形成第二摻雜區。圖13是示出與動作2704對應的一些實施例的剖視圖1300。
在動作2706處,在基底中形成摻雜井。圖14是示出與動作2706對應的一些實施例的剖視圖1400。
在動作2708處,在基底中形成第三摻雜區。圖15至圖16是示出與動作2708對應的一些實施例的一系列剖視圖1500至1600。
在動作2710處,沿基底的第一側形成轉移閘。圖17是示出與動作2710對應的一些實施例的剖視圖1700。
在動作2712處,在基底中形成第四摻雜區。圖18是示出與動作2712對應的一些實施例的剖視圖1800。
在動作2714處,在摻雜井中形成浮置擴散節點。圖19是示出與動作2714對應的一些實施例的剖視圖1900。
在動作2716處,在基底之上及轉移閘之上形成層間介電(ILD)結構。圖20是示出與動作2716對應的一些實施例的剖視圖2000。
在動作2718處,在基底中形成溝渠,其中溝渠形成有設置於摻雜井的覆蓋區內的覆蓋區。圖21是示出與動作2718對應的一些實施例的剖視圖2100。
在動作2720處,在溝渠中形成深溝渠隔離(DTI)結構。圖22至圖23是示出與動作2720對應的一些實施例的一系列剖視圖2200至2300。
在動作2722處,在基底的第二側上形成微透鏡。圖24至圖26是示出與動作2722對應的一些實施例的一系列剖視圖2400至2600。
在一些實施例中,本揭露提供一種影像感測器。所述影像感測器包括半導體基底,其中所述半導體基底包括畫素區,其中所述半導體基底具有第一側,且其中所述半導體基底具有與所述半導體基底的所述第一側相對的第二側。光偵測器設置於所述畫素區中。第一摻雜區設置於所述畫素區中。第二摻雜區設置於所述畫素區中,其中所述第二摻雜區在垂直方向上設置於所述第一摻雜區與所述半導體基底的所述第一側之間。摻雜井設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述摻雜井部分地設置於所述第二摻雜區中,且其中所述第二摻雜區的一部分在垂直方向上設置於所述摻雜井與所述半導體基底的所述第二側之間。深溝渠隔離(DTI)結構設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述DTI結構的覆蓋區設置於所述摻雜井的覆蓋區內。
在一些實施例中,所述摻雜井的一部分在垂直方向上直接設置於所述第二摻雜區的一部分與所述半導體基底的所述第一側之間。
在一些實施例中,所述DTI結構接觸所述摻雜井。
在又一些實施例中,所述DTI結構至少部分地設置於所述摻雜井中。
在一些實施例中,所述DTI結構與所述摻雜井在垂直方向上間隔開。
在又一些實施例中,所述第二摻雜區的一部分在垂直方向上直接設置於所述DTI結構的表面與所述摻雜井之間。
在一些實施例中,所述DTI結構在垂直方向上延伸穿過所述第一摻雜區且在垂直方向上延伸至所述第二摻雜區中。
在一些實施例中,所述第二摻雜區在側向上連續延伸在所述摻雜井的相對的內側之間且在側向上連續延伸在所述DTI結構的相對的內側壁之間。
在一些實施例中,本揭露提供一種影像感測器。所述影像感測器包括第一光偵測器,所述第一光偵測器設置於半導體基底的第一畫素區中,其中所述半導體基底具有第一側及與所述第一側相對的第二側。第二光偵測器設置於所述半導體基底的第二畫素區中。第一摻雜區設置於所述第一畫素區及所述第二畫素區二者中。摻雜井設置於所述半導體基底中且在側向上環繞所述第一畫素區及所述第二畫素區二者,其中所述摻雜井至少部分地設置於所述第一摻雜區中。浮置擴散節點設置於所述摻雜井中,其中所述浮置擴散節點在側向上設置於所述第一光偵測器與所述第二光偵測器之間。深溝渠隔離(DTI)結構設置於所述半導體基底中且在側向上環繞所述第一畫素區及所述第二畫素區二者。所述DTI結構的覆蓋區設置於所述摻雜井的覆蓋區內。所述DTI結構的第一部分在第一方向上在側向上延伸穿過所述半導體基底。所 述DTI結構的第二部分在垂直於所述第一方向的第二方向上在側向上延伸穿過所述半導體基底。所述DTI結構的所述第一部分在所述DTI結構的第三部分處與所述DTI結構的所述第二部分相交。所述浮置擴散節點上覆於所述DTI結構的所述第三部分上。所述DTI結構的所述第一部分具有第一深度。所述DTI結構的所述第二部分具有第二深度。所述DTI結構的所述第三部分具有第三深度。所述第三深度大於所述第一深度。所述第三深度大於所述第二深度。
在一些實施例中,所述第一深度實質上相同於所述第二深度。
在一些實施例中,所述DTI結構的所述第三部分在垂直方向上延伸至所述摻雜井中;且所述DTI結構的所述第一部分及所述DTI結構的所述第二部分與所述摻雜井在垂直方向上間隔開。
在一些實施例中,所述DTI結構的所述第三部分在垂直方向上延伸至所述摻雜井中;所述DTI結構的所述第一部分在垂直方向上延伸至所述摻雜井中;且所述DTI結構的所述第二部分在垂直方向上延伸至所述摻雜井中。
在一些實施例中,淺溝渠隔離(STI)結構設置於所述半導體基底中及所述摻雜井中,其中所述STI結構具有上覆於所述DTI結構的所述第一部分上的第一部分,其中所述STI結構具有上覆於所述DTI結構的所述第二部分上的第二部分,且其中所述 STI結構的所述第一部分的下表面在垂直方向上設置於所述DTI結構的所述第三部分的上表面與所述DTI結構的所述第一部分的上表面之間。
在一些實施例中,轉移閘沿所述半導體基底的所述第一側設置,其中所述轉移閘具有自所述半導體基底的所述第一側在垂直方向上延伸至所述第一畫素區中的下部部分,且其中所述轉移閘的所述下部部分的下表面在垂直方向上設置於所述DTI結構的所述第三部分的上表面與所述DTI結構的所述第一部分的上表面之間。
在又一些實施例中,所述轉移閘的所述下部部分的所述下表面與所述第一摻雜區的上側實質上對准。
在一些實施例中,第二摻雜區設置於所述第一畫素區中,其中所述第二摻雜區在垂直方向上設置於所述第一摻雜區與所述半導體基底的所述第一側之間,且其中所述第二摻雜區的一部分在垂直方向上設置於所述DTI結構的所述第三部分的上表面與所述DTI結構的所述第一部分的上表面之間。
在一些實施例中,所述第一摻雜區的第一部分設置於所述第一畫素區中且接觸所述DTI結構的所述第三部分;所述第一摻雜區的第二部分設置於所述第二畫素區中且接觸所述DTI結構的所述第三部分;所述第一摻雜區的所述第一部分具有第一摻雜濃度的第一摻雜類型摻雜劑;所述第一摻雜區的所述第二部分具有第二摻雜濃度的所述第一摻雜類型摻雜劑;且所述第一摻雜濃 度是所述第二摻雜濃度的至少百分之九十(90%)。
在又一些實施例中,所述摻雜井上覆於所述第一摻雜區的所述第一部分及所述第一摻雜區的所述第二部分二者上。
在一些實施例中,本揭露提供一種用於形成影像感測器的方法。所述方法包括在半導體基底中形成摻雜區,其中所述摻雜區藉由毯覆式摻雜製程形成。在所述半導體基底中形成摻雜井,其中所述摻雜井被形成為自所述半導體基底的第一側延伸至所述半導體基底中且被形成為與所述半導體基底的第二側在垂直方向上間隔開,其中所述半導體基底的所述第一側與所述半導體基底的所述第二側相對,且其中所述摻雜井在垂直方向上延伸至所述摻雜區中。沿所述半導體基底的所述第一側形成轉移閘,其中所述轉移閘在側向上形成於所述摻雜井的第一部分與所述摻雜井的第二部分之間。在所述摻雜井的所述第一部分中形成浮置擴散節點。在所述半導體基底中形成深溝渠隔離(DTI)結構,其中所述DTI結構自所述半導體基底的所述第二側延伸至所述半導體基底中,其中所述DTI結構形成有設置於所述摻雜井的覆蓋區內的覆蓋區,且其中形成所述DTI結構包括在所述半導體基底中形成暴露出所述摻雜區的一部分的溝渠。
在一些實施例中,所述溝渠包括橫向部分、縱向部分及相交部分。所述溝渠的所述相交部分對應於所述溝渠的其中所述溝渠的所述橫向部分與所述溝渠的所述縱向部分相交的一部分。所述溝渠的所述相交部分較所述溝渠的所述橫向部分及所述溝渠 的所述縱向部分二者更深地延伸至所述半導體基底中。
上文概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、取代及變更。
100:剖視圖
102:基底
102b:背面
102f:正面
103:畫素區
104:第一摻雜區
106:第二摻雜區
108:第三摻雜區
110:第四摻雜區
112:浮置擴散節點
114:轉移閘/轉移閘極
116:閘極介電結構
118:閘極電極結構
120:層間介電(ILD)結構
122:內連線結構
122a:導電接觸件
122b:導通孔
122c:導線
124:摻雜井
126:深溝渠隔離(DTI)結構
128:上表面
130:光偵測器
A-A:線

Claims (10)

  1. 一種影像感測器,包括:半導體基底,其中所述半導體基底包括畫素區,其中所述半導體基底具有第一側,且其中所述半導體基底具有與所述半導體基底的所述第一側相對的第二側;光偵測器,設置於所述畫素區中;第一摻雜區,設置於所述畫素區中;第二摻雜區,設置於所述畫素區中,其中所述第二摻雜區在垂直方向上設置於所述第一摻雜區與所述半導體基底的所述第一側之間;摻雜井,設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述摻雜井部分地設置於所述第二摻雜區中,且其中所述第二摻雜區的一部分在垂直方向上設置於所述摻雜井與所述半導體基底的所述第二側之間;以及深溝渠隔離結構,設置於所述半導體基底中且在側向上環繞所述畫素區,其中所述深溝渠隔離結構的覆蓋區設置於所述摻雜井的覆蓋區內。
  2. 如請求項1所述的影像感測器,其中所述深溝渠隔離結構接觸所述摻雜井。
  3. 如請求項2所述的影像感測器,其中所述深溝渠隔離結構至少部分地設置於所述摻雜井中。
  4. 如請求項1所述的影像感測器,其中所述深溝渠隔 離結構與所述摻雜井在垂直方向上間隔開。
  5. 一種影像感測器,包括:第一光偵測器,設置於半導體基底的第一畫素區中,其中所述半導體基底具有第一側及與所述第一側相對的第二側;第二光偵測器,設置於所述半導體基底的第二畫素區中;第一摻雜區,設置於所述第一畫素區及所述第二畫素區二者中;摻雜井,設置於所述半導體基底中且在側向上環繞所述第一畫素區及所述第二畫素區二者,其中所述摻雜井至少部分地設置於所述第一摻雜區中;浮置擴散節點,設置於所述摻雜井中,其中所述浮置擴散節點在側向上設置於所述第一光偵測器與所述第二光偵測器之間;以及深溝渠隔離結構,設置於所述半導體基底中且在側向上環繞所述第一畫素區及所述第二畫素區二者,其中:所述深溝渠隔離結構的覆蓋區設置於所述摻雜井的覆蓋區內;所述深溝渠隔離結構的第一部分在第一方向上在側向上延伸穿過所述半導體基底;所述深溝渠隔離結構的第二部分在垂直於所述第一方向的第二方向上在側向上延伸穿過所述半導體基底;所述深溝渠隔離結構的所述第一部分在所述深溝渠隔離 結構的第三部分處與所述深溝渠隔離結構的所述第二部分相交;所述浮置擴散節點上覆於所述深溝渠隔離結構的所述第三部分上;所述深溝渠隔離結構的所述第一部分具有第一深度;所述深溝渠隔離結構的所述第二部分具有第二深度;所述深溝渠隔離結構的所述第三部分具有第三深度;所述第三深度大於所述第一深度;且所述第三深度大於所述第二深度。
  6. 如請求項5所述的影像感測器,更包括:轉移閘,沿所述半導體基底的所述第一側設置,其中所述轉移閘具有自所述半導體基底的所述第一側在垂直方向上延伸至所述第一畫素區中的下部部分,且其中所述轉移閘的所述下部部分的下表面在垂直方向上設置於所述深溝渠隔離結構的所述第三部分的上表面與所述深溝渠隔離結構的所述第一部分的上表面之間。
  7. 如請求項5所述的影像感測器,更包括:第二摻雜區,設置於所述第一畫素區中,其中所述第二摻雜區在垂直方向上設置於所述第一摻雜區與所述半導體基底的所述第一側之間,且其中所述第二摻雜區的一部分在垂直方向上設置於所述深溝渠隔離結構的所述第三部分的上表面與所述深溝渠隔離結構的所述第一部分的上表面之間。
  8. 如請求項5所述的影像感測器,其中:所述第一摻雜區的第一部分設置於所述第一畫素區中且接觸所述深溝渠隔離結構的所述第三部分;所述第一摻雜區的第二部分設置於所述第二畫素區中且接觸所述深溝渠隔離結構的所述第三部分;所述第一摻雜區的所述第一部分具有第一摻雜濃度的第一摻雜類型摻雜劑;所述第一摻雜區的所述第二部分具有第二摻雜濃度的所述第一摻雜類型摻雜劑;且所述第一摻雜濃度是所述第二摻雜濃度的至少百分之九十。
  9. 如請求項8所述的影像感測器,其中:所述摻雜井上覆於所述第一摻雜區的所述第一部分及所述第一摻雜區的所述第二部分二者上。
  10. 一種用於形成影像感測器的方法,所述方法包括:在半導體基底中形成摻雜區,其中所述摻雜區藉由毯覆式摻雜製程形成,其中所述半導體基底包括畫素區,所述摻雜區至少部分地設置於所述畫素區中,且光偵測器被設置於所述畫素區中;在所述半導體基底中形成摻雜井,其中所述摻雜井被形成為自所述半導體基底的第一側延伸至所述半導體基底中且被形成為與所述半導體基底的第二側在垂直方向上間隔開,其中所述半導體基底的所述第一側與所述半導體基底的所述第二側相對,且其 中所述摻雜井在垂直方向上延伸至所述摻雜區中並在側向上環繞所述光偵測器;沿所述半導體基底的所述第一側形成轉移閘,其中所述轉移閘在側向上形成於所述摻雜井的第一部分與所述摻雜井的第二部分之間;在所述摻雜井的所述第一部分中形成浮置擴散節點;以及在所述半導體基底中形成深溝渠隔離結構,其中所述深溝渠隔離結構自所述半導體基底的所述第二側延伸至所述半導體基底中,其中所述深溝渠隔離結構形成有設置於所述摻雜井的覆蓋區內的覆蓋區,且其中形成所述深溝渠隔離結構包括在所述半導體基底中形成暴露出所述摻雜區的一部分的溝渠。
TW112100878A 2022-03-22 2023-01-09 影像感測器與其形成方法 TWI839066B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263322454P 2022-03-22 2022-03-22
US63/322,454 2022-03-22
US17/832,905 2022-06-06
US17/832,905 US20230307479A1 (en) 2022-03-22 2022-06-06 Image sensor having an improved structure for small pixel designs

Publications (2)

Publication Number Publication Date
TW202339238A TW202339238A (zh) 2023-10-01
TWI839066B true TWI839066B (zh) 2024-04-11

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020790A1 (en) 2020-07-16 2022-01-20 Omnivision Technologies, Inc. Cell deep trench isolation structure for near infrared improvement

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020790A1 (en) 2020-07-16 2022-01-20 Omnivision Technologies, Inc. Cell deep trench isolation structure for near infrared improvement

Similar Documents

Publication Publication Date Title
US10304886B2 (en) Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor
TWI653754B (zh) 使用雙邊多重吸收結構的量子效率方法
US11538837B2 (en) Semiconductor imaging device having improved dark current performance
CN111584528B (zh) 图像传感器及形成图像传感器的方法
US11769781B2 (en) Backside illuminated global shutter image sensor
TW202032778A (zh) 影像感測器、像素感測器與其形成方法
JP2022169429A (ja) イメージセンサ及びその形成方法
TW202023040A (zh) 影像感測器及形成其的方法
TWI839066B (zh) 影像感測器與其形成方法
TWI779773B (zh) 影像感測器及其形成方法
US20230307479A1 (en) Image sensor having an improved structure for small pixel designs
US20230261021A1 (en) Image sensor having a gate dielectric structure for improved device scaling
US20230109829A1 (en) Semiconductor imaging device having improved dark current performance
US20240021641A1 (en) Dielectric structure for small pixel designs
TWI815124B (zh) 影像感測器及其形成方法
CN112018134A (zh) 图像传感器及其形成方法
CN116504796A (zh) 图像传感器及其形成方法