TWI830983B - 極紫外光微影相移光罩 - Google Patents

極紫外光微影相移光罩 Download PDF

Info

Publication number
TWI830983B
TWI830983B TW110102823A TW110102823A TWI830983B TW I830983 B TWI830983 B TW I830983B TW 110102823 A TW110102823 A TW 110102823A TW 110102823 A TW110102823 A TW 110102823A TW I830983 B TWI830983 B TW I830983B
Authority
TW
Taiwan
Prior art keywords
pattern
layer
absorber
phase shift
shift mask
Prior art date
Application number
TW110102823A
Other languages
English (en)
Other versions
TW202201110A (zh
Inventor
徐煥錫
金成洙
丁昶榮
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202201110A publication Critical patent/TW202201110A/zh
Application granted granted Critical
Publication of TWI830983B publication Critical patent/TWI830983B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種用於極紫外光微影之相移光罩包括:基板;反射層, 位於基板上;頂蓋層,位於反射層上;緩衝圖案,位於頂蓋層上,緩衝圖案包括開口,開口暴露出頂蓋層的表面;以及吸收體圖案,位於緩衝圖案上,吸收體圖案包括較緩衝圖案的折射率小的折射率及較緩衝圖案的厚度大的厚度。緩衝圖案包含相對於吸收體圖案及頂蓋層具有蝕刻選擇性的材料。

Description

極紫外光微影相移光罩
本發明概念的實施例是有關於一種用於極紫外光(extreme ultraviolet,EUV)微影之相移光罩以及一種使用所述相移光罩製造半導體元件之方法。
[相關申請案的交叉參考]
本專利申請案主張優先於在2020年6月16日在韓國智慧財產局提出申請的韓國專利申請案第10-2020-0073145號,所述韓國專利申請案的揭露內容特此全文併入供參考。
隨著半導體元件的大小及設計規則已減小,形成更小圖案的技術的需求越來越大。為滿足該些需求,已減小微影製程中所使用的光源的波長。舉例而言,已按照g線(436奈米)、i線(365奈米)、氟化氪(krypton fluoride,KrF)雷射(248奈米)及氟化氬(argon fluoride,ArF)雷射(193奈米)的次序來發展微影製程中所使用的光源。近來,已提出一種極紫外光(EUV)微影製程,所述極紫外光(EUV)微影製程使用具有13.5奈米的半峰全 寬(full width at half maximum,FWHM)波長的極紫外光作為光源。
然而,極紫外光可被通常用於其它微影製程中的折射光學材料中的大部分吸收,且因此EUV微影製程一般而言可使用反射光學系統,而非折射光學系統。
本發明概念的一些示例性實施例可提供一種用於極紫外光微影之相移光罩,所述相移光罩能夠達成高解析度影像。
本發明概念的一些示例性實施例亦可提供一種製造半導體元件之方法,所述方法能夠提高生產率。
在態樣中,一種用於極紫外光微影之相移光罩可包括:基板;反射層,位於所述基板上;頂蓋層,位於所述反射層上;緩衝圖案,位於所述頂蓋層上,所述緩衝圖案包括開口,所述開口暴露出所述頂蓋層的表面;以及吸收體圖案,位於所述緩衝圖案上,所述吸收體圖案包括較所述緩衝圖案的折射率小的折射率及較所述緩衝圖案的厚度大的厚度。所述緩衝圖案可包含相對於所述吸收體圖案及所述頂蓋層具有蝕刻選擇性的材料。
在態樣中,一種用於極紫外光微影之相移光罩可包括:基板,位於導電層上;反射層,位於所述基板上;頂蓋層,位於所述反射層上;以及吸收體圖案,位於所述頂蓋層上,所述吸收體圖案包括開口,所述開口暴露出所述頂蓋層的表面。所述吸收體圖案可包含氮及鉻。所述吸收體圖案中的所述氮的含量可介於5 原子%至70原子%的範圍內。
在態樣中,一種用於極紫外光微影之相移光罩可包括:基板;反射層,位於所述基板上;頂蓋層,位於所述反射層上;緩衝圖案,位於所述頂蓋層上,所述緩衝圖案包括開口,所述開口暴露出所述頂蓋層的表面;以及吸收體圖案,位於所述緩衝圖案上,所述吸收體圖案包含氮及鉻。所述緩衝圖案可包含相對於所述吸收體圖案具有蝕刻選擇性的材料。所述吸收體圖案中的所述氮的含量根據距所述緩衝圖案的距離而不連續地或者緩慢地改變。
在態樣中,一種製造半導體元件之方法可包括:在晶圓上依序地堆疊蝕刻目標層與光阻層;以及使用用於極紫外光微影之相移光罩對所述光阻層實行曝光製程。所述用於極紫外光微影之相移光罩可包括:基板;反射層,位於所述基板上;頂蓋層,位於所述反射層上;緩衝圖案,位於所述頂蓋層上,所述緩衝圖案包括開口,所述開口暴露出所述頂蓋層的表面;以及吸收體圖案,位於所述緩衝圖案上,所述吸收體圖案包括較所述緩衝圖案的折射率小的折射率及較所述緩衝圖案的厚度大的厚度。所述緩衝圖案可包含相對於所述吸收體圖案及所述頂蓋層具有蝕刻選擇性的材料。
1:蝕刻目標層
2:光阻層
10:光源單元
11:極紫外光/EUV光
20:聚光器單元
22:聚光器光學裝置
32:光罩台
40:投影單元
42:投影光學裝置
50:晶圓
52:晶圓台
90:控制器
100:基板
100a:第一表面
100b:第二表面
102:主圖案區
104:子圖案區
110:下部導電層
120:反射層
122:低折射率層/最下部低折射率層
124:高折射率層/最上部高折射率層
130:頂蓋層
140:緩衝圖案
140L:緩衝層
150:吸收體圖案
150a:第一吸收體部分/第一子吸收體層
150b:第二吸收體部分/第二子吸收體層
150c:第三吸收體部分/第三子吸收體層
150L:吸收體層
150P:開口
500、501:相移光罩
1000:EUV微影設備/微影設備
BA:邊界區/黑色邊界區
IL1:第一EUV光/EUV光
IL2:第二EUV光/EUV光
MK:光罩圖案
n1、n2:折射率
PA:圖案區
RL1:反射的EUV光/第一反射EUV光
RL2:反射的EUV光/第二反射EUV光
S1:第一部分
S2:第二部分
T1:第一厚度
T2:第二厚度
T3:第三厚度
λ1:第一波長
λ2:第二波長
鑒於附圖及隨附詳細說明,本發明概念將變得更加顯而易見。
圖1是示出根據本發明概念一些示例性實施例的使用相移光罩的極紫外光(EUV)微影設備的概念圖。
圖2是示意性地示出根據本發明概念一些示例性實施例的相移光罩的平面圖。
圖3是示意性地示出根據本發明概念一些示例性實施例的相移光罩的剖視圖。
圖4A至圖4C示出根據本發明概念一些示例性實施例的吸收體圖案的詳細結構。
圖5是示出根據本發明概念一些示例性實施例的相移光罩的一部分的剖視圖。
圖6A是示出根據本發明概念一些示例性實施例的歸一化影像對數斜率(Normalized Image Log-Slope,NILS)值隨著相移光罩的吸收體圖案的厚度變化的曲線圖。
圖6B是示出根據本發明概念一些示例性實施例的透射率及相位差隨著相移光罩的吸收體圖案的厚度變化的曲線圖。
圖6C是示出根據本發明概念的示例性實施例及比較例的NILS值隨著相移光罩中的劑量的量(dose amount)變化的曲線圖。
圖7A至圖7C是示出根據本發明概念一些示例性實施例的製造圖3所示相移光罩的製程的剖視圖。
圖8是示出根據本發明概念一些示例性實施例的相移光罩的剖視圖。
圖9是示出製造圖8所示相移光罩的製程的剖視圖。
在下文中,將參照附圖詳細闡述本發明概念的實施例。
當在本說明書中結合數值使用用語「約(about)」或「實質上(substantially)」時,其意指相關聯的數值包括相對於規定數值的製造容差(例如,±10%)。另外,當結合幾何形狀使用措詞「一般而言(generally)」或「實質上」時,其意指不對幾何形狀的精度作出要求,但對形狀的寬容度亦處於本揭露的範圍內。此外,應理解,不論數值或形狀被修改成「約」還是「實質上」,該些數值及形狀皆應被視為包括相對於規定數值或形狀的製造容差或操作容差(例如,±10%)。
儘管本文中可能使用用語「第一」、「第二」、「第三」等來闡述各種部件(element)、組件、區、層、及/或區段,然而該些部件、組件、區、層、及/或區段不應受限於該些用語。該些用語僅用於區分各個部件、組件、區、層、或區段。因此,在不背離本揭露的條件下,以下所論述的第一部件、組件、區、層、或區段亦可被稱為第二部件、組件、區、層、或區段。
在本文中,為易於說明,可使用例如「向上(up)」、「向下(down)」、「頂部(top)」、「底部(bottom)」等空間相對性用語來闡述圖中所例示的一個部件或特徵與另一(其他)部件或特徵的關係。應理解,所述空間相對性用語旨在除圖中所繪示的定向外亦囊括元件在使用或操作中的不同定向。所述元件亦可具有 其他定向(例如,旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語將相應地進行解釋。另外,當一部件被稱為位於兩個部件「之間(between)」時,所述部件可為所述兩個部件之間的唯一部件,或者可存在一或多個其他中間部件。
圖1是示出根據本發明概念一些示例性實施例的使用相移光罩的極紫外光(EUV)微影設備的概念圖。
參照圖1,EUV微影設備1000可包括光源單元(optical source unit)10、聚光器單元(condenser unit)20、投影單元40及控制器90。
光源單元10可被配置成產生極紫外光11(EUV光;例如,具有約13.5奈米的半峰全寬(FWHM)波長的光)。聚光器單元20可被配置成引導自光源單元10產生的EUV光11,使得EUV光11被照射至相移光罩500。聚光器單元20可包括聚光器光學裝置(condenser optics)22(例如,透鏡及/或鏡子)。聚光器光學裝置22可被配置成聚集及/或反射EUV光11,以將EUV光11引導至相移光罩500。EUV光11可藉由聚光器單元20傾斜地入射至相移光罩500。
相移光罩500可設置於光罩台(mask stage)32上,且光罩台32可被配置成移動相移光罩500。舉例而言,光罩台可被配置成在與EUV光11所入射的表面橫向的第一軸線及/或第二軸線上(例如,向前及向後、及/或向左及向右)移動、在與EUV光11所入射的表面垂直的第三軸線上(例如,向上及向下)移動、 及/或圍繞第一軸線、第二軸線及/或第三軸線使相移光罩500傾斜(例如,滾動、俯仰(pitch)、及/或偏轉(yaw))。光源單元10及光罩台32可由控制器90控制。控制器90可為被配置成控制EUV微影設備1000的操作的電子控制器,且可例如包括:處理電路系統,例如包括邏輯電路的硬體;硬體/軟體組合,例如執行軟體的處理器;或者其組合。舉例而言,處理電路系統更具體而言可包括但不限於中央處理單元(central processing unit,CPU)、算術邏輯單元(arithmetic logic unit,ALU)、數位訊號處理器、微型電腦、現場可程式閘陣列(field programmable gate array,FPGA)、及可程式邏輯單元、微處理器、專用積體電路(application-specific integrated circuit,ASIC)等。
相移光罩500可被配置成反射EUV光11,且將光罩圖案影像包括至反射的EUV光中。相移光罩500可入射至投影單元40。投影單元40可被配置成將相移光罩500的光罩圖案影像投影於晶圓50上。投影單元40可包括投影光學裝置(projection optics)42(例如,透鏡及/或鏡子)。投影光學裝置42可被配置成使用自相移光罩500反射的EUV光11以預定的(及/或可選地期望的)放大率(例如,1/4、1/6、或1/8)來縮小相移光罩500的光罩圖案影像,且將縮小後的光罩圖案影像投影至晶圓50上。在晶圓50上可依序地堆疊有蝕刻目標層1與光阻層2。EUV光11可穿過投影單元40,且然後可照射至晶圓50上,且因此可將與相移光罩500的光罩圖案影像對應的圖案轉印至光阻層2上。在此曝光製程 之後,可實行顯影製程以形成光阻圖案。可使用光阻圖案對蝕刻目標層1進行蝕刻。晶圓50可裝載於晶圓台52上,且晶圓台52可被配置成移動晶圓50以改變晶圓50中的曝光區。舉例而言,晶圓台52可被配置成在與投射至晶圓上的EUV光11所入射的表面橫向的第一軸線及/或第二軸線上(例如,向前及向後、及/或向左及向右)移動、在與投射至晶圓上的EUV光11所入射的表面垂直的第三軸線上(例如,向上及向下)移動、及/或圍繞第一軸線、第二軸線及/或第三軸線使晶圓台52傾斜(例如,滾動、俯仰及/或偏轉)。可藉由例如獨立控制及/或由控制器90控制來控制晶圓台52的裝載、卸載及移動。可在真空狀態下實行使用相移光罩500的曝光製程。
圖2是示意性地示出根據本發明概念一些示例性實施例的相移光罩的平面圖。圖3是示意性地示出根據本發明概念一些示例性實施例的相移光罩的剖視圖。
參照圖2及圖3,相移光罩500可包括基板100、反射層120、頂蓋層130、緩衝圖案140、吸收體圖案150、及下部導電層110。相移光罩500可為反射衰減相移光罩。
基板100可包含具有低熱膨脹係數的材料。舉例而言,基板100可包含玻璃及/或矽(Si)。基板100可包括圖案區PA及邊界區BA,將被轉印及/或投影至晶圓上的圖案(例如,光罩圖案影像)設置於圖案區PA上,邊界區BA圍繞圖案區PA。邊界區BA可被配置成防止及/或減少來自邊界區BA的EUV光的反 射,且可例如由於缺少反射光而被稱為黑色邊界區。圖案區PA可包括主圖案區102及子圖案區104。主圖案區102可為被配置成將用於形成積體電路的主圖案轉印及/或投影至晶圓50(參見圖1)的晶片區上的區,且子圖案區104可為被配置成將輔助圖案轉印及/或投影至晶圓50(參見圖1)的切割道(scribe line)區上的區。當在平面圖中觀察時,邊界區BA可環繞圖案區PA。儘管未在圖式中示出,但用於對準圖1所示微影設備1000中的相移光罩500的對準標記及/或用於識別相移光罩500的識別標記可設置於邊界區BA上。
反射層120可位於基板100的第一表面100a上。反射層120可位於圖案區PA上且可延伸至邊界區BA上。反射層120可被配置成反射入射至反射層120的光(例如,圖1所示EUV光11)。反射層120可包括布拉格(Bragg)反射器。舉例而言,反射層120可包括其中交替且重複地堆疊有低折射率層122與高折射率層124的多層式結構。舉例而言,低折射率層122與高折射率層124可交替地堆疊約40次至約60次。低折射率層122可包含例如鉬(Mo),且高折射率層124可包含例如矽(Si)。在一些實施例中,最下部低折射率層122可與反射層120的最下部層對應,且最上部高折射率層124可與反射層120的最上部層對應。
根據一些實施例,反射層120可包括第一部分S1及第二部分S2。第一部分S1可包括其中在圖案區PA上交替地堆疊有低折射率層122與高折射率層124的多層式結構,且第二部分S2 可包括其中在邊界區BA上低折射率層122與高折射率層124彼此混雜的結構。舉例而言,在邊界區BA上的反射層120的第二部分S2中,交替堆疊的低折射率層122與高折射率層124之間的邊界及/或介面可能未被良好地界定及/或不平整;且可在介面處形成包含包括低折射率層122及高折射率層124的材料梯度的複合物(composite)。舉例而言,在其中低折射率層122及高折射率層124分別包含Mo及Si的示例性實施例中,矽化鉬(如MoSi2)可包括於層之間及/或混雜於層之間。可藉由混雜結構來減少入射至反射層120的第二部分S2的光(例如,圖1所示EUV光11)的反射率,且因此第二部分S2可用作黑色邊界區。
下部導電層110可位於基板100的第二表面100b上,第二表面100b與基板100的第一表面100a相對。下部導電層110可利用插置於下部導電層110與反射層120之間的基板100與反射層120間隔開。下部導電層110可包含導電材料(例如,CrN)。下部導電層110可被配置用於靜電卡盤的操作,且可例如用於加載光罩台(例如,圖1所示光罩台32)上的用於EUV微影的相移光罩(例如,圖1所示相移光罩500)。
頂蓋層130可位於反射層120上。舉例而言,反射層120可插置於頂蓋層130與基板100之間。頂蓋層130可位於圖案區PA上且可延伸至邊界區BA上。頂蓋層130可被配置成保護反射層120且防止反射層120的表面被氧化。頂蓋層130可包含金屬(例如,釕(Ru))。
吸收體圖案150可位於頂蓋層130上。舉例而言,頂蓋層130可插置於反射層120與吸收體圖案150之間。吸收體圖案150可位於圖案區PA及邊界區BA上,且吸收體圖案150中所包括的結構之間的開口150P可暴露出頂蓋層130的頂表面。
緩衝圖案140可插置於頂蓋層130與吸收體圖案150之間。緩衝圖案140中的每一者可插置於頂蓋層130與吸收體圖案150中的每一者之間。開口150P可在緩衝圖案140中所包括的結構之間延伸,以暴露出頂蓋層130的頂表面。
緩衝圖案140可包含與頂蓋層130及/或吸收體圖案150中所包含的材料不同的材料。舉例而言,緩衝圖案140可包含相對於頂蓋層130及吸收體圖案150具有蝕刻選擇性的材料。緩衝圖案140可被稱為蝕刻停止圖案。緩衝圖案140可包含含矽材料、含金屬材料、金屬氮化物、及/或金屬氧化物。緩衝圖案140可包含例如以下中的至少一者:矽(Si)、氮化矽(SiN)、氧化矽(SiO2)、氮氧化矽(SiON)、硼酸鉭(TaBO)、氧化鉭(TaO)、氮氧化鉭(TaON)、氮化鉭(TaN)、氮化硼化鉭(TaBN)、氮化鈦(TiN)、鈮(Nb)、及/或鉭(Ta)。
可暴露出吸收體圖案150的頂表面。舉例而言,吸收體圖案150可為相移光罩500的上部層且被暴露出而未被任何物覆蓋。吸收體圖案150可被稱為相移圖案。吸收體圖案150可包含氮(原子)及鉻(原子)。吸收體圖案150可更包含氧(原子)。吸收體圖案150可包含例如氮化鉻(CrN)及/或氮氧化鉻(CrON) 中的至少一者。吸收體圖案150的折射率及消光係數可端視吸收體圖案150的氮含量、密度、及/或沈積條件而改變。舉例而言,吸收體圖案150中的氮(原子)的含量可介於5原子%至70原子%的範圍內。吸收體圖案150相對於EUV光的折射率可介於例如0.925至0.935的範圍內,且吸收體圖案150相對於EUV光的消光係數可介於0.03至0.04的範圍內。
根據距頂蓋層130的頂表面的距離,可逐步、不連續地、逐漸地及/或連續地改變吸收體圖案150中的氮含量。舉例而言,吸收體圖案150可具有其中氮與鉻的組成在各處是均勻的單層式結構,及/或吸收體圖案150可具有包括具有氮與鉻的不同組成的二或更多個層的多層式結構。以下將參照圖4A及圖4B闡述多層式結構。
圖4A至圖4C示出根據本發明概念一些示例性實施例的吸收體圖案的詳細結構。
參照圖4A,吸收體圖案150可包括第一吸收體部分150a及位於第一吸收體部分150a上的第二吸收體部分150b。第一吸收體部分150a及第二吸收體部分150b可各自包含氮及鉻。第一吸收體部分150a的氮含量可不同於第二吸收體部分150b的氮含量。舉例而言,第一吸收體部分150a的氮含量可大於第二吸收體部分150b的氮含量。儘管示出為不同的部分,但第一吸收體部分150a與第二吸收體部分150b之間的介面可能由於例如介面處氮及/或鉻含量的一些遷移而為可見的、不可見的及/或不清晰的。
參照圖4B,吸收體圖案150可更包括位於第二吸收體部分150b上的第三吸收體部分150c。第一吸收體部分150a、第二吸收體部分150b及第三吸收體部分150c可包含氮及鉻。第一吸收體部分150a的氮含量可大於第三吸收體部分150c的氮含量且可小於第二吸收體部分150b的氮含量。第一吸收體部分150a、第二吸收體部分150b及第三吸收體部分150c之間的邊界部分可為可見的、不可見的及/或不清晰的。在圖4A及圖4B中,第一吸收體部分150a、第二吸收體部分150b及/或第三吸收體部分150c中的一者可更包含氧。第一吸收體部分150a、第二吸收體部分150b及/或第三吸收體部分150c可分別被稱為第一子吸收體層、第二子吸收體層及第三子吸收體層。換言之,吸收體圖案150可包括依序堆疊的第一子吸收體層150a、第二子吸收體層150b及第三子吸收體層150c,且第一子吸收體層150a、第二子吸收體層150b及第三子吸收體層150c中的氮含量可彼此不同。
作為另外一種選擇,如圖4C,吸收體圖案150中的氮含量可隨著距頂蓋層130的頂表面的距離增加而逐漸且連續地增加,及/或吸收體圖案150中的氮含量可隨著距頂蓋層130的頂表面的距離增加而逐漸且連續地減少。
圖5是示出根據本發明概念一些示例性實施例的相移光罩的一部分的剖視圖。
參照圖5,頂蓋層130可在與基板100的第一表面100a垂直的方向上具有第一厚度T1。緩衝圖案140可在與基板100的 第一表面100a垂直的方向上具有第二厚度T2。吸收體圖案150可在與基板100的第一表面100a垂直的方向上具有第三厚度T3。第三厚度T3可大於第一厚度T1及第二厚度T2。吸收體圖案150可具有較真空的折射率n1小的折射率n2。舉例而言,在以真空的折射率n1歸一化的折射率標度(scale)中,折射率n2可小於1。吸收體圖案150的折射率n2可小於緩衝圖案140的折射率。吸收體圖案150的消光係數可大於頂蓋層130的消光係數及緩衝圖案140的消光係數。
當吸收體圖案150由氮化鉻形成且具有例如約10原子%的氮含量時,藉由菲涅耳(Fresnel)方程式計算的氮化鉻的折射率的實驗值(n)被確定為約0.927,且氮化鉻的消光係數被確定為約0.039。當緩衝圖案140由矽形成時,緩衝圖案140的折射率可為約1。當緩衝圖案140由TaBN形成時,緩衝圖案140的折射率可為約0.949。
參照圖5,第一EUV光IL1及第二EUV光IL2可入射至基板100的第一表面100a。第一EUV光IL1及第二EUV光IL2可具有例如第一波長λ1(例如,約13.5奈米的第一FWHM波長)。第一EUV光IL1可穿行過開口150P,且然後可自反射層120的表面被反射,進而被形成為第一反射EUV光RL1。第二EUV光IL2可穿行過吸收體圖案150,且然後可自反射層120的表面被反射,進而被形成為第二反射EUV光RL2。第二EUV光IL2的一部分可在吸收體圖案150中被吸收,且因此第二反射EUV光RL2的振 幅(amplitude)可小於第二EUV光IL2的振幅。
吸收體圖案150可被配置成吸收第二EUV光IL2的一部分。因此,入射至吸收體圖案150的第二EUV光IL2的反射率可小於入射至開口150P的第一EUV光IL1的反射率。入射至吸收體圖案150的第二EUV光IL2的反射率可端視吸收體圖案150的材料的消光係數(k)及/或吸收體圖案150的厚度而改變。舉例而言,入射至吸收體圖案150的第二EUV光IL2的反射率可隨著吸收體圖案150的材料的消光係數(k)減小及/或隨著吸收體圖案150的厚度減小而增加。
吸收體圖案150可被配置成對第二反射EUV光RL2的相位進行移位。舉例而言,穿過材料的光的波長可隨著材料的折射率降低而增加。由於吸收體圖案150的折射率小於真空的折射率,因此真空中的第二EUV光IL2的第一波長λ1可被增加至吸收體圖案150中的第二波長λ2。由於吸收體圖案150中的波長的改變,因此經由吸收體圖案150發出的第二反射EUV光RL2的相位可不同於第一反射EUV光RL1的相位。此相位差可隨著吸收體圖案150的材料的折射率降低及/或隨著吸收體圖案150的厚度增加而增加。
頂蓋層130的第一厚度T1及緩衝圖案140的第二厚度T2可小於第一波長λ1。因此,頂蓋層130及緩衝圖案140可對第二反射EUV光RL2的相移具有相對弱的影響。吸收體圖案150的第三厚度T3可大於第一波長λ1。因此,吸收體圖案150可主要 影響第二反射EUV光RL2的相移。
在一些示例性實施例中,第一厚度T1及第二厚度T2中的每一者可介於EUV光IL1或IL2的第一波長λ1的約29%至約75%的範圍內。第三厚度T3可介於EUV光IL1及/或IL2的第一波長λ1的約296%至約408%的範圍內。舉例而言,當例如EUV光IL1或IL2的第一波長λ1為約13.5奈米時,第一厚度T1及第二厚度T2可各自獨立地介於約4奈米至約10奈米的範圍內,且第三厚度T3可介於約40奈米至約55奈米的範圍內。由於吸收體圖案150具有第三厚度T3,因此第二反射EUV光RL2可相對於第一反射EUV光RL1具有約170度至約235度的相位差。
藉由相位差,在第二反射EUV光RL2與第一反射EUV光RL1之間可能出現相消干涉。當對圖1所示光阻層2實行使用相移光罩500的曝光製程時,照射至與吸收體圖案150對應的光阻層的區的EUV光的強度可藉由反射的EUV光RL1與反射的EUV光RL2之間的相消干涉而降低。舉例而言,投影於光阻層上的影像可具有高的歸一化影像對數斜率(NILS),且因此可容易地在光阻層上達成高解析度影像。
圖6A是示出根據本發明概念一些示例性實施例的歸一化影像對數斜率(NILS)值隨著相移光罩的吸收體圖案的厚度變化的曲線圖。
參照圖6A,製備樣本,在樣本中將具有4奈米的厚度的包含釕的層用作頂蓋層130,將具有4奈米的厚度的包含TaBO 的層用作緩衝圖案140,且將包含氮化鉻(CrN)的層用作吸收體圖案150。此處,在整個吸收體圖案150中,氮含量均勻地固定至約10原子%。在一個樣本中,吸收體圖案150具有節距為36奈米(1x)的線與間距(line-and-space,L/S)圖案形狀(例如,線圖案的寬度為18奈米且線圖案之間的間距為18奈米)。在另一樣本中,吸收體圖案150具有節距相同的接觸孔結構圖案形狀。模擬出NILS值隨著樣本中的吸收體圖案150的厚度變化,且模擬結果示出於圖6A中。在圖6A中,當由氮化鉻(CrN)形成的吸收體圖案的厚度為約48.5奈米時,L/S圖案及接觸孔結構圖案二者示出最大NILS值(例如,L/S圖案的NILS值為約2.75)。另外,當吸收體圖案的厚度為約42奈米時示出非常高的NILS值(例如,L/S圖案的NILS值為約2.70)。總體而言,當吸收體圖案150的厚度介於約40奈米至約55奈米的範圍內時示出極佳的NILS值(例如,L/S圖案的NILS值介於2.35至約2.75的範圍內)。因此,根據圖6A,具有處於約40奈米至約55奈米之間的厚度的由氮化鉻(CrN)形成的吸收體圖案150可表現出極佳的NILS值。
圖6B是示出根據本發明概念一些示例性實施例的透射率及相位差隨著相移光罩的吸收體圖案的厚度變化的曲線圖。
參照圖6B,模擬出在與圖6A相同的條件下,相移光罩中的透射率及相位差隨著具有L/S圖案形狀的吸收體圖案的厚度變化,且模擬結果示出於圖6B中。此處,透射率可為相對於反射層120的相對反射率(例如,透射率=RABS/RML,其中RABS表示吸 收體圖案150的反射率且RML表示反射層120的反射率)。根據圖6B,隨著吸收體圖案的厚度增加,透射率可能降低,但相位差可能增加。當吸收體圖案150的厚度介於約40奈米至約55奈米的範圍內時,相位差可介於約170度至約235度的範圍內,且透射率可介於約0.8%至約7.5%的範圍內。當由氮化鉻(CrN)形成的吸收體圖案的厚度為約48.5奈米時,相位差可為約216度,且透射率可為約3.5%。具有帶有約48.5奈米的厚度的由氮化鉻(CrN)形成的吸收體圖案的相移光罩500可抑制當釕及/或鉬被施加至吸收體圖案時出現的旁瓣缺陷(sidelobe defect)。因此,可將相移光罩500應用於製造包括邏輯元件的所有半導體元件的製程。
圖6C是示出根據本發明概念的示例性實施例及比較例的NILS值隨著相移光罩中的劑量的量變化的曲線圖。
參照圖6C,類似於圖6A的條件,根據本發明概念示例性實施例的相移光罩被設定為包括具有4奈米的厚度的釕層作為頂蓋層130、具有4奈米的厚度的TaBO的層作為緩衝圖案140、以及具有48.5奈米的厚度的氮化鉻(CrN)層作為吸收體圖案150。此處,吸收體圖案具有L/S圖案形狀。另外,根據比較例的相移光罩被設定為包括具有54.5奈米的厚度的TaBN的層作為吸收體圖案,且根據比較例的相移光罩的其他結構與根據上述包含CrN的示例性實施例的相移光罩的對應結構相同。模擬出NILS值隨著相移光罩中的光源的劑量的量變化,且模擬結果示出於圖6C中。如圖6C中所示,根據本發明概念實施例的相移光罩的NILS 值總體上高於根據比較例的相移光罩的值。舉例而言,在約67兆焦耳的劑量的量下,比較例的NILS值為約2.5,但在相同劑量的量(67兆焦耳)下,實施例的NILS值為約2.725。換言之,與比較例相比,示例性實施例的NILS值可增加約9%。另外,示例性實施例可能需要約50兆焦耳的劑量的量以具有與比較例相同的NILS值(約2.5),且因此劑量的量可減少約25%。因此,當使用根據示例性實施例的相移光罩500時,可藉由提高通量(throughput)來提高生產率。另外,可提高圖案化品質。舉例而言,可降低線邊緣粗糙度(line edge roughness,LER)、局部臨界尺寸均勻性(local critical dimension uniformity,LCDU)、單線開路(single line open,SLO)及/或缺失接觸(missing contact)。因此,當使用相移光罩500對光阻層實行曝光製程時,可形成具有精細節距及精確形狀的光阻圖案。可使用光阻圖案對蝕刻目標層進行蝕刻。由於使用光阻圖案實行圖案化製程,因此可提供及/或達成製造半導體元件的方法,所述方法能夠減少製程缺陷且提高生產率。
圖7A至圖7C是示出根據本發明概念一些示例性實施例的製造圖3所示相移光罩的製程的剖視圖。
參照圖7A,可提供基板100。基板100可包含具有低熱膨脹係數的材料。舉例而言,基板100可包含玻璃及/或矽(Si)。基板100可包括圖案區PA及黑色邊界區BA,如參照圖2所述。
可在基板100的第二表面100b上形成下部導電層110。 下部導電層110可包含例如CrN,且可使用濺鍍沈積製程形成。
可在基板100的第一表面100a上形成反射層120。反射層120的形成可包括在基板100的第一表面100a上交替且重複地形成低折射率層122與高折射率層124。低折射率層122與高折射率層124可例如交替地堆疊約40次至約60次,且可使用例如濺鍍沈積製程形成。在一些示例性實施例中,反射層120的形成可包括對邊界區BA上的反射層120的第二部分S2實行雷射退火製程。因此,可藉由雷射退火製程將第二部分S2的低折射率層122與高折射率層124彼此混雜。因此,反射層120可包括第一部分S1以及第二部分S2,在第一部分S1中,低折射率層122與高折射率層124交替地堆疊於圖案區PA上,在第二部分S2中,低折射率層122與高折射率層124在邊界區BA上彼此混雜。
可在反射層120上形成頂蓋層130。頂蓋層130可包含例如釕,且可使用濺鍍沈積製程形成。頂蓋層130可被形成為具有第一厚度T1,如參照圖5所述。
可在頂蓋層130上形成緩衝層140L。緩衝層140L可被形成為具有第二厚度T2,如參照圖5所述。緩衝層140L可包含相對於頂蓋層130具有蝕刻選擇性的材料。緩衝層140L可被稱為蝕刻停止層。另外,緩衝層140L可包含相對於稍後將闡述的吸收體層150L具有蝕刻選擇性的材料。舉例而言,緩衝層140L可包含Si、SiN、SiO2、SiON、TaBO、TaO、TaON、TaN、TaBN、TiN、Nb、及/或Ta中的至少一者。可例如基於在後續製程中用於形成 吸收體圖案150的蝕刻氣體的種類來選擇緩衝層140L的材料。可使用例如化學氣相沈積(chemical vapor deposition,CVD)製程及/或濺鍍沈積製程來形成緩衝層140L。
可在緩衝層140L上形成吸收體層150L。吸收體層150L可被形成為具有第三厚度T3,如參照圖5所述。可使用例如化學氣相沈積(CVD)製程及/或濺鍍沈積製程來形成吸收體層150L。吸收體層150L可被形成為包含氮及鉻。吸收體層150L可更包含氧。吸收體層150L可由其中氮與鉻的組成在各處是均勻的單個層形成及/或吸收體層150L可由其中氮與鉻的組成端視高度而改變的多個層形成。吸收體層150L可被形成為具有參照圖4A、圖4B及/或圖4C闡述的氮含量分佈。為達成該結果,當沈積吸收體層150L時,可改變包含氮的氣體的流動速率及/或濺鍍條件。吸收體層150L可更包含氧。吸收體層150L可包含氮化鉻(CrN)及/或氮氧化鉻(CrON)中的至少一者。
參照圖7B,可向邊界區BA上的反射層120的第二部分S2照射雷射,且因此第二部分S2可被退火以形成混雜結構。
參照圖7B及圖7C,可在吸收體層150L上形成光罩圖案MK。光罩圖案MK可由與緩衝層140L相同的材料及/或與緩衝層140L的材料不同的材料形成。舉例而言,光罩圖案MK可為光阻圖案。作為另外一種選擇,光罩圖案MK可為硬光罩圖案,且光罩圖案MK可包含含矽材料、含金屬材料、金屬氮化物、及/或金屬氧化物。舉例而言,光罩圖案MK可包含SiN、SiO2、SiON、 TaBO、TaO、TaON、TaN、TaBN、TiN、Nb、及/或Ta中的至少一者。可例如基於在後續製程中吸收體圖案150的形成中將使用的蝕刻氣體的種類來選擇光罩圖案MK的材料。
可使用光罩圖案MK作為蝕刻光罩來對吸收體層150L進行蝕刻,以形成吸收體圖案150及開口150P,開口150P暴露出吸收體圖案150中所包括的結構之間的緩衝層140L的頂表面。對吸收體層150L進行蝕刻可包括使用蝕刻氣體,例如包含氟的氟系蝕刻氣體及/或包含氯的氯系蝕刻氣體。氟系蝕刻氣體可為例如SF6、CF4、及/或CHF3。氯系蝕刻氣體可為例如Cl2。當吸收體層150L被氟系蝕刻氣體蝕刻時,光罩圖案MK及緩衝層140L可包含例如SiN、SiO2、SiON、TaBO、TaO、及/或TaON。當吸收體層150L被氯系蝕刻氣體蝕刻時,光罩圖案MK及緩衝層140L可包含例如TaN、TaBN、TiN、Nb、及/或Ta。
參照圖7C及圖3,可實行非等向性蝕刻製程來移除光罩圖案MK。此時,緩衝層140L亦可被蝕刻以形成緩衝圖案140且暴露出頂蓋層130的頂表面。頂蓋層130可相對於緩衝層140L具有極佳的蝕刻選擇性,且因此頂蓋層130在非等向性蝕刻製程中可能幾乎不會被損壞。因此,可製造出圖3所示相移光罩500。
隨後,可對相移光罩500進行清潔,且可實行檢查製程以查看相移光罩500的表面處是否存在被蝕刻損壞的部分。當存在被蝕刻損壞的所述部分時,可實行修復製程。可使用包括氙(Xe)及/或氟(F)氣體的修復氣體來實行修復製程。
同時,若緩衝層140L不存在,則頂蓋層130的頂表面可能由於在例如吸收體層150L的氮化鉻與頂蓋層130的釕之間可能幾乎不存在蝕刻選擇性而被形成吸收體圖案150的蝕刻製程損壞。在此種情形中,頂蓋層130的釕可能不與修復製程中所使用的修復氣體發生反應,且因此可能難以修復頂蓋層130的頂表面的被損壞的所述部分。然而,根據本發明概念的一些示例性實施例,可使用相對於頂蓋層130及吸收體層150L具有極佳的蝕刻選擇性的緩衝層140L來防止對頂蓋層130的頂表面的蝕刻損壞,且因此,可製造能夠減少製程缺陷且提高生產率的高品質相移光罩。
若吸收體圖案150由不同的材料(例如,釕、鉬、鈀、銠、鉑、及/或銀),而非氮化鉻(CrN)及/或氮氧化鉻(CrON)形成,則可能難以使用包含氟及/或氯的蝕刻氣體實行蝕刻製程。因此,製程缺陷可能增加,且生產率可能降低。因此,在本發明概念的一些示例性實施例中,可使用具有高可行性的氮化鉻作為吸收體圖案150,且因此可提高生產率。
圖8是示出根據本發明概念一些示例性實施例的相移光罩的剖視圖。
參照圖8,在根據本實施例的相移光罩501中,緩衝層140L可直接位於反射層120上。舉例而言,反射層120的整個頂表面可與緩衝層140L直接接觸。此處,緩衝層140L可由含矽層形成。舉例而言,緩衝層140L可包含Si、SiN、SiO2、及/或SiON中的至少一者。吸收體圖案150可具有與參照圖3至圖5所闡述 相同的材料及結構。吸收體圖案150可位於緩衝層140L上。舉例而言,吸收體圖案150可直接位於緩衝層140L上。緩衝層140L可在形成吸收體圖案150時用作蝕刻停止層且亦可用作防止反射層120的蝕刻損壞及/或保護反射層120的頂蓋層。舉例而言,緩衝層140L可防止反射層的氧化。在本實施例中,緩衝層140L可被稱為蝕刻停止層及/或頂蓋層。緩衝層140L可具有參照圖5闡述的第二厚度T2。吸收體圖案150可具有參照圖5闡述的第三厚度T3。第二厚度T2及第三厚度T3可與上述相同。緩衝層140L的折射率可大於吸收體圖案150的折射率。緩衝層140L的頂表面可藉由吸收體圖案150中所包括的結構之間的開口150P暴露出。其他結構可與參照圖3至圖5所闡述相同/相似。
圖9是示出製造圖8所示相移光罩的製程的剖視圖。為避免冗餘,將省略與製造具有相同參考編號的組件的製程相關聯的附加說明,且將主要闡述製程之間的不同之處。
參照圖9,可在基板100的第二表面100b上形成下部導電層110。可在基板100的第一表面100a上依序地形成反射層120、緩衝層140L及吸收體層150L。此時,可省略頂蓋層130。可例如利用雷射照射反射層120,以在反射層120的第二部分S2中形成混雜結構。可在吸收體層150L上形成光罩圖案MK。光罩圖案MK可由相對於吸收體層150L及緩衝層140L二者具有蝕刻選擇性的材料形成。舉例而言,光罩圖案MK可為光阻圖案。作為另外一種選擇,光罩圖案MK可包含TaBO、TaO、TaON、TaN、 TaBN、TiN、Nb、及/或Ta中的至少一者。可使用光罩圖案MK作為蝕刻光罩來蝕刻吸收體層150L,以形成吸收體圖案150且藉由吸收體圖案150中所包括的結構之間的開口150P暴露出緩衝層140L的頂表面。接下來,參照圖8,可選擇性地移除光罩圖案MK以暴露出吸收體圖案150的頂表面。
可在根據本實施例的相移光罩501中省略由釕形成的頂蓋層130(參見圖3),且因此可簡化製程且可提高良率。
根據本發明概念的用於EUV微影的相移光罩可包括包含鉻及氮的吸收體圖案,且因此可達成高解析度影像。吸收體圖案可具有相較於緩衝圖案更小的折射率及更大的厚度,且因此可增加EUV光的相移度。因此,可使用相移光罩容易地達成高解析度影像。另外,當將含矽層用作緩衝層時,可省略由釕形成的頂蓋層。在此種情形中,可簡化結構及製程。因此,可提供用於EUV微影的相移光罩,所述相移光罩能夠達成高解析度影像。
根據本發明概念的製造半導體元件的方法可使用用於EUV微影的相移光罩來減少製程缺陷且提高生產率。
在製造用於EUV微影的相移光罩的方法中,根據本發明概念的一些示例性實施例,緩衝層及光罩圖案可由相對於吸收體圖案及頂蓋層具有極佳的蝕刻選擇性的材料形成。因此,可減少製程缺陷且可提高生產率。
儘管已參照一些示例性實施例闡述了本發明概念,然而對熟習此項技術者而言將顯而易見的是,在不背離本發明概念的 精神及範圍的條件下,可作出各種改變及修改。因此,應理解,以上實施例並非限制性的,而為例示性的。因此,本發明概念的範圍將由以下申請專利範圍及其等效範圍所許可的最廣範圍的解釋來確定,而不應受上述說明約束或限制。
100:基板
100a:第一表面
100b:第二表面
110:下部導電層
120:反射層
122:低折射率層/最下部低折射率層
124:高折射率層/最上部高折射率層
130:頂蓋層
140:緩衝圖案
150:吸收體圖案
150P:開口
500:相移光罩
BA:邊界區/黑色邊界區
PA:圖案區
S1:第一部分
S2:第二部分

Claims (19)

  1. 一種相移光罩,用於極紫外光微影,包括:基板;反射層,位於所述基板上;頂蓋層,位於所述反射層上;緩衝圖案,位於所述頂蓋層上,所述緩衝圖案包括開口,所述開口暴露出所述頂蓋層的表面;以及吸收體圖案,位於所述緩衝圖案上,所述吸收體圖案包括較所述緩衝圖案的折射率小的折射率及具有較所述緩衝圖案的厚度大的厚度,其中所述緩衝圖案包含相對於所述吸收體圖案及所述頂蓋層具有蝕刻選擇性的材料,其中所述吸收體圖案包括含有鉻(Cr)及氮(N)的第一區以及含有鉻(Cr)及氮(N)的第二區,且其中氮的含量在所述第一區和所述第二區之間不連續地或者逐漸地改變。
  2. 如請求項1所述的相移光罩,其中所述吸收體圖案包含氮化鉻(CrN)及氮氧化鉻(CrON)中的至少一者。
  3. 如請求項2所述的相移光罩,其中所述吸收體圖案中的氮(N)的含量介於5原子%至70原子%的範圍內。
  4. 如請求項1所述的相移光罩,其中所述吸收體圖案的所述第一區包括第一子吸收體層且所述吸收體圖案的所述第二 區包括第二子吸收體層,且所述第一子吸收體層與所述第二子吸收體層依序堆疊。
  5. 如請求項1所述的相移光罩,其中所述吸收體圖案的所述厚度介於40奈米至55奈米的範圍內,且所述緩衝圖案的所述厚度介於4奈米至10奈米的範圍內。
  6. 如請求項1所述的相移光罩,其中所述緩衝圖案包含以下中的至少一者:矽(Si)、氮化矽(SiN)、氧化矽(SiO2)、氮氧化矽(SiON)、硼酸鉭(TaBO)、氧化鉭(TaO)、氮氧化鉭(TaON)、氮化鉭(TaN)、氮化硼化鉭(TaBN)、氮化鈦(TiN)、鈮(Nb)、及鉭(Ta)。
  7. 如請求項1所述的相移光罩,其中所述吸收體圖案被配置成使經由所述吸收體圖案反射的極紫外光相對於經由所述開口反射的極紫外光包括170度至235度的相位差。
  8. 一種相移光罩,用於極紫外光微影,包括:基板,位於導電層上;反射層,位於所述基板上;頂蓋層,位於所述反射層上;以及吸收體圖案,位於所述頂蓋層上,所述吸收體圖案包括開口,所述開口暴露出所述頂蓋層的表面,其中所述基板包括邊界區及圖案區,其中所述反射層包括交替堆疊的低折射率層與高折射率層,其中所述邊界區上的所述低折射率層與所述高折射率層彼此 混雜其中所述吸收體圖案包含氮及鉻,且其中所述吸收體圖案中的氮的含量介於5原子%至70原子%的範圍內。
  9. 如請求項8所述的相移光罩,其中所述頂蓋層包含相對於所述吸收體圖案具有蝕刻選擇性的材料,且所述吸收體圖案的厚度大於所述頂蓋層的厚度。
  10. 如請求項9所述的相移光罩,其中所述頂蓋層包含矽(Si)、氮化矽(SiN)、氧化矽(SiO2)、及氮氧化矽(SiON)中的至少一者。
  11. 如請求項8所述的相移光罩,其中所述吸收體圖案更包含氧。
  12. 如請求項8所述的相移光罩,其中所述吸收體圖案中的所述氮的含量根據高度而不連續地或者緩慢地改變。
  13. 如請求項8所述的相移光罩,更包括:緩衝圖案,位於所述頂蓋層與所述吸收體圖案之間,其中所述緩衝圖案包含相對於所述吸收體圖案具有蝕刻選擇性的材料。
  14. 如請求項13所述的相移光罩,其中所述緩衝圖案包含以下中的至少一者:矽(Si)、氮化矽(SiN)、氧化矽(SiO2)、氮氧化矽(SiON)、硼酸鉭(TaBO)、氧化鉭(TaO)、氮氧化鉭(TaON)、氮化鉭(TaN)、氮化硼化鉭(TaBN)、氮化鈦(TiN)、 鈮(Nb)、及鉭(Ta),且所述頂蓋層包含釕。
  15. 如請求項13所述的相移光罩,其中所述吸收體圖案的厚度介於40奈米至55奈米的範圍內,且所述緩衝圖案的厚度介於4奈米至10奈米的範圍內。
  16. 一種相移光罩,用於極紫外光微影,包括:基板;反射層,位於所述基板上;頂蓋層,位於所述反射層上;緩衝圖案,位於所述頂蓋層上,所述緩衝圖案包括開口,所述開口暴露出所述頂蓋層的表面;以及吸收體圖案,位於所述緩衝圖案上,所述吸收體圖案包含氮及鉻,其中所述緩衝圖案包含相對於所述吸收體圖案具有蝕刻選擇性的材料,其中所述吸收體圖案包括含有氮(N)的第一區、含有氮(N)的第二區以及含有氮(N)的中間區,所述第一區位於所述第二區與所述緩衝圖案之間,且所述中間區位於所述第一區與所述第二區之間,其中所述中間區中的氮的含量不同於所述吸收體圖案的所述第一區的氮的含量與所述第二區中的氮的含量,使得所述吸收體圖案中的氮的含量根據距所述緩衝圖案的距離而不連續地或者緩 慢地改變。
  17. 如請求項16所述的相移光罩,其中所述吸收體圖案的折射率小於所述緩衝圖案的折射率,且所述吸收體圖案的厚度大於所述緩衝圖案的厚度。
  18. 如請求項16所述的相移光罩,其中所述吸收體圖案的厚度大於極紫外光的半峰全寬波長,且所述緩衝圖案的厚度小於所述極紫外光的所述半峰全寬波長。
  19. 如請求項16所述的相移光罩,其中所述緩衝圖案包含以下中的至少一者:矽(Si)、氮化矽(SiN)、氧化矽(SiO2)、氮氧化矽(SiON)、硼酸鉭(TaBO)、氧化鉭(TaO)、氮氧化鉭(TaON)、氮化鉭(TaN)、氮化硼化鉭(TaBN)、氮化鈦(TiN)、鈮(Nb)、及鉭(Ta)。
TW110102823A 2020-06-16 2021-01-26 極紫外光微影相移光罩 TWI830983B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0073145 2020-06-16
KR1020200073145A KR20210155863A (ko) 2020-06-16 2020-06-16 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
TW202201110A TW202201110A (zh) 2022-01-01
TWI830983B true TWI830983B (zh) 2024-02-01

Family

ID=78825307

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102823A TWI830983B (zh) 2020-06-16 2021-01-26 極紫外光微影相移光罩

Country Status (4)

Country Link
US (1) US20210389662A1 (zh)
KR (1) KR20210155863A (zh)
CN (1) CN113805427A (zh)
TW (1) TWI830983B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099517A1 (en) * 2002-07-02 2006-05-11 Sony Corporation Phase shift mask fabrication method thereof and fabrication method of semiconductor apparatus
US20090220869A1 (en) * 2008-03-03 2009-09-03 Takai Kosuke Reflection-type mask and method of making the reflection-type mask
TW201929087A (zh) * 2017-12-22 2019-07-16 台灣積體電路製造股份有限公司 微影光罩、微影光罩之製造方法以及進行微影製程之方法
US20190369484A1 (en) * 2018-05-30 2019-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
TW202013056A (zh) * 2018-08-31 2020-04-01 台灣積體電路製造股份有限公司 極紫外遮罩及其製造方法
US20200159106A1 (en) * 2017-07-05 2020-05-21 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1498936B1 (en) * 2002-04-11 2012-11-14 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
US9805939B2 (en) * 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
KR20210016142A (ko) * 2019-07-31 2021-02-15 삼성전자주식회사 Euv 레티클 검사 방법, 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099517A1 (en) * 2002-07-02 2006-05-11 Sony Corporation Phase shift mask fabrication method thereof and fabrication method of semiconductor apparatus
US20090220869A1 (en) * 2008-03-03 2009-09-03 Takai Kosuke Reflection-type mask and method of making the reflection-type mask
US20200159106A1 (en) * 2017-07-05 2020-05-21 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask
TW201929087A (zh) * 2017-12-22 2019-07-16 台灣積體電路製造股份有限公司 微影光罩、微影光罩之製造方法以及進行微影製程之方法
US20190369484A1 (en) * 2018-05-30 2019-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
TW202013056A (zh) * 2018-08-31 2020-04-01 台灣積體電路製造股份有限公司 極紫外遮罩及其製造方法

Also Published As

Publication number Publication date
US20210389662A1 (en) 2021-12-16
KR20210155863A (ko) 2021-12-24
CN113805427A (zh) 2021-12-17
TW202201110A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
US11372323B2 (en) Phase-shift mask for extreme ultraviolet lithography
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
US9733562B2 (en) Extreme ultraviolet lithography process and mask
JP5233321B2 (ja) 極端紫外線露光用マスクブランク、極端紫外線露光用マスク、極端紫外線露光用マスクの製造方法及び極端紫外線露光用マスクを用いたパターン転写方法
KR102592274B1 (ko) 마스크 블랭크, 위상 시프트 마스크 및 반도체 디바이스의 제조 방법
WO2022050156A1 (ja) 反射型マスク、反射型マスクブランク、および反射型マスクの製造方法
TWI801455B (zh) 反射型光罩基底、反射型光罩及其製造方法、以及半導體裝置之製造方法
KR100845173B1 (ko) Euv 포토리소그래피를 위한 위상-시프팅 마스크 및 그형성 방법
TW201814394A (zh) 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法
KR20170123610A (ko) 마스크 블랭크, 위상 시프트 마스크, 위상 시프트 마스크의 제조방법 및 반도체 디바이스의 제조방법
KR20190010686A (ko) 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
TW200937111A (en) Mask blank and method of manufacturing mask
KR20200128021A (ko) 마스크 블랭크, 위상 시프트 마스크 및 반도체 디바이스의 제조 방법
TW201940961A (zh) 光罩基底、相偏移光罩及半導體裝置之製造方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US20240069431A1 (en) Method of manufacturing photo masks
JP7059679B2 (ja) 反射型フォトマスクブランク及び反射型フォトマスク
TWI830983B (zh) 極紫外光微影相移光罩
KR20210014100A (ko) 마스크 블랭크, 위상 시프트 마스크 및 반도체 디바이스의 제조 방법
KR101054746B1 (ko) 극자외선 노광 공정용 위상 반전 마스크
TW202129704A (zh) 反射型空白光罩及反射型光罩
WO2022153657A1 (ja) 反射型フォトマスクブランク及び反射型フォトマスク
TW202201114A (zh) 反射型空白光罩及反射型光罩
TW202305497A (zh) 反射型光罩及反射型光罩之製造方法
JP2021179549A (ja) 反射型マスクブランク及び反射型マスク