TWI787882B - 電晶體結構及其相關製造方法 - Google Patents

電晶體結構及其相關製造方法 Download PDF

Info

Publication number
TWI787882B
TWI787882B TW110123172A TW110123172A TWI787882B TW I787882 B TWI787882 B TW I787882B TW 110123172 A TW110123172 A TW 110123172A TW 110123172 A TW110123172 A TW 110123172A TW I787882 B TWI787882 B TW I787882B
Authority
TW
Taiwan
Prior art keywords
region
gate structure
contact hole
length
conductive region
Prior art date
Application number
TW110123172A
Other languages
English (en)
Other versions
TW202201641A (zh
Inventor
盧超群
Original Assignee
鈺創科技股份有限公司
新加坡商發明創新暨合作實驗室有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/138,918 external-priority patent/US11972983B2/en
Priority claimed from US17/151,635 external-priority patent/US11973120B2/en
Application filed by 鈺創科技股份有限公司, 新加坡商發明創新暨合作實驗室有限公司 filed Critical 鈺創科技股份有限公司
Publication of TW202201641A publication Critical patent/TW202201641A/zh
Application granted granted Critical
Publication of TWI787882B publication Critical patent/TWI787882B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一第一隔離區。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。該第一隔離區位於該第一導電區旁邊。該第一導電區的長度是通過一單一光刻製程所控制,且該單一光刻製程原本是用以定義該閘極結構的長度。

Description

電晶體結構及其相關製造方法
本發明是有關於一種電晶體結構及其相關製造方法,尤指一種具有可準確控制源極/汲極和接觸開口的長度以有效縮小尺寸的電晶體結構及其相關製造方法。
因為在1974年,由R.Dennard等人所發表的論文中,公開了縮小金氧半場效電晶體(metal-oxide-semiconductor field-effect transistor,(MOSFET))的所有尺寸的設計準則,所以如何縮小電晶體的尺寸成為主要的技術需求,其中該主要的技術需求已改變矽晶圓的線性尺寸的最小特徵尺寸從幾微米縮小到幾奈米。該最小特徵尺寸或長度通常稱為Lamda(λ),是取決於使用光刻光罩技術(photolithographic masking technology)及元件縮小技術的微型化能力(為了簡化說明和對照,通過最小化印刷線寬解析度所測量的也稱之為λ)。但是另一個限制了元件縮小的難以控制的因素是光刻設備的不足與不準確所造成的錯位公差(misalignment tolerance),也就是Delta-Lamda(Δλ)。另外,因為該錯位公差,所以該電晶體的閘極邊緣到源極(或汲極)邊緣之間的距離很難做到小於λ和Δλ的總和。之後,如果再次需要通過使用該光刻光罩技術在該汲極(或源極)上製造一個方形的接觸孔以做為未來金屬互連到該汲極(或該源極)之間的連接,則該接觸孔 的每個邊的最小尺寸很難做到小於λ。另外,為確保在該汲極之內的接觸孔包含錯位公差,該汲極(具有長方形的外圍)的每個邊的長度也很難做到小於λ和Δλ的總和。然而,縮小電晶體的尺寸對于在一個矽晶圓的一個平面區域之內整合更多的電晶體是必要的,以及分別縮小電晶體的汲極和源極所占的面積是達成上述目標的一個必要且有效的方式,其也有助于減少漏電流和功耗。
因此,如何有效的縮小電晶體的尺寸以在該矽晶圓的該平面區域之內整合更多的電晶體已成為該電晶體的設計者所要解決的一個重要問題。
本發明的一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上方形成該閘極結構和一偽屏蔽閘極結構(dummy shield gate structure);形成一第一隔離區以取代該偽屏蔽閘極結構;在該主動區上方形成一自對準柱(self-alignment pillar);以及移除該自對準柱,並且在該閘極結構和該第一隔離區之間形成該第一導電區。
在本發明的另一個實施例中,在移除該自對準柱的步驟之前,該製造方法另包含在該第一隔離區上方形成一第二隔離區,其中該自對準柱位於該閘極結構和該第二隔離區之間。
在本發明的另一個實施例中,在移除該自對準柱的步驟之後,該製造方法另包含在該閘極結構和該第一隔離區之間形成一間隔層以定義一接觸孔,其中該接觸孔位於該第一導電區上方。
在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度(minimum feature length)。
在本發明的另一個實施例中,該基底是一矽基底,以及該自對準柱是通過選擇性外延生長(selective epitaxy growth)形成的一本質矽柱(intrinsic silicon pillar)。
本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上形成該閘極結構;以及形成一自對準柱,其中該自對準柱是用以在該第一導電區上方分配一接觸孔。
在本發明的另一個實施例中,該製造方法另包含在形成該自對準柱之前,在該主動區上形成一隔離區。
在本發明的另一個實施例中,該製造方法另包含移除該自對準柱,其中該自對準柱是形成在該閘極結構和該隔離區之間;以及在該閘極結構和該隔離區之間形成一間隔層以定義一接觸孔,其中該接觸孔位於該第一導電區上方。
在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。
本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包 含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上方形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方定義一接觸孔,其中定義該接觸孔是與一光刻(photolithography)製程無關。
在本發明的另一個實施例中,該第一導電區是形成在該閘極結構和一隔離區之間,其中該隔離區在該主動區上方向上延伸。
在本發明的另一個實施例中,該接觸孔是通過形成一間隔層來定義,其中該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。
在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。
本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含實施一第一光刻製程,其中該第一光刻製程是用以定義該閘極結構的寬度和一主動區的長度;實施一第二光刻製程,其中該第二光刻製程是用以定義該閘極結構在該主動區內的長度,其中該第二光刻製程另用以定義該第一導電區的長度。
在本發明的另一個實施例中,通過該第二光刻製程定義的該第一導電區的長度等於或實質上等於一最小特徵長度。
在本發明的另一個實施例中,通過該第二光刻製程定義的該閘極結構的長度等於或實質上等於一最小特徵長度。
在本發明的另一個實施例中,通過該第一光刻製程定義的該主動區的長度大約等於一最小特徵長度的4倍。
本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方形成一接觸孔,其中該接觸孔的形狀不需通過一光刻製程定義。
在本發明的另一個實施例中,該第一導電區是形成在該閘極結構和一隔離區之間。
在本發明的另一個實施例中,該接觸孔是通過形成一間隔層來定義,其中該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。
在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。
本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。該接觸孔位於該第一導電區上方。其中該接觸孔的周邊被該第一導電區的外圍包圍。
在本發明的另一個實施例中,該第一導電區的該外圍是一長方形。
在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。
本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一接觸孔。該半導體基底具有一半導體表面。該通道區位於該閘極結構下方。該接觸孔位於該第一導電區上方。其中該接觸孔的長度小於一最小特徵長度。
在本發明的另一個實施例中,在該閘極結構的一側壁和該接觸孔的一側壁之間的一水平距離小於該最小特徵長度,其中該接觸孔的該側壁是遠離該閘極結構的側壁。
在本發明的另一個實施例中,在該閘極結構的一側壁和該第一導電區的一側壁之間的一水平距離大約等於該最小特徵長度,其中該第一導電區的該側壁是遠離該閘極結構的側壁。
本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一隔離區、一第一間隔層、一第二間隔層、一第一導電區以及第一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該通道區位于該半導體表面下方。該第一隔離區從該半導體表面向上及向下延伸。第一間隔層覆蓋該閘極結構的一第一側壁,以及該第二間隔層覆蓋該第一隔離區的一側壁。該第一導電區電耦接該通道區,且位於該閘極結構和該第一隔離區之間。該第一接觸孔形成在該第一間隔層和該第二 間隔層之間。
在本發明的另一個實施例中,該電晶體結構另包含一覆蓋層以及一第一金屬區。該覆蓋層覆蓋該閘極結構。該第一金屬區填充在該第一接觸孔內且接觸該第一導電區,該第一金屬區從該第一導電區向上延伸至一預定位置,其中該預定位置高于該覆蓋層的頂部。
在本發明的另一個實施例中,該第一金屬區的寬度實質上等於該第一接觸孔的長度加上一最小特徵長度。
在本發明的另一個實施例中,該電晶體結構另包含一第二隔離區以及一第二導電區。該第二隔離區從該半導體表面向上及向下延伸。第二導電區電耦接該通道區,且位於該閘極結構和該第二隔離區之間。
在本發明的另一個實施例中,在該閘極結構的一第二側壁和該第二隔離區的一側壁之間的一水平距離實質上等於一最小特徵長度,其中該第一隔離區的該側壁是遠離該閘極結構的側壁。
在本發明的另一個實施例中,該電晶體結構另包含一第二接觸孔。該第二接觸孔位於該第二導電區上方,其中該第二接觸孔的長度小於一最小特徵長度。
在本發明的另一個實施例中,該電晶體結構另包含一第三間隔層以及一第四間隔層。該第三間隔層覆蓋該閘極結構的一第二側壁。該第四間隔層 覆蓋該第二隔離區的一側壁,其中該第二接觸孔是形成在該第三間隔層和該第四間隔層之間。
本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一第一隔離區。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。該第一隔離區位於該第一導電區旁邊。其中該第一導電區的長度是通過一單一光刻製程所控制,其中且單一光刻製程原本是用以定義該閘極結構的長度。
在本發明的另一個實施例中,該第一導電區的長度等於或實質上等於一最小特徵長度。
本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一第一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。其中該第一接觸孔的周邊與一光刻製程無關。
在本發明的另一個實施例中,該第一接觸孔的長度小於一最小特徵長度。
在本發明的另一個實施例中,該第一導電區的長度等於或實質上等於該最小特徵長度。
在本發明的另一個實施例中,該第一接觸孔位於該第一導電區上方。
100:金氧半場效電晶體
101:閘極結構
103、1704、2402:源極
105、1102:隔離區
107、1706、2404:汲極
109、111:接觸孔
102:基底
302:襯墊氧化層
304:襯墊氮化層
306:淺溝槽隔離-第一氧化層
402:介電絕緣體
404、602:閘極層
406、604:氮化層
702:旋塗介電層
802:閘極光罩層
902:溝槽
1002、2102、STI-oxide-2:淺溝槽隔離-第二氧化層
1502、2202:第三氧化間隔層
1504、2204:輕摻雜汲極
1506、2206:氮化間隔層
1602、2302:本質矽
1702、2304:化學氣相沉積-淺溝槽隔離-第三 氧化層
1802、2406:氧化間隔層
1804:第一接觸孔
1806:第二接觸孔
1902、2502:第一金屬層
1904、2504:最小空間
1906:第一半導體區
1908:第一內含金屬區
1910:第二半導體區
1912:第二內含金屬區
1914:第一氧化保護層
1916:第二氧化保護層
D(L)、G(L)、S(L)、C-S(L)、C-D(L):長度
D(W)、G(W)、S(W)、C-S(W)、C-D(W):寬度
GEBESI、GEBEDI:距離
HSS:水平矽表面
DSG:偽屏蔽閘極
TG、TG2、TG3:真閘極
λ:最小特徵長度
Δλ:光刻錯位公差
10-70、202-228:步驟
第1圖是本發明一實施例所公開的微型化的金氧半場效電晶體的俯視圖。
第2A圖是本發明的另一實施例所公開的一微型化的金氧半場效電晶體的製造方法的流程圖。
第2B-2F圖是說明第2A圖的流程圖。
第3圖是說明襯墊氮化層和淺溝槽隔離-第一氧化層的俯視圖。
第4圖是第3圖中沿X軸方向的橫截面圖。
第5圖是說明金氧半場效電晶體的閘極結構邊緣到源極和淺溝槽隔離-第一氧化層之間的邊界邊緣的對準的光刻錯位公差(photolithographic misalignment tolerance,PMT))的示意圖。
第6圖是說明可排除光刻錯位公差所造成的負面影響的新結構的示意圖。
第7圖是說明沉積旋塗介電層的示意圖。
第8圖是說明沉積和蝕刻設計良好的閘極光罩層的示意圖。
第9圖是說明通過異向性蝕刻技術移除偽屏蔽閘極、氮化層、介電絕緣體、以及對應偽屏蔽閘極的基底的示意圖。
第10圖是說明移除閘極光罩層、蝕刻旋塗介電層、沉積第二氧化層以及回蝕該第二氧化層以形成淺溝槽隔離-第二氧化層的示意圖。
第11-14圖是說明真閘極的位置和偽屏蔽閘極的位置之間的關係的示意圖。
第15圖是說明沉積以及蝕刻第三氧化層以形成第三氧化間隔層、在基底中形成輕摻雜汲極、沉積以及回蝕氮化層以形成氮化間隔層、以及移除介電絕緣體的示意圖。
第16圖是說明利用選擇性外延生長技術生成本質矽的示意圖。
第17圖是說明沉積以及回蝕化學氣相沉積-淺溝槽隔離-第三氧化層、移除本質矽以及形成金氧半場效電晶體的源極和汲極的示意圖。
第18圖是說明沉積以及蝕刻氧化間隔層以形成接觸孔開口的示意圖。
第19圖是說明沉積以及回蝕第一金屬層以形成第一金屬層互連的示意圖。
第20圖是本發明的另一實施例所公開的使用合幷的半導體接面和金屬導體結構形成源極和汲極,以及形成第一金屬層互連的示意圖。
第21圖是說明移除閘極光罩層,以及沉積第二氧化層以填滿溝槽和水平矽表面上的其他空缺以形成該淺溝槽隔離-第二氧化層,然後通過化學機械研磨技術平坦化該淺溝槽隔離-第二氧化層的示意圖。
第22圖是說明沉積以及蝕刻第三氧化層以形成第三氧化間隔層、在基底中形成輕摻雜區、沉積以及回蝕氮化層以形成氮化間隔層、以及移除介電絕緣體的示意圖。
第23圖是說明利用該選擇性外延生長技術生成本質矽的示意圖。
第24圖是說明沉積以及蝕刻氧化間隔層以形成接觸孔開口的示意圖。
第25圖是說明沉積以及蝕刻第一金屬層以形成第一金屬層互連的示意圖。
本發明公開一種可準確控制電晶體的源極(或汲極)的線性尺寸的新方法,其中該尺寸可小至最小特徵尺寸Lamda(λ),也就是說該電晶體可不用加上錯位公差Delta-Lamda(Δλ)而被印刷或製造在晶圓上(例如矽晶圓)。再者,在該電晶體汲極(或源極)內可以實現線性尺寸小於λ的接觸孔。因此,本發明產生了一種新具有最小特徵尺寸的源極和汲極的結構,其中該最小特徵尺寸是從該電晶體的閘極結構邊緣到該電晶體隔離區邊緣旁的源極(或汲極)邊緣,且在該源 極和該汲極上具有線性尺寸小於λ的接觸孔。因此,本發明可避免該光刻光罩技術在形成該源極和該汲極時分別所造成的錯位公差。
請參照第1圖。第1圖是本發明一實施例所公開的微型化的金氧半場效電晶體100的俯視圖。如第1圖所示,金氧半場效電晶體100包含:(1)一閘極結構101,其中閘極結構101具有長度G(L)和寬度G(W),(2)在閘極結構101左邊的是一源極103,其中源極103具有長度S(L)和寬度S(W),且長度S(L)是從閘極結構101的邊緣到一隔離區105的邊緣的線性尺寸,(3)在閘極結構101右邊的是一汲極107,其中汲極107具有長度D(L)和寬度D(W),且中長度D(L)是從閘極結構101的邊緣到隔離區105的邊緣的一線性尺寸,(4)在源極103的中央,是通過自對準技術(self-alignment technology)所形成的接觸孔109,其中接觸孔109的長度和寬度分別為C-S(L)和C-S(W),(5)同樣地,在汲極107的中央,是通過自對準技術所形成的接觸孔111,其中接觸孔111的長度和寬度分別為C-D(L)和C-D(W)。
要形成金氧半場效電晶體100,可利用一第一光刻製程來定義寬度G(W)和一主動區的偽長度(pseudo length),以及可利用一第二光刻製程來定義在該主動區內的長度G(L),其中該第二光刻製程更可利用來控制閘極結構101和隔離區105之間的長度S(L),在本發明的一實施例中,通過該第一光刻製程所定義的該主動區的偽長度大約為最小特徵長度λ的4倍。在本發明的一實施例中,長度G(L)可以等於或實質上等於最小特徵長度λ。當然在其他實施例中,長度G(L)可以大於最小特徵長度λ。
本發明的第一個特徵是長度S(L)和長度D(L)都可根據目標尺寸被準確的設計和定義,其中該目標尺寸可被製造在晶圓的表面上,而且不會被無法 避免的光刻錯位公差(photolithographic Misalignment Tolerances,PMT)所影響。
本發明的第二個特徵是長度S(L)和長度D(L)都可和最小特徵長度λ一樣小,該最小特徵長度是在一製程節點所定義的一特定製程限制(例如,最小特徵長度λ在7奈米節點是7奈米,或在28奈米節點是28奈米,或在180奈米節點是180奈米)。
本發明的第三個特徵是如果長度G(L)是設計為λ,則沿著金氧半場效電晶體100的長度方向的最小尺寸(也就是從源極103的左邊緣到汲極107的右邊緣之間的距離)可以小到3λ(也就是1λ是長度S(L),1λ是長度D(L),以及1λ是長度G(L))。然後金氧半場效電晶體100沿著該長度方向的線性尺寸可達到微型化,其他當金氧半場效電晶體100在沿著該長度方向的線性尺寸不包含隔離區105時,金氧半場效電晶體100在沿著該長度方向的線性尺寸減小至只有3λ。
本發明的第四個特徵是長度S(L)和長度D(L)可以創造接觸孔109的較窄的長度C-S(L)和接觸孔111的較窄的長度C-D(L),而不用受到該光刻錯位公差的限制(因為製造接觸孔109和接觸孔111的大部分關鍵光罩步驟被排除了),其他長度S(L)和長度D(L)可被自對準技術(self-alignment technology)所明確定義。再者,第一金屬層(metal-1)的沉積互連層可被該光刻光罩技術(photolithographic masking technique)有效的定義以達到該第一金屬層較窄的寬度(也就是該接觸孔開口和該光刻錯位公差的兩倍的總和),其中該沉積互連層可以充分的填入接觸孔109和接觸孔111以製造分別連接該第一金屬層到源極103和汲極107天然的金屬接觸點。
如前述的發明,金氧半場效電晶體結構的最小元件長度尺寸(包含隔離區和該第一金屬層的互連)可以被微型化,而不用被無法避免的該光刻錯位公差擴大。
請參照第2A-2F、3、4、6-19圖。第2A圖是本發明的另一實施例所公開的一微型化的金氧半場效電晶體的製造方法的流程圖。其中在第2A圖中的該金氧半場效電晶體的製造方法可準確控制該金氧半場效電晶體的源極和汲極的長度。該製造方法的詳細步驟如下:步驟10:開始;步驟20:在基底102上形成一主動區和一溝槽結構;步驟30:在基底102的水平矽表面(horizontal silicon surface,HSS)上形成偽屏蔽閘極(dummy shield gate)和該金氧半場效電晶體的一真閘極(true gate);步驟40:用隔離區取代該偽屏蔽閘極以定義該金氧半場效電晶體的源極/汲極的邊界;步驟50:形成該金氧半場效電晶體的該源極和該汲極;步驟60:在該源極和該汲極的邊界內形成較小的接觸孔,以及形成第一金屬層互連以通過該接觸孔接觸到該源極或該汲極;步驟70:結束。
請參照第2B圖和第3、4圖。步驟20可包含:步驟202:在基底102上形成一襯墊氧化層302以及沉積一襯墊氮化層304;步驟204:定義該金氧半場效電晶體的主動區,以及移除該主動區外 的部分矽材料以製造該溝槽結構;步驟206:在該溝槽結構中沉積一第一氧化層,以及回蝕該第一氧化層以在水平矽表面HSS下方形成一淺溝槽隔離-第一氧化層306(shallow trench isolation-oxide-1,STI-oxide-1);步驟207:移除襯墊氧化層302和襯墊氮化層304,以及在水平矽表面HSS上方形成一介電絕緣層402。
請參照第2C圖和第6圖。步驟30可包含:步驟208:在水平矽表面HSS上方沉積一閘極層602和一氮化層604;步驟210:蝕刻閘極層602和氮化層604以形成該金氧半場效電晶體的真閘極和偽屏蔽閘極,其中該偽屏蔽閘極到該真閘極之間具有一所需的線性距離。
請參照第2D圖和第7-10圖。步驟40可包含:步驟212:沉積一旋塗介電層(spin-on dielectrics,SOD)702,然後回蝕旋塗介電層702;步驟214:通過該光刻光罩技術形成一設計良好的閘極光罩層802;步驟216:利用異向性蝕刻技術(anisotropic etching technique)移除偽屏蔽閘極DSG上的氮化層604,以及移除偽屏蔽閘極DSG、對應偽屏蔽閘極DSG的介電絕緣層402和對應偽屏蔽閘極DSG的基底102;步驟218:移除閘極光罩層802,蝕刻旋塗介電層702,以及沉積一第二氧化層,然後回蝕該第二氧化層以形成淺溝槽隔離-第二氧化層1002。
請參照第2E圖和第15-17圖。步驟50可包含: 步驟220:沉積以及回蝕一第三氧化層以形成一第三氧化間隔層1502,在基底102中形成輕摻雜汲極(lightly doped drain,LDD)1504,沉積以及回蝕一氮化層以形成一氮化間隔層1506,以及移除介電絕緣層402;步驟222:利用一選擇性外延生長(selective epitaxy growth,SEG)技術生成一本質矽(intrinsic silicon)1602;步驟224:沉積以及回蝕一化學氣相沉積-淺溝槽隔離-第三氧化層1702,移除本質矽1602,以及形成該金氧半場效電晶體的源極(n+源極)1704和汲極(n+汲極)1706。
請參照第2F圖和第18、19圖。步驟60可包含:步驟226:沉積以及蝕刻一氧化間隔層1802以在源極(n+源極)1704和汲極(n+汲極)1706上形成接觸孔開口(contact-hole openings);步驟228:沉積以及蝕刻一第一金屬層1902以形成該第一金屬層互連。
第一部分:利用閘極光罩上添加的偽屏蔽閘極(dummy-shield-gate,DSG)幷通過避免該光刻錯位公差以實現從該柵極的邊緣到該源極和該隔離區之間的邊界邊緣的設計距離GEBESI。同樣地,從該柵極的邊緣到該汲極和該隔離區之間的邊界邊緣也有一設計距離GEBEDI。
以n型金氧半場效電晶體為例,基底102可以是p型基底,前述製造方法的詳細說明如下。從步驟20開始,請參照第2B圖和第3、4圖。在步驟202中,襯墊氧化層302在基底102的水平矽表面HSS上方形成,然後在襯墊氧化層302上方沉積襯墊氮化層304。
在步驟204中,該金氧半場效電晶體的主動區可以被該光刻光罩技術定義,導致該主動區外的水平矽表面HSS被曝露。因為該主動區外的水平矽表面HSS被曝露,所以可通過該異向性蝕刻技術移除該主動區外的部分矽材料以製造該溝槽結構。
在步驟206中,沉積該第一氧化層以填滿該溝槽結構,然後回蝕該第一氧化層被以在水平矽表面HSS下方形成淺溝槽隔離-第一氧化層306,如第4圖所示。第4圖是沿第3圖所示的X軸方向的橫截面圖。另外,因為第3圖是俯視圖,所以第3圖只示出襯墊氮化層304和淺溝槽隔離-第一氧化層306。然後,在步驟207中,在該主動區上的襯墊氧化層302和襯墊氮化層304被移除,以及在水平矽表面HSS上方形成介電絕緣層402(具有高介電常數)。
第5圖是說明以較小尺寸實現閘極與電晶體隔離區之間幾何關係的現有技術的示意圖。在水平矽表面HSS上方形成介電絕緣層402之後,一閘極層404(金屬閘極)沉積在介電絕緣層402上方。然後具有良好設計厚度的氮化層406(氮化帽層)沉積在閘極層404上。接著,如第5圖所示,利用該光刻光罩技術來定義閘極結構1,其中閘極結構1包含閘極層404和氮化層406以使閘極結構1具有適當金屬閘極材料,且該金屬閘極材料可提供金屬絕緣體到基板102所需的功函數以實現該金氧半場效電晶體合適的臨界電壓。另外,因為淺溝槽隔離-第一氧化層306是形成在水平矽表面HSS下方,所以可形成三閘極電晶體(Tri-gate FET)結構或鰭式場效應電晶體(fin field-effect transistor,FinFET)結構(如第5圖所示)。
在利用該第一光刻製程來定義該主動區的一偽長度和利用該第二光刻製程來定義該主動區的長度G(L)之後,從閘極結構1的邊緣到該金氧半場效電晶體的源極和該淺溝槽隔離之間的邊界邊緣的距離(稱為GEBESI)可被定義(如第5圖所示)。同理從該閘極結構的邊緣到該金氧半場效電晶體的汲極和該淺溝槽隔離之間的邊界邊緣的距離(稱為GEBEDI)也可被定義。
然而,如第5圖所示,在利用該光刻光罩技術對準閘極結構1的邊緣以及該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)和淺溝槽隔離-第一氧化層306之間的邊界邊緣時,會存在一無法避免的不理想因素,稱為該光刻錯位公差。如果沿該X軸方向所測量的該光刻錯位公差的線性尺寸為Δλ,則Δλ應與受特定製程節點可用的設備的光刻解析度所規定的最小特徵尺寸有關。例如,7奈米製程節點應有的最小特徵尺寸λ等於7奈米以及光刻錯位公差Δλ可為3.5奈米。因此,如果該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)所想要的實際尺寸被定為λ(例如7奈米),則在現有技術的製程方法中,該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)的所需長度必須大於λ和Δλ的總和(例如大於10.5奈米)。
因此,本發明利用一種新的結構來排除該光刻錯位公差所造成的負面影響。也就是說從該閘極結構的邊緣到該金氧半場效電晶體的源極和該淺溝槽隔離之間的邊界邊緣的距離GEBESI(或從該閘極結構的邊緣到該金氧半場效電晶體的汲極和該淺溝槽隔離之間的邊界邊緣的距離GEBEDI)的任何尺寸都可以被實現,而不需要在沿該金氧半場效電晶體的長度方向(也就是如第4、5圖所示的X軸方向)預留額外的尺寸給該光刻錯位公差。
在步驟208中,如第6圖所示,在水平矽表面HSS上方形成介電絕緣層402之後,沉積閘極層602和氮化層604。然後在步驟210中,蝕刻閘極層602和氮化層604以形成該閘極結構(其中閘極層602可以是該金氧半場效電晶體的閘極結構)。第6圖所示的新結構和第5圖所示的結構之間主要的差異在於當該金氧半場效電晶體的真閘極TG被該光刻光罩技術定義時,平行於真閘極TG的偽屏蔽閘極DSG也可依需求被定義,以致于目標線性距離(例如λ,在7奈米製程節點中為7奈米)可存在於偽屏蔽閘極DSG和真閘極TG之間,而不需要保留任何額外的尺寸(也就是Δλ)給該光刻錯位公差。被設計在同一光罩上的偽屏蔽閘極DSG和真閘極TG可以同時在覆蓋該主動區的介電絕緣層402的頂部形成。另外,如第6圖所示,真閘極TG2、TG3是對應於其他金氧半場效電晶體。
接下來的步驟是說明如何利用提高至水平矽表面HSS上方的隔離區取代偽屏蔽閘極DSG。在步驟212中,如第7圖所示,沉積旋塗介電層702,然後利用化學機械研磨(chemical mechanical polishing,CMP))技術回蝕旋塗介電層702以使旋塗介電層702的頂部與氮化層604的頂部一樣高。
在步驟214中,如第8圖所示,沉積閘極光罩層802,然後通過該光刻光罩技術蝕刻閘極光罩層802以完成覆蓋真閘極TG、TG2、TG3但暴露出偽屏蔽閘極DSG的目標,其中暴露出的偽屏蔽閘極DSG分別在距離GEBESI和距離GEBEDI的長度的中間具有安全的光刻錯位公差Δλ。
為了清楚說明,在第8圖中,在閘極光罩層802下的真閘極TG與左邊的偽屏蔽閘極DSG之間的距離可標記為GEBESI,以及在閘極光罩層802下的真閘極TG與右邊的偽屏蔽閘極DSG之間的距離可標記為GEBEDI。因為在用接下 來第9-10圖所示的隔離區替換偽屏蔽閘極DSG之後,第8圖中真閘極TG和偽屏蔽閘極DSG之間的距離將會變成從真閘極TG的邊緣到該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)和該隔離區之間的邊界邊緣的距離,也就是之前在第5圖所述的GEBESI(或GEBEDI)。
在步驟216中,如第9(a)圖所示,可利用該異向性蝕刻技術來蝕刻偽屏蔽閘極DSG和對應偽屏蔽閘極DSG的氮化層604,還可用來蝕刻對應偽屏蔽閘極DSG的介電絕緣層402以到達水平矽表面HSS。然後利用該異向性蝕刻技術來移除位于水平矽表面HSS下方的基底102的矽材料以在水平矽表面HSS下方形成一溝槽902,其中溝槽902的深度可以等於淺溝槽隔離-第一氧化層306的底部的深度。因此,如第9(a)圖所示,分別在創造精準控制的距離GEBESI和距離GEBEDI時避免了該光刻錯位公差。因為通過在同一光罩上的真閘極TG和偽屏蔽閘極DSG良好定義距離GEBESI和距離GEBEDI的長度,所以第1圖所示的源極的長度S(L)和汲極的長度D(L)都可被良好的定義。也就是說該單一光刻光罩技術不僅用來定義真閘極TG和偽屏蔽閘極DSG,還可用來控制距離GEBESI和距離GEBEDI的長度。因此,長度S(L)和長度D(L)的尺寸可被準確地控制,甚至可以達到和最小特徵尺寸λ一樣小的最佳微型化尺寸。因為長度S(L)和長度D(L)可以等於λ,所以長度S(L)和長度D(L)實質上等於真閘極TG(也就是該閘極結構)的長度。另外,第9(b)圖是對應第9(a)圖的俯視圖。
在步驟218中,如第10(a)圖所示,移除閘極光罩層802和旋塗介電層702,然後沉積第二氧化層以填滿溝槽902和水平矽表面HSS的其他空缺,接著該第二氧化層可被回蝕至和水平矽表面HSS一樣的表面高度以形成淺溝槽隔離-第二氧化層1002。第10(b)圖是對應第10(a)圖的俯視圖。
因此,暫時形成的偽屏蔽閘極DSG可以被淺溝槽隔離-第二氧化層1002取代以定義該源極/汲極的邊界。然後可利用任何能形成輕摻雜汲極(lightly doped drain,LDD)、圍繞真閘極TG的間隔層、該源極以及該汲極的現有技術來完成該金氧半場效電晶體,其中可分別根據被準確控制的距離GEBESI和距離GEBEDI形成該源極和該汲極。
第二部分:利用偽屏蔽閘極DSG設計原則,通過自適應的偽屏蔽閘極設計來分別達到距離GEBESI和距離GEBEDI的目標長度以用於可變形狀的主動區(在一主動區(AA)光罩上)。
因為電晶體的一隔離區的形狀以及該隔離區在該電晶體和鄰近電晶體之間的位置可能有相當多種(甚至在上述的實施例中也是如此),以下將描述另一種結構,其是通過擴展上述實施例的原理來設計一種自適應的偽屏蔽閘極。
第11圖是說明一種鄰近電晶體的主動區的佈置幾何條件,其中該鄰近電晶體的主動區的佈置幾何條件是不同於第6圖。例如,如第6圖所示,在真閘極TG、真閘極TG2、真閘極TG3和偽屏蔽閘極DSG沉積之前,鄰近電晶體的相鄰主動區是相連的。然後可通過偽屏蔽閘極DSG的長度將相連的主動區分割成個別的精確目標距離。但是如第11圖所示,假設在電晶體的真閘極被定義之前和之後,在該電晶體的源極(或汲極)上的主動區已經通過隔離區1102與任何其他主動區完全隔離的。因此,如下所述,在此要提出的是如何設計在源極上的主動區以及自適應的偽屏蔽閘極DSG(汲極也是如此)。例如,如果距離GEBESI的最後長度定訂為λ(或任何其他目標長度L(S)),則對應於距離GEBESI的主動區 光罩(AA mask)的長度應該設計為等於λ和Δλ的總和(或長度L(S)和Δλ的總和)。然後在閘極光罩上,偽屏蔽閘極DSG可以具有如第11圖所示的形狀,也就是說偽屏蔽閘極DSG的矩形形狀的長度等於λ,寬度等於該主動區的寬度與2Δλ之總和(每邊分別共享0.5Δλ)。另外,在該源極側上的真閘極TG和偽屏蔽閘極DSG之間的設計距離仍然正好是距離GEBESI的長度(例如λ)。
從第11圖的主動區和閘極的光罩階段到晶圓階段所導出的結果將描繪在第12圖。如第12圖所示,當真閘極TG被該光刻光罩技術定義時,偽屏蔽閘極DSG被設計平行於真閘極TG,且偽屏蔽閘極DSG和真閘極TG之間具有一目標距離(例如λ,其中λ在7奈米製程節點為7奈米)。經過名義上製程的結果(也就是沒有明顯的錯位被引入在該光刻製程中),偽屏蔽閘極DSG覆蓋了距離Δλ的該主動區(對應於該源極),且真閘極TG和偽屏蔽閘極DSG都被設置在覆蓋該主動區的介電絕緣層402的上方。另外,在真閘極TG和偽屏蔽閘極DSG的上方都有氮化帽層(也就是氮化層604)。
如第13圖所示,如果該光刻錯位公差對真閘極TG和偽屏蔽閘極DSG都造成往該主動區右邊的位移(例如Δλ),則接下來的製程是移除偽屏蔽閘極DSG以實現隔離區STI-oxide-2(也就是淺溝槽隔離-第二氧化層1002),其中隔離區STI-oxide-2的位置恰好是在第一部份的製程步驟中所描述的原先存在的偽屏蔽閘極DSG的位置。另外,該接下來的製程可以使隔離區STI-oxide-2的長度為λ,且隔離區STI-oxide-2可成為該源極的物理幾何形狀,其中真閘極TG和該源極之間的距離GEBESI的長度等於λ(因為真閘極TG和偽屏蔽閘極DSG之間的距離被設計為λ)。另一方面,如第14圖所示,如果該光刻錯位公差對真閘極TG和偽屏蔽閘極DSG都造成往該主動區左邊的位移(例如Δλ),則接下來用於移除偽屏蔽閘 極DSG和形成隔離區STI-oxide-2的製程步驟,將會使隔離區STI-oxide-2的長度為λ,以及使真閘極TG和該源極之間的距離GEBESI的長度還是等於λ。
當該光刻錯位公差造成沿該主動區的寬度方向(也就是上下方向)的不良位移時,則自適應的偽屏蔽閘極的設計(該偽屏蔽閘極的寬度為該主動區的寬度和2Δλ的總和)不會影響該主動區的幾何尺寸。這種使用自適應的偽屏蔽閘極的創新設計總是產生具有長度λ的隔離區STI-oxide-2,並且產生距離GEBESI的長度符合設計目標(例如λ)。本發明可以肯定地分別應用於具有各自目標長度的所有不同形狀的隔離區、源極和汲極。
第三部分:精確定義的源極(或汲極)可通過自對準間隔層使接觸孔開口(contact-hole opening)被精確控制以減少接觸光罩和開孔製程的步驟。
在公開如何將距離GEBESI和距離GEBEDI最佳地設計與製造成到精確控制的小尺寸(可小至λ)之後,另一個新的發明是如何分別製造具有長度C-S(L)和長度C-D(L)的接觸孔開口,其中長度C-S(L)和長度C-D(L)分別小於距離GEBESI和距離GEBEDI。以下將說明兩種設計和製程。
A.設計和製程(I)
請繼續參照第10(a)圖並且使用真閘極TG來做以下說明。在步驟220中,如第15(a)圖所示,沉積以及回蝕該第三氧化層以形成第三氧化間隔層1502,其中第三氧化間隔層1502覆蓋真閘極TG。接著,在基底102中形成輕摻雜區,並且在該輕摻雜區上執行快速熱退火(rapid thermal annealing,RTA)以在真閘極TG 旁邊形成輕摻雜汲極1504。然後沉積以及回蝕該氮化層以形成氮化間隔層1506,其中氮化間隔層1506覆蓋第三氧化間隔層1502。接著移除沒有被氮化間隔層1506和第三氧化間隔層1502覆蓋的介電絕緣層402。另外,第15(b)圖是對應第15(a)圖的俯視圖。
在步驟222中,如第16(a)圖所示,通過使用露出的水平矽表面HSS作為矽晶種,利用該選擇性外延生長技術只在露出的水平矽表面HSS上方生成本質矽1602,並且本質矽1602的高度與氮化層604(在真閘極TG的頂部上方)的頂部一樣高。另外,第16(b)圖是對應第16(a)圖的俯視圖。
在步驟224中,如第17(a)圖所示,沉積化學氣相沉積-淺溝槽隔離-第三氧化層1702以填滿所有空缺,並且通過化學機械研磨(Chemical-Mechanical Polishing,CMP)技術平坦化化學氣相沉積-淺溝槽隔離-第三氧化層1702以使化學氣相沉積-淺溝槽隔離-第三氧化層1702的高度和氮化層604的頂部平齊,其中氮化層604在真閘極TG的頂部上方。接著,移除本質矽1602,以便暴露出對應該源極和該汲極的水平矽表面HSS,其中對應該源極和該汲極的水平矽表面HSS被化學氣相沉積-淺溝槽隔離-第三氧化層1702和氮化間隔層1506圍繞。
本質矽1602就像一自對準柱(self-alignment pillar,SPR)一樣用來圍住或封住之後將被配置一接觸孔的區域,但該自對準柱並不受限於矽材料。根據用於該選擇性外延生長技術的晶種的材料,該自對準柱可以是金屬材料或其他半導體材料(例如:碳化矽(SiC)、矽鍺(SiGe)、(氮化鎵GaN)等)。另外,基底102可以是矽基底、碳化矽基底、矽鍺基底、或氮化鎵基底等。
任何能形成該金氧半場效電晶體的源極(n+源極)1704和汲極(n+汲極)1706的現有技術都可用水平矽表面HSS來實現源極1704和汲極1706的平坦面,其中源極(n+源極)1704可以是一第一導電區,以及汲極(n+汲極)1706可以是一第二導電區。另外,如第17(a)圖所示,一通道區(channel region)存在於輕摻雜汲極1504之間且在水平矽表面HSS下方,以及該通道區可電耦接源極(n+源極)1704和汲極(n+汲極)1706。另外,如第17(a)圖所示,源極(n+源極)1704是被置放在該閘極結構(也就是真閘極TG(閘極層602))以及在該閘極結構左邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702之間,其中在該閘極結構左邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702可稱為一第一隔離區,以及該第一隔離區與該第一導電區(也就是源極(n+源極)1704)相鄰。另外,如第17(a)圖所示,汲極(n+汲極)1706是被置放在該閘極結構以及在該閘極結構右邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702之間,其中在該閘極結構右邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702可稱為一第二隔離區,以及該第二隔離區與該第二導電區(也就是汲極(n+汲極)1706)相鄰。另外,如第17(a)圖所示,非常明顯地可以知道該第一隔離區和該第二隔離區是從水平矽表面HSS向上以及向下延伸。另外,第17(b)圖是對應第17(a)圖的俯視圖。
在步驟226中,如第18(a)圖所示,因為在該隔離區(也就是該第一隔離區和該第二隔離區)上的化學氣相沉積-淺溝槽隔離-第三氧化層1702和圍繞真閘極TG的氮化間隔層1506比水平矽表面HSS高,像是四個側壁一樣,所以設計良好的氧化間隔層1802(稱為用於接觸孔的氧化間隔層(oxide spacer for contact hole,oxide-SCH))可以被製造在四個側壁外以形成一第一接觸孔1804,其中第一接觸孔1804的位置是在該第一導電區(也就是源極(n+源極)1704)上方,並且在源 極(n+源極)1704的邊界內。同樣地,一第二接觸孔1806的位置是在該第二導電區(也就是汲極(n+汲極)1706)上方,並且在汲極(n+汲極)1706的邊界內。因此,如第18(a)圖所示,第一接觸孔1804和第二接觸孔1806是以一自對準的方式自然的形成,而不需要利用任何蝕刻技術來製造該接觸孔開口,幷且通過該用於接觸孔的氧化間隔層的合適設計(具有厚度tOSCH)使該接觸孔開口的長度可分別小於距離GEBESI和距離GEBEDI的長度。本發明創新的部分是在於該接觸孔開口的位置幾乎是在源極1704(或汲極1706)的邊界的中央,並且該接觸孔開口的長度可以被設計成小於λ(因為該接觸孔開口的長度=距離GEBESI的長度-厚度tOSCH的2倍。因此例如,如果厚度tOSCH=0.2λ,距離GEBESI的長度=λ,則該接觸孔開口的長度=0.6λ)。因此,因為該接觸孔開口的長度主要是被氧化間隔層1802的厚度tOSCH所支配,所以第一接觸孔1804(和第二接觸孔1806)的周邊是與該光刻光罩技術無關的,幷且如第18(b)圖所示,可以明顯看出第一接觸孔1804的周邊是在該第一導電區的外圍內,以及第二接觸孔1806的周邊是在該第二導電區的外圍內。
另外,如第18(b)圖所示,因為該接觸孔開口的長度小於λ,所以第一接觸孔1804的長度(第二接觸孔1806的長度)小於該閘極結構的長度(因為如第6圖所示,該閘極結構的長度等於λ)。另外,如第18(a)圖所示,因為氧化間隔層1802具有厚度tOSCH,並且距離GEBESI的長度等於該閘極結構的長度,所以很明顯的該閘極結構的一第一側壁(位於該閘極結構的左邊)和第一接觸孔1804遠離該閘極結構的一側壁之間的水平距離會小於該閘極結構的長度(也就是λ)。另外,如第18(a)圖所示,該閘極結構的第一側壁和該第一導電區(也就是源極1704)遠離該閘極結構的一側壁之間的水平距離大約等於該閘極結構的長度。類似地,如第18(a)圖所示,該閘極結構的一第二側壁(位於該閘極結構的右邊)和該第 二隔離區遠離該閘極結構的一側壁之間的水平距離實質上等於該閘極結構的長度。
另外,如第18(a)圖所示,位於該閘極結構左邊且靠近該閘極結構的氧化間隔層1802(也就是一第一間隔層)覆蓋該閘極結構的第一側壁,以及位於該閘極結構左邊且遠離該閘極結構的氧化間隔層1802(也就是一第二間隔層)覆蓋該第一隔離區的一側壁,其中第一接觸孔1804是在該第一間隔層和該第二間隔層之間形成。
另外,如第18(a)圖所示,位於該閘極結構右邊且靠近該閘極結構(例如一第三間隔層)的氧化間隔層1802覆蓋該閘極結構的一第二側壁(位於該閘極結構的右邊),位於該閘極結構右邊且離該閘極結構較遠(例如一第四間隔層)的氧化間隔層1802覆蓋該第二隔離區的一側壁,其中第二接觸孔1806是在該第三間隔層和該第四間隔層之間形成。
另外,如第18(b)圖所示,顯然地第一接觸孔1804的周邊被該第一導電區(或源極1704)的外圍包圍,第一接觸孔1804的周邊的形狀類似該第一導電區的外圍的形狀,以及該第一導電區外圍是類似長方形的形狀。另外,類似的情況也適用於第二接觸孔1806和該第二導電區(或汲極1706)。
根據本發明,自對準接觸孔(第一接觸孔1804和第二接觸孔1806)展示了最小的接觸孔長度(其尺寸可小於λ),其比任何現有技術的設計和通過該光刻光罩技術及複雜蝕刻製程所製造出來的接觸孔開口的長度都還要小。另外,本發明省略了大部分難以控制的因素以及大部分用來定義和製造第一金屬層接觸 (例如分別用於源極1704和汲極1706的第一接觸孔1804和第二接觸孔1806)的昂貴的光罩和後續鑽挖該接觸孔開口的任務。另外,第18(b)圖是對應第18(a)圖的俯視圖。
在步驟228中,如第19圖所示,在沉積第一金屬層1902以填滿該接觸孔(第一接觸孔1804和第二接觸孔1806)後,可以用該光刻光罩技術來定義第一金屬層1902。如第19圖所示,第一金屬層1902必須具有精確控制尺寸的寬度,其中第一金屬層1902的寬度必須能完全覆蓋該接觸孔開口,幷且要預留給任何無法避免的光刻錯位公差。也就是說對應源極1704的第一金屬層1902的寬度等於該接觸孔開口(在源極1704上)的長度C-S(L)加上2Δλ,以及對應汲極1706的第一金屬層1902的寬度等於該接觸孔開口(在汲極1706上)的長度C-D(L)加上2Δλ。如果該接觸孔開口的長度可以控制在0.6λ(其應該可被控制,因為由前述說明的計算可得知該接觸孔內的氧化間隔層1802的尺寸可被良好控制),則第一金屬層1902的寬度可以小至該接觸孔開口的長度和2Δλ的總和(如果在本發明一實施例中,Δλ=0.5λ(也就是該閘極結構的長度的一半),該接觸孔開口的長度=0.6λ,則為了在無法避免的光刻錯位公差下還能完全覆蓋該接觸孔開口,第一金屬層1902的寬度可以窄至1.6λ。也就是說為了在無法避免的光刻錯位公差下能完全覆蓋該接觸孔開口,第一金屬層1902的寬度可以等於第一接觸孔1804的長度加上該閘極結構的長度)。根據本發明,窄至1.6λ的第一金屬層1902的寬度可以是第一金屬層互連的最小寬度之一。另外,在兩個最靠近的第一金屬層互連之間的一最小空間1904不能小於λ。另外,如第19圖所示,第一金屬層1902(也就是一第一金屬區)填充在第一接觸孔1804中且接觸該第一導電區(也就是源極1704),其中該第一金屬區從該第一導電區向上延伸至一預定位置,且該預定位置是高于氮化層604(也就是該氮化帽層)的頂部。
另外,如第20圖所示,如果沒有用於源極(和/或汲極)的相鄰的第一金屬層互連,例如,使用合幷的半導體接面和金屬導體結構(merged semiconductor junction and metal conductor(MSMC)structure)(公開於美國專利申請號16/991,044,申請日2020/08/12,在此全文引用),則由偽屏蔽閘極所定義的化學氣相沉積-淺溝槽隔離-第三氧化層1702的寬度可被製成和最小特徵尺寸λ一樣小,而不用被任何相鄰的第一金屬層互連之間的空間所限制,其中該源極(和/或汲極)是接地和直接連接到該金氧半場效電晶體的基底102。另外,如第20圖所示,該源極包含一第一半導體區(n+重摻雜半導體區)1906和一第一內含金屬區1908,該汲極包含一第二半導體區(n+重摻雜半導體區)1910和一第二內含金屬區1912,其中一第一氧化保護層(oxide guard layer,OGL)1914只覆蓋第一內含金屬區1908的一側壁,而沒有覆蓋第一內含金屬區1908的底部,一第二氧化保護層1916(在第20圖所示的凹槽中)覆蓋該第二內含金屬區1912的一側壁和底部。因此,第一內含金屬區1908通過第一內含金屬區1908的底部耦接至基底102。
本發明重要的優點在於幾乎每個關鍵的尺寸,例如距離GEBESI和距離GEBEDI的長度、該接觸孔開口的長度、和該第一金屬層互連的寬度都可以被精確的控制,而不受不確定的光刻錯位公差所影響。如此,基于關鍵尺寸的一致性,可以確保每個關鍵的尺寸的重複性、品質和可靠性。
B.設計和製程(II)
上述的原理在接下來的實施例裡會繼續採用,但不同點在於如何形成該間隔層和該接觸孔開口。接續第9(a)圖,如第21(a)圖所示,移除閘極光罩層 802,接著沉積該第二氧化層以填滿溝槽902和水平矽表面HSS上方的其他空缺以形成一淺溝槽隔離-第二氧化層2102。然後通過該化學機械研磨技術平坦化淺溝槽隔離-第二氧化層2102以使淺溝槽隔離-第二氧化層2102的頂部和旋塗介電層702的頂部以及氮化層604的頂部平齊,其中氮化層604在真閘極TG上方。另外,第21(b)圖是對應第21(a)圖的俯視圖。
然後如第22(a)圖所示,移除旋塗介電層702。接著沉積該第三氧化層,以及利用該異向性蝕刻技術回蝕該第三氧化層以形成一第三氧化間隔層2202,其中第三氧化間隔層2202覆蓋真閘極TG。然後在基底102中形成輕摻雜區,並且在該輕摻雜區上執行快速熱退火以在真閘極TG旁邊形成該輕摻雜汲極2204。然後沉積以及回蝕該氮化層以形成一氮化間隔層2206,其中氮化間隔層2206覆蓋第三氧化間隔層2202。接著移除在原先存在的旋塗介電層702之下的介電絕緣層402。另外,第22(b)圖是對應第22(a)圖的俯視圖。
接著如第23(a)圖所示,通過使用露出的水平矽表面HSS區域作為矽晶種,利用該選擇性外延生長技術只在露出的水平矽表面HSS上方生成一本質矽2302,其中本質矽2302的高度與氮化層604的頂部平齊,以及氮化層604在真閘極TG的頂部上方。和前述第三部分的段落A不同的是通過該選擇性外延生長的本質矽2302的形狀可以更好的被控制,因為本質矽2302的兩邊被夾在淺溝槽隔離-第二氧化層2102和真閘極TG之間,以及本質矽2302的另外兩邊面對著該主動區的崖壁邊緣上方的空氣,其中該主動區仍然被介電絕緣層402覆蓋並且在相鄰的淺溝槽隔離-第一氧化層306(STI-oxide-1)的上方。然後沉積一化學氣相沉積-淺溝槽隔離-第三氧化層2304(如第23(b)圖所示)以填滿所有空缺,且通過該化學機械研磨技術平坦化使化學氣相沉積-淺溝槽隔離-第三氧化層2304的頂部和氮 化層604(在真閘極TG的頂部上方)的頂部平齊。另外,第23(b)圖是對應第23(a)圖的俯視圖。
另外,如第24(a)圖所示,移除本質矽2302以曝露出對應一源極(n+源極)2402和對應一汲極(n+汲極)2404區域的水平矽表面HSS,其中源極2402和汲極2404被化學氣相沉積-淺溝槽隔離-第三氧化層2304的兩壁,在淺溝槽隔離-第二氧化層2102上的氮化間隔層2206的一壁,以及圍繞真閘極TG的氮化間隔層2206的一壁所圍繞。任何能形成該金氧半場效電晶體的源極2402和汲極2404的現有技術都可用水平矽表面HSS來實現源極2402和汲極2404的平坦面。
如第24(a)圖所示,因為化學氣相沉積-淺溝槽隔離-第三氧化層2304的兩壁,在淺溝槽隔離-第二氧化層2102上的氮化間隔層2206,以及圍繞真閘極TG的氮化間隔層2206像是四個側壁一樣都高于水平矽表面HSS,所以另一種設計良好的四個氧化間隔層2406(稱為用於接觸孔的氧化間隔層(oxide spacer for contact hole,oxide-SCH)))可被新創造出來以覆蓋該四個側壁。因此,該接觸孔開口是以自對準的方式自然的形成,而不需要利用任何用來製造該接觸孔開口的蝕刻技術,並且通過用於該接觸孔的氧化間隔層(oxide-SCH)的合適設計(具有厚度tOSCH),該接觸孔開口的長度尺寸可以分別小於距離GEBESI和距離GEBEDI的長度。本發明創新的部分是該接觸孔開口的位置分別是在該源極和該汲極的邊界的中央,並且該接觸孔開口的長度可以被設計成小於λ(因為接觸孔的長度=距離GEBESI的長度-2倍厚度tOSCH。因此例如,如果厚度tOSCH=0.2λ以及距離GEBESI的長度=λ,則接觸孔的長度=0.6λ)。根據本發明,該自對準接觸孔展示了最小的接觸孔長度(其尺寸可小於λ),其比任何現有技術的設計和通過該光刻光罩技術及複雜蝕刻製程所製造出來的接觸孔開口的長度都還要小。另外,本 發明省略了大部分難以控制的因素以及大部分用來定義和製造該第一金屬層接觸的的昂貴的光罩和後續鑽挖該接觸孔開口的任務。另外,第24(b)圖是對應第24(a)圖的俯視圖。
第25圖是說明在沉積一第一金屬層2502以填滿該接觸孔開口後,利用該光刻光罩技術定義第一金屬層2502的示意圖。如第25圖所示,第一金屬層2502必須具有精確控制尺寸的寬度,其中第一金屬層2502的寬度必須能完全覆蓋該接觸孔開口,幷且要預留給任何無法避免的光刻錯位公差。也就是說對應該源極的第一金屬層2502的寬度等於該接觸孔開口(在該源極上)的長度C-S(L)加上2Δλ,以及對應該汲極的第一金屬層2502的寬度等於該接觸孔開口(在該汲極上)的長度C-D(L)加上2Δλ。如果該接觸孔開口的長度可以控制在0.6λ(其應該可被控制,因為由前述說明的計算可得知該接觸孔內的氧化間隔層2406的尺寸可被良好控制),則第一金屬層2502的寬度可以小至該接觸孔開口的長度和2Δλ的總和(如果在本發明一實施例中,Δλ=0.5λ,該接觸孔開口的長度=0.6λ,則為了在無法避免的光刻錯位公差下還能完全覆蓋該接觸孔開口,第一金屬層2502的寬度可以窄至1.6λ。根據本發明,窄至1.6λ的第一金屬層2502的寬度可以是該第一金屬層互連的最小寬度之一。另外,在兩個最靠近的第一金屬層互連之間的一最小空間2504不能小於λ。另外,本發明重要的優點是幾乎每個關鍵的尺寸,例如距離GEBESI和距離GEBEDI的長度、接觸孔開口的長度、和該第一金屬層互連的寬度都可以被精確的控制,而不受不確定的光刻錯位公差所影響,如此,基于關鍵尺寸的一致性,可以確保每個關鍵的尺寸的重現性、品質和可靠性。
綜上所述,本發明的實施例所公開的金氧半場效電晶體結構可通過 避免光刻錯位公差,尤其是關於閘極和源極、閘極和汲極、第一金屬層和源極/汲極之間的接觸孔開口等之間的幾何關係、以及第一金屬層互連的寬度與其填滿接觸孔的自對準方法等的設計與製程的改善,對未來積體電路的設計帶來幾項主要的進步:
(1)通過排除光刻錯位公差所造成的不確定因素以精確的定義分別從該閘極的兩邊緣的長度S(L)和長度D(L)。
(2)長度S(L)和長度D(L)都可被設計成光刻光罩和製程解析度所能允許的最小特徵長度λ,從而顯著地縮小該源極和該汲極的尺寸。如此,可減少該金氧半場效電晶體的面積和可減少待機與操作電流和功耗,而可據此增進金氧半場效電晶體的操作速度。
(3)因為長度S(L)和長度D(L)都可被精確控制,所以通過圍繞該源極和汲極的四個側壁所創造的間隔層,本發明的自對準技術能精確的製造可控制形狀和尺寸幷且分別接近該源極和該汲極中央的自對準接觸孔(self-alignment contact holes,SACH)。
(4)自對準接觸孔的長度可被設計成小於最小特徵尺寸λ,例如小至0.6λ或甚至更窄。
(5)該自對準接觸孔的其他寬度尺寸可以通過自對準間隔層和良好定義的主動區寬度而被良好的設計;因為該自對準接觸孔的形成是通過間隔層技術,而不是通過具有難以控制的錯位公差和接觸孔形狀的光刻光罩技術來定 義接觸孔的現有技術來形成,其中該間隔層技術是取決于運用具有可控厚度的化學薄膜沉積和利用該異向性蝕刻技術的已發展成熟的技術。本發明的接觸孔開口可以被良好的設計和定義(雖然接觸孔可能不具有一致的方形接觸形狀,但接觸孔具有良好定義的長方形形狀且填充結果實際上取決于該接觸孔較窄的長度尺寸)。
(6)排除最具艱難和最昂貴的接觸步驟及光罩。
(7)從多個接觸孔之間完全分離一個方形孔或多個方形孔變成長方形的單一接觸孔或單一接觸溝槽以改變接觸孔的設計;因此該源極(或該汲極)的寬度(或長度)可以剛好和該閘極的寬度(或長度)一樣而不會受限于利用狗骨頭形狀布局(dog-bone layout)以調整該閘極的寬度和可能具有多個方形接觸孔的該源極(或該汲極)的寬度之間的尺寸差異。
(8)因為具有良好設計的厚度的第一金屬層互連的填滿成功與否是取決於接觸孔的最小尺寸(通常是該自對準接觸(SACH)孔的長度),所以該第一金屬層互連可確實填滿所有存在的接觸孔,從而使現有技術中用於形成接觸柱的兩個步驟(例如填充鎢加上平坦化製程,也就是現有技術所公開的鎢柱製程和第一金屬層嵌入製程)可以被簡化成一個第一金屬層沉積製程。
(9)通過上述整合的該自對準接觸孔和該第一金屬層形成製程以及該閘極被覆蓋在該氮化帽層之下且被該間隔層保護(其中該氮化帽層和該間隔層都可在該自對準接觸孔外的區域上創造一平坦的平面),該第一金屬層互連可被設計成具有多種布局方式以創建最佳分布的第一金屬層互連網。
(10)綜合上述優點,本發明所公開的金氧半場效電晶體結構可被製造成具有非常小的尺寸,其中該金氧半場效電晶體結構具有4λ的最小長度尺寸(也就是說包含等於λ的長度S(L),等於λ的長度D(L),等於λ的閘極長度,1/2λ用於左邊的隔離,以及1/2λ用於右邊的隔離)以及具有2λ的最小寬度尺寸,也就是說可在面積8λ2內實現了一種具有接觸孔和分別連接到該源極和該汲極的第一金屬層互連的世界上最小的單一電晶體。
當然,根據設計需求,長度G(L)、長度S(L)或長度D(L)可以大於最小特徵長度λ。
因為本發明排除了光刻錯位公差的不確定性幷且採用新的自對準設計和製程技術,所以本發明的所有優點不僅不受限于應用在單一金氧半場效電晶體,也可應用在互補式金氧半(complementary metal oxide semiconductor,CMOS)電路,例如在面積方面進行了許多優化的功能單元(例如靜態隨機存取記憶體(Static Random Access Memory,SRAM),反及閘(NAND gate),反或閘(NOR gate),以及任何邏輯閘)都可以通過本發明的設計和製造原則來縮小晶片面積、電流、功耗以及速度,幷且具有準確性、可重複性、一致性以及更佳的裕度(margin)。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100:金氧半場效電晶體
101:閘極結構
103:源極
105:隔離區
107:汲極
109、111:接觸孔
D(L)、G(L)、S(L)、C-S(L)、C-D(L):長度
D(W)、G(W)、S(W)、C-S(W)、C-D(W):寬度

Claims (40)

  1. 一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區,該製造方法包含:在一基底上形成一主動區;在該主動區上方形成該閘極結構和一偽屏蔽閘極結構(dummy shield gate structure);形成一第一隔離區以取代該偽屏蔽閘極結構;在該主動區上方利用該基底介於該閘極結構和該第一隔離區之間的表面形成一自對準柱(self-alignment pillar);以及在移除該自對準柱後,在該閘極結構和該第一隔離區之間的該基底中形成該第一導電區。
  2. 如請求項1所述的製造方法,在移除該自對準柱之前,該製造方法另包含:在該第一隔離區上方形成一第二隔離區,其中該自對準柱位於該閘極結構和該第二隔離區之間。
  3. 如請求項1所述的製造方法,在移除該自對準柱之後,該製造方法另包含:在該閘極結構和該第一隔離區之間形成一間隔層以定義一接觸孔;其中該接觸孔位於該第一導電區上方。
  4. 如請求項3所述的製造方法,其中該接觸孔的長度小於一最小特徵長度(minimum feature length)。
  5. 如請求項1所述的製造方法,其中該基底是一矽基底,以及該自對準柱是通過選擇性外延生長(selective epitaxy growth)所形成的一本質矽柱(intrinsic silicon pillar)。
  6. 一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區,該製造方法包含:在一基底上形成一主動區;在該主動區上形成該閘極結構;以及形成一自對準柱,其中該自對準柱是用以自對準該第一導電區與其上方的一接觸孔。
  7. 如請求項6所述的製造方法,另包含:在形成該自對準柱之前,在該主動區上形成一第一隔離區之一第一部分;以及在形成該自對準柱之後,在該主動區上形成該第一隔離區之一第二部分,其中該第二部分沿該自對準柱之側壁往上延伸。
  8. 如請求項7所述的製造方法,另包含:移除該自對準柱,其中該自對準柱是形成在該閘極結構和該第一隔離區之間;以及在該閘極結構和該第一隔離區之間形成一間隔層以定義該接觸孔;其中該接觸孔位於該第一導電區上方。
  9. 如請求項6所述的製造方法,其中該接觸孔的長度小於一最小特徵長度。
  10. 一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區,該製造方法包含:在一基底上形成一主動區;在該主動區上方形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方定義一接觸孔,其中定義該接觸孔是與一光刻(photolithography)製程無關,該接觸孔被一隔離區和該閘極結構限制,該接觸孔與一自對準柱有關,且該自對準柱係藉由選擇性生長技術形成在該基底介於該閘極結構和該隔離區之間的表面之上。
  11. 如請求項10所述的製造方法,其中該第一導電區是形成在該閘極結構和該隔離區之間,其中該隔離區在該主動區上方向上延伸。
  12. 如請求項11所述的製造方法,其中該接觸孔是通過形成一間隔層來定義,其中該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。
  13. 如請求項12所述的製造方法,其中該接觸孔的長度小於一最小特徵長度。
  14. 一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區,該製造方法包含: 於一基底上實施一第一光刻製程,其中該第一光刻製程是用以定義該閘極結構的寬度和一主動區的長度;於一基底上實施一第二光刻製程,其中該第二光刻製程是用以定義該閘極結構在該主動區內的長度,並定義該閘極結構與一偽屏蔽閘極結構之間隙;其中該第二光刻製程另用以定義該第一導電區的長度,且在該第二光刻製程後,在該閘極結構與該偽屏蔽閘極結構之間的該基底中形成該第一導電區,其中該第一導電區是在移除該偽屏蔽閘極結構之後形成。
  15. 如請求項14所述的製造方法,其中通過該第二光刻製程定義的該第一導電區的長度等於或實質上等於一最小特徵長度。
  16. 如請求項14所述的製造方法,其中通過該第二光刻製程定義的該閘極結構的長度等於或實質上等於一最小特徵長度。
  17. 如請求項14所述的製造方法,其中通過該第一光刻製程定義的該主動區的長度大約等於一最小特徵長度的4倍。
  18. 一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區,該製造方法包含:在一基底上形成一主動區;在該主動區上形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方形成一接觸孔,其中該接觸孔的形狀不需通過一光刻 製程定義,該接觸孔被一隔離區和該閘極結構限制,該接觸孔與該第一導電區的形成和一自對準柱有關,且該自對準柱形成在該基底介於該閘極結構和該隔離區之間的表面之上。
  19. 如請求項18所述的製造方法,其中該第一導電區是形成在該閘極結構和該隔離區之間。
  20. 如請求項19所述的製造方法,其中該接觸孔是通過形成一間隔層定義,且該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。
  21. 如請求項20所述的製造方法,其中該接觸孔的長度小於一最小特徵長度。
  22. 一種電晶體結構,包含:一半導體基底,具有一半導體表面;一閘極結構,具有一長度;一通道區,位於該閘極結構之下;一第一隔離區;一第一導電區,電耦接該通道區,該第一導電區之一側壁緊貼該第一隔離區之一側壁,並沿該第一隔離區之該側壁向上延伸;以及一接觸孔,位於該第一導電區上方;其中該接觸孔的周邊被該第一導電區的外圍包圍。
  23. 如請求項22所述的電晶體結構,其中該第一導電區的外圍是一長 方形。
  24. 如請求項22所述的電晶體結構,其中該接觸孔的長度小於一最小特徵長度。
  25. 一種電晶體結構,包含:一半導體基底,具有一半導體表面;一閘極結構;一通道區,位於該閘極結構下方;一第一導電區,電耦接該通道區;一第一隔離區;及一接觸孔,位於該第一導電區上方;其中該接觸孔的長度小於一最小特徵長度,且該第一隔離區沿著該半導體表面與該閘極結構的水平距離約為該最小特徵長度。
  26. 如請求項25所述的電晶體結構,其中在該閘極結構的一側壁和該接觸孔的一側壁之間的一水平距離小於該最小特徵長度,其中該接觸孔的該側壁是遠離該閘極結構的側壁。
  27. 如請求項25所述的電晶體結構,其中在該閘極結構的一側壁和該第一導電區的一側壁之間的一水平距離大約等於該最小特徵長度,其中該第一導電區的該側壁是遠離該閘極結構的側壁。
  28. 一種電晶體結構,包含: 一半導體基底,具有一半導體表面;一閘極結構,具有一長度;一通道區,位于該半導體表面下方;一第一隔離區,從該半導體表面向上及向下延伸;一第一間隔層以及一第二間隔層,其中該第一間隔層覆蓋該閘極結構的一第一側壁,以及該第二間隔層覆蓋該第一隔離區的一側壁;一第一導電區,電耦接該通道區,且位於該閘極結構和該第一隔離區之間;以及一第一接觸孔,形成在該第一間隔層和該第二間隔層之間;其中該第一導電區沿著該半導體表面的一寬度大於該第一間隔層以及該第二間隔層之間的距離。
  29. 如請求項28所述的電晶體結構,另包含:一覆蓋層,覆蓋該閘極結構;以及一第一金屬區,填充在該第一接觸孔內且接觸該第一導電區,該第一金屬區從該第一導電區向上延伸至一預定位置,其中該預定位置高于該覆蓋層的頂部。
  30. 如請求項29所述的電晶體結構,其中該第一金屬區的寬度實質上等於該第一接觸孔的長度加上一最小特徵長度。
  31. 如請求項28所述的電晶體結構,另包含:一第二隔離區,從該半導體表面向上及向下延伸;以及一第二導電區,電耦接該通道區,且位於該閘極結構和該第二隔離區之間。
  32. 如請求項31所述的電晶體結構,其中在該閘極結構的一第二側壁和該第二隔離區的一側壁之間的一水平距離實質上等於一最小特徵長度,其中該第一隔離區的該側壁是遠離該閘極結構的側壁。
  33. 如請求項31所述的電晶體結構,另包含:一第二接觸孔,位於該第二導電區上方,其中該第二接觸孔的長度小於一最小特徵長度。
  34. 如請求項33所述的電晶體結構,另包含:一第三間隔層,覆蓋該閘極結構的一第二側壁;以及一第四間隔層,覆蓋該第二隔離區的一側壁,其中該第二接觸孔是形成在該第三間隔層和該第四間隔層之間。
  35. 一種電晶體結構,包含:一半導體基底,具有一半導體表面;一閘極結構,具有一長度;一通道區;一第一導電區,電耦接該通道區;以及一第一隔離區,位於該第一導電區旁邊;其中該第一導電區的長度是通過一單一光刻製程所控制,且該單一光刻製程原本是用以定義該閘極結構的長度;其中在該第一導電區上方定義一接觸孔,該接觸孔位於該第一隔離區和該閘極結構之間,該接觸孔內填入一第一金屬層,且該接觸孔自對準該 第一導電區。
  36. 如請求項35所述的電晶體結構,其中該第一導電區的長度等於或實質上等於一最小特徵長度。
  37. 一種電晶體結構,包含:一半導體基底,具有一半導體表面;一閘極結構,具有一長度;一通道區;一第一導電區,電耦接該通道區;一第一隔離區,位於該第一導電區旁邊;以及一第一接觸孔;其中該第一接觸孔的周邊與一光刻製程無關,該接觸孔位於該第一隔離區和該閘極結構之間,且該接觸孔的長度小於一最小特徵長度。
  38. 如請求項37所述的電晶體結構,其中該第一接觸孔的長度小於該最小特徵長度。
  39. 如請求38所述的電晶體結構,其中該第一導電區的長度等於或實質上等於該最小特徵長度。
  40. 如請求項37所述的電晶體結構,其中該第一接觸孔位於該第一導電區上方。
TW110123172A 2020-06-24 2021-06-24 電晶體結構及其相關製造方法 TWI787882B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063043135P 2020-06-24 2020-06-24
US63/043,135 2020-06-24
US17/138,918 2020-12-31
US17/138,918 US11972983B2 (en) 2020-06-24 2020-12-31 Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US17/151,635 US11973120B2 (en) 2020-06-24 2021-01-18 Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US17/151,635 2021-01-18

Publications (2)

Publication Number Publication Date
TW202201641A TW202201641A (zh) 2022-01-01
TWI787882B true TWI787882B (zh) 2022-12-21

Family

ID=78962760

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111144010A TW202312493A (zh) 2020-06-24 2021-06-24 電晶體結構及其相關製造方法
TW110123172A TWI787882B (zh) 2020-06-24 2021-06-24 電晶體結構及其相關製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111144010A TW202312493A (zh) 2020-06-24 2021-06-24 電晶體結構及其相關製造方法

Country Status (3)

Country Link
KR (1) KR20210158760A (zh)
CN (1) CN113838754A (zh)
TW (2) TW202312493A (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201419545A (zh) * 2012-11-09 2014-05-16 Taiwan Semiconductor Mfg 元件與其形成方法
US20150108651A1 (en) * 2013-01-17 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US20160268392A1 (en) * 2013-11-28 2016-09-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
US20180248039A1 (en) * 2015-09-25 2018-08-30 Intel Corporation High-voltage transistor with self-aligned isolation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201419545A (zh) * 2012-11-09 2014-05-16 Taiwan Semiconductor Mfg 元件與其形成方法
US20150108651A1 (en) * 2013-01-17 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US20160268392A1 (en) * 2013-11-28 2016-09-15 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
US20180248039A1 (en) * 2015-09-25 2018-08-30 Intel Corporation High-voltage transistor with self-aligned isolation

Also Published As

Publication number Publication date
KR20210158760A (ko) 2021-12-31
CN113838754A (zh) 2021-12-24
TW202201641A (zh) 2022-01-01
TW202312493A (zh) 2023-03-16

Similar Documents

Publication Publication Date Title
US7060546B2 (en) Ultra-thin SOI MOSFET method and structure
US6995412B2 (en) Integrated circuit with capacitors having a fin structure
US6020239A (en) Pillar transistor incorporating a body contact
US6677645B2 (en) Body contact MOSFET
TWI390708B (zh) 積體電路
US9711612B2 (en) Semiconductor device structure and method for fabricating the same
US20040192027A1 (en) Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US5612240A (en) Method for making electrical connections to self-aligned contacts that extends beyond the photo-lithographic resolution limit
US20240030347A1 (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11295977B2 (en) Standard cell device and method of forming an interconnect structure for a standard cell device
US11973120B2 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
TWI787882B (zh) 電晶體結構及其相關製造方法
TW202240874A (zh) 垂直通道矽場效應電晶體的製造製程
EP4195291A1 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US20210407859A1 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
TWI840699B (zh) 具有直接連接到閘極、汲極和源極的金屬互連的電晶體結構
KR20090046201A (ko) 수직형 트랜지스터 및 그의 형성방법
TWI834355B (zh) 具有直接連接到閘極、汲極和源極的金屬互連的電晶體結構
JP2023087695A (ja) ソース/ドレイン及びコンタクト開口の制御された寸法を有する小型化されたトランジスタ構造及び関連する製造方法
US20230052056A1 (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11355401B1 (en) Field effect transistor
US11075121B2 (en) Semiconductor devices and fabrication methods thereof
KR102655099B1 (ko) 트랜지스터 구조 및 관련 인버터
KR100349351B1 (ko) 반도체장치의 트랜지스터 제조방법
KR100393221B1 (ko) 플로팅 바디효과를 제거하기 위한 바디접촉부를 포함하는soi 전계효과트랜지스터 및 제조방법.