TWI764948B - 反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法 - Google Patents

反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法 Download PDF

Info

Publication number
TWI764948B
TWI764948B TW106136066A TW106136066A TWI764948B TW I764948 B TWI764948 B TW I764948B TW 106136066 A TW106136066 A TW 106136066A TW 106136066 A TW106136066 A TW 106136066A TW I764948 B TWI764948 B TW I764948B
Authority
TW
Taiwan
Prior art keywords
film
mask
reflective
absorber
pattern
Prior art date
Application number
TW106136066A
Other languages
English (en)
Other versions
TW201827916A (zh
Inventor
池邊洋平
笑喜勉
Original Assignee
日商Hoya股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Hoya股份有限公司 filed Critical 日商Hoya股份有限公司
Publication of TW201827916A publication Critical patent/TW201827916A/zh
Application granted granted Critical
Publication of TWI764948B publication Critical patent/TWI764948B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明提供一種可降低EUV微影之陰影效應且形成微細之圖案之反射型光罩基底及反射型光罩。藉此穩定地且以較高之轉印精度製造半導體裝置。
本發明係一種反射型光罩基底,其特徵在於:其係於基板上依序具有多層反射膜、吸收體膜及蝕刻遮罩膜者,且上述吸收體膜係包含含有鎳(Ni)之材料,上述蝕刻遮罩膜含包含含有鉻(Cr)之材料或含有矽(Si)之材料之材料。

Description

反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法
本發明係關於一種用以製造半導體裝置之製造等所使用之曝光用光罩之原版即反射型光罩基底。又,本發明係關於一種使用該反射型光罩基底所製造之反射型光罩之製造方法、及半導體裝置之製造方法。
關於半導體製造中之曝光裝置之光源之種類,有波長436nm之g線、波長365nm之i線、波長248nm之KrF雷射、及波長193nm之ArF雷射等。為了實現更微細之圖案轉印,曝光裝置之光源之波長逐漸變短。為了實現進一步微細之圖案轉印,而開發出使用波長為13.5nm附近之極紫外線(EUV:Extreme Ultra Violet)之EUV微影。於EUV微影中,由於對於EUV光透明之材料較少,故而使用反射型光罩。該反射型光罩之基本構造係如下構造,即於低熱膨脹基板上形成有反射曝光光之多層反射膜及用以保護多層反射膜之保護膜,並於保護膜之上形成有所需之轉印用圖案。又,作為反射型光罩(反射光罩)之具代表性者,存在:二元型反射光罩,其具有充分吸收EUV光之相對較厚之吸收體圖案(轉印用圖案);及相位偏移型反射光罩(半色調相位偏移型反射光罩),其具有藉由光吸收而使EUV光減光且產生相對於來自多層反射膜之反射光相位大致反轉(約180°之相位反轉)之反射光的相對較薄之吸收體圖案(轉印用圖案)。相位偏移型反射光罩(半色調相位偏移型反射光罩)係與透過型光相位偏移光罩同樣地,可藉由相位偏移效果而獲得較高之轉印光學影像對比度,因此可提高解像 度。又,由於相位偏移型反射光罩之吸收體圖案(相位偏移圖案)之膜厚較薄,故而可精度良好地形成微細之相位偏移圖案。
於EUV微影中,根據光透過率之關係而使用包含多個反射鏡之投影光學系統。而且,藉由使EUV光自斜向入射於反射型光罩,而使該等複數個反射鏡不會遮蔽投影光(曝光光)。關於入射角,當前之主流係相對於反射光罩基板垂直面設為6°。以如下方針進行了研究,即一面提高投影光學系統之數值孔徑(NA),一面設為成為更斜向入射之角度(8°左右)。
於EUV微影中,由於曝光光自斜向入射,故而存在被稱為陰影效應(shadowing effect)之固有問題。所謂陰影效應,係指如下現象:因曝光光自斜向入射至具有立體構造之吸收體圖案而產生陰影,藉此所轉印形成之圖案之尺寸及/或位置產生變化。吸收體圖案之立體構造成為壁而於背陰側產生陰影,從而所轉印形成之圖案之尺寸及/或位置產生變化。例如,根據所配置之吸收體圖案之朝向、與斜入射光之入射方向之關係,若吸收體圖案之朝向與斜入射光之入射方向不同,則轉印圖案之尺寸及位置產生差,而轉印精度降低。
與此種EUV微影用之反射型光罩及用以製作其之光罩基底相關之技術係揭示於專利文獻1至專利文獻5。又,於專利文獻1及專利文獻2中,揭示有陰影效應。先前提出使用相位偏移型反射光罩作為EUV微影用之反射型光罩。於相位偏移型反射光罩之情形時,可使相位偏移圖案之膜厚較二元型反射光罩之情形相對地變薄。因此,可抑制由陰影效應引起之轉印精度之降低。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2010-080659號公報
[專利文獻2]日本專利特開2009-212220號公報
[專利文獻3]日本專利特開2005-268750號公報
[專利文獻4]日本專利特開2004-39884號公報
[專利文獻5]日本專利第5009649號公報
越使圖案微細、及越提高圖案尺寸及圖案位置之精度,則半導體裝置之電特性及性能越高,而可提高積體度,從而可減小晶片尺寸。因此,對於EUV微影要求較先前更高之高精度微細尺寸圖案轉印性能。當前,要求與hp16nm(half pitch(半間距)16nm)一代相對應之超微細高精度圖案形成。對於此種要求,為了減小陰影效應,而要求反射型光罩之吸收體圖案進一步薄膜化。尤其是於EUV曝光之情形時,要求將吸收體膜(相位偏移膜)之膜厚設為50nm以下。
本發明之目的係鑒於上述方面而提供一種可更為降低反射型光罩之陰影效應,並且形成微細且高精度之吸收體圖案之反射型光罩基底。又,本發明之目的在於提供一種使用該反射型光罩基底製作之反射型光罩,及提供一種半導體裝置之製造方法。
為了解決上述問題,本發明具有以下之構成。
(構成1)
一種反射型光罩基底,其特徵在於:其係於基板上依序具有多層反射膜、吸收體膜及蝕刻遮罩膜者,且 上述吸收體膜包含含有鎳(Ni)之材料,上述蝕刻遮罩膜包含含有鉻(Cr)之材料或含有矽(Si)之材料。
(構成2)
如構成1所記載之反射型光罩基底,其特徵在於:上述蝕刻遮罩膜包含含有鉻(Cr)且實質上不含氧(O)之材料。
(構成3)
如構成1或2所記載之反射型光罩基底,其特徵在於:於上述多層反射膜與吸收體膜之間具有保護膜,上述保護膜包含含有釕(Ru)之材料。
(構成4)
一種反射型光罩之製造方法,其特徵在於:於如構成1至3中任一項所記載之反射型光罩基底之上述蝕刻遮罩膜上形成抗蝕圖案,上述蝕刻遮罩膜包含含有鉻(Cr)之材料,將上述抗蝕圖案設為遮罩,藉由包含氯系氣體及氧氣之乾式蝕刻氣體,以乾式蝕刻對上述蝕刻遮罩膜進行圖案化而形成蝕刻遮罩圖案,將上述蝕刻遮罩圖案設為遮罩,藉由實質上不含氧之包含氯系氣體之乾式蝕刻氣體,以乾式蝕刻對上述吸收體膜進行圖案化而形成吸收體圖案。
(構成5)
一種反射型光罩之製造方法,其特徵在於:於如構成1至3中任一項所記載之反射型光罩基底之上述蝕刻遮罩膜上形成抗蝕圖案,上述蝕刻遮罩膜包含含有矽(Si)之材料,將上述抗蝕圖案設為遮罩,藉由包含含氟氣體之乾式蝕刻氣體,以 乾式蝕刻對上述蝕刻遮罩膜進行圖案化而形成蝕刻遮罩圖案,將上述蝕刻遮罩圖案設為遮罩,藉由實質上不含氧之包含氯系氣體之乾式蝕刻氣體,以乾式蝕刻對上述吸收體膜進行圖案化而形成吸收體圖案。
(構成6)
如構成4或5所記載之反射型光罩之製造方法,其特徵在於:於形成上述吸收體圖案之後,將上述蝕刻遮罩圖案剝離。
(構成7)
一種半導體裝置之製造方法,其特徵在於具有如下步驟:於具有發出EUV光之曝光光源之曝光裝置中設置藉由如構成4至6中任一項所記載之反射型光罩之製造方法所獲得的反射型光罩,將轉印圖案轉印至形成於被轉印基板上之抗蝕膜。
根據本發明之反射型光罩基底(藉此製作之反射型光罩),可使吸收體膜之膜厚變薄,而可降低陰影效應,且可減少側壁粗糙度而且以穩定之剖面形狀形成微細且高精度之吸收體圖案。因此,使用該構造之反射型光罩基底而製造之反射型光罩可微細且高精度地形成光罩上所形成之吸收體圖案本身,並且可防止由陰影引起之轉印時之精度降低。又,藉由使用該反射型光罩進行EUV微影,而能夠提供一種微細且高精度之半導體裝置之製造方法。
1:基板
2:多層反射膜
3:保護膜
4:吸收體膜
4a:吸收體圖案
5:蝕刻遮罩膜
5a:蝕刻遮罩圖案
6:背面導電膜
11:抗蝕膜
11a:抗蝕圖案
100:反射型光罩基底
200:反射型光罩
圖1係用以對本發明之反射型光罩基底之概略構成進行說明之主要部分剖面模式圖。
圖2(a)~(e)係利用主要部分剖面模式圖表示由反射型光罩基底製作反射型光罩之步驟的步驟圖。
圖3係表示吸收體膜之厚度與對於波長13.5nm之光之反射率的關係之圖。
圖4係表示吸收體膜之厚度與波長13.5nm之光中之相位差的關係之圖。
圖5係表示具有以各膜厚成膜之吸收體膜之基板之EUV反射率光譜之圖。
圖6係表示由Ni膜形成之吸收體膜及由TaBN膜形成之吸收體膜之HV(High Voltage,高壓)偏壓之圖。
圖7(a)~(d)係利用主要部分剖面模式圖表示使用比較例1之反射型光罩基底製作反射型光罩之情形時之步驟的步驟圖。
以下,一面參照圖式,一面對本發明之實施形態具體地進行說明。再者,以下之實施形態係將本發明具體化時之一形態,而並非將本發明限定於其範圍內者。再者,有圖中對相同或相當之部分附上相同之符號,而簡化或省略其說明之情況。
<反射型光罩基底之構成及其製造方法>
圖1係用以對本發明之反射型光罩基底之構成進行說明之主要部分剖面模式圖。如圖1所示,反射型光罩基底100具有基板1、多層反射膜2、保護膜3、吸收EUV光之吸收體膜4、及蝕刻遮罩膜(蝕刻用硬質遮罩)5,且其等係以該順序積層。多層反射膜2係形成於第1主表面(正面)側,反射作為曝光光之EUV光。保護膜3係為了保護多層反射膜2而設置。保護膜3 係由對於在對下述吸收體膜4進行圖案化時所使用之蝕刻劑、及洗淨液具有耐性之材料所形成。蝕刻遮罩膜5成為對吸收體膜4進行蝕刻時之遮罩。又,於基板1之第2主表面(背面)側通常形成有靜電夾緊(electrostatic chuck)用之背面導電膜6。
以下,各層逐層進行說明。
<<基板>>
作為基板1,為了防止由利用EUV光之曝光時之熱所引起之吸收體圖案之應變,可較佳地使用具有0±5ppb/℃之範圍內之低熱膨脹係數者。作為具有該範圍之低熱膨脹係數之素材,例如可使用SiO2-TiO2系玻璃、多成分系玻璃陶瓷等。
關於基板1之供形成轉印圖案(其由下述之吸收體膜4構成)之側之第1主表面,就至少獲得圖案轉印精度、位置精度之觀點而言,係以成為高平坦度之方式進行表面加工。於EUV曝光之情形時,於基板1之供形成轉印圖案之側之主表面的132mm×132mm之區域內,平坦度較佳為0.1μm以下,進而較佳為0.05μm以下,尤佳為0.03μm以下。又,第1主表面之相反側之第2主表面係於設置於曝光裝置時被靜電夾緊之面。第2主表面於132mm×132mm之區域內,其平坦度較佳為0.1μm以下,進而較佳為0.05μm以下,尤佳為0.03μm以下。再者,關於反射型光罩基底100中之第2主表面側之平坦度,於142mm×142mm之區域內,平坦度較佳為1μm以下,進而較佳為0.5μm以下,尤佳為0.3μm以下。
又,基板1之表面平滑度之高低亦為極其重要之項目。供形成轉印用相位偏移圖案之第1主表面之表面粗糙度以均方根粗糙度(RMS)計較佳為0.1nm以下。再者,表面平滑度可利用原子力顯微鏡進行測定。
進而,基板1為了防止由形成於其上之膜(多層反射膜2等)之膜應力引起之變形,較佳為具有較高之剛性。尤其是基板1較佳為具有65GPa以上之較高楊氏模數。
<<多層反射膜>>
多層反射膜2係於反射型光罩200中賦予反射EUV光之功能者。多層反射膜2具有將以折射率不同之元素作為主成分之各層週期性地積層而成之多層膜之構成。
一般而言,作為多層反射膜2,可使用如下多層膜,該多層膜係將作為高折射率材料之輕元素或其化合物之薄膜(高折射率層)、與作為低折射率材料之重元素或其化合物之薄膜(低折射率層)交替地積層40至60個週期左右而成。關於多層膜,可自基板1側起將依序積層高折射率層與低折射率層而成之高折射率層/低折射率層之積層構造作為1個週期並積層複數個週期,亦可自基板1側起將依序積層低折射率層與高折射率層而成之低折射率層/高折射率層之積層構造作為1個週期並積層複數個週期。再者,多層反射膜2之最表面之層(即多層反射膜2之與基板1相反之側之表面層)較佳為高折射率層。於上述多層膜中,於在基板1上將依序積層高折射率層與低折射率層而成之積層構造(高折射率層/低折射率層)作為1個週期並積層複數個週期之情形時,最上層成為低折射率層。由於多層反射膜2之最表面之低折射率層容易氧化,故而多層反射膜2之反射率降低。為了避免反射率之降低,較佳為於最上層之低折射率層上進而形成高折射率層而製成多層反射膜2。另一方面,於上述多層膜中,於在基板1上將依序積層低折射率層與高折射率層而成之積層構造(低折射率層/高折射率層)作為1個週期並積層複數個週期之情形時,最上層成為高折射率層。於該情形時, 無需進而形成高折射率層。
於本實施形態中,作為高折射率層,採用包含矽(Si)之層。作為包含Si之材料,除Si單體以外,可使用於Si中包含硼(B)、碳(C)、氮(N)、及/或氧(O)之Si化合物。藉由將包含Si之層用作高折射率層,可獲得EUV光之反射率優異之EUV微影用反射型光罩200。又,於本實施形態中,作為基板1,可較佳地使用玻璃基板。Si與玻璃基板之密接性亦優異。又,作為低折射率層,可使用選自鉬(Mo)、釕(Ru)、銠(Rh)、及鉑(Pt)之金屬單質、或其等之合金。作為對於例如波長13nm至14nm之EUV光之多層反射膜2,可較佳地使用將Mo膜與Si膜交替地積層40至60個週期左右而成之Mo/Si週期積層膜。再者,可由矽(Si)形成作為多層反射膜2之最上層之高折射率層,並於該最上層(Si)與Ru系保護膜3之間形成包含矽及氧之矽氧化物層。藉由形成矽氧化物層,可提高反射型光罩200之耐洗淨性。
上述多層反射膜2之單獨之反射率通常為65%以上,上限通常為73%。再者,多層反射膜2之各構成層之厚度、及週期可根據曝光波長而適當選擇,例如可以滿足布勒格反射定律之方式選擇。於多層反射膜2中,高折射率層及低折射率層分別存在複數個。複數個高折射率層之厚度無需相同,複數個低折射率層之厚度無需相同。又,多層反射膜2之最表面之Si層之膜厚可於不會使反射率降低之範圍內進行調整。最表面之Si(高折射率層)之膜厚可設為3nm至10nm。
多層反射膜2之形成方法於該技術領域內為公知。例如可藉由離子束濺鍍法而成膜多層反射膜2之各層。於上述Mo/Si週期多層膜之情形時,例如藉由離子束濺鍍法,首先使用Si靶將厚度4nm左右之Si膜成膜於基板1上,其後使用Mo靶成膜厚度3nm左右之Mo膜。將該Si膜/Mo膜作為1個 週期而積層40至60個週期,藉此形成多層反射膜2。再者,多層反射膜2之最表面之層較佳為Si層。
<<保護膜>>
保護膜3為了保護多層反射膜2免受下述反射型光罩200之製造步驟中之乾式蝕刻及洗淨影響,而形成於多層反射膜2之上。又,於使用電子束(EB)之相位偏移圖案之黑點缺陷修正時,可藉由保護膜3保護多層反射膜2。於圖1中,表示保護膜3為1層之情形。可將保護膜3設為3層以上之積層構造。例如,可設為如下構造:將保護膜3之最下層及最上層設為包含上述含有Ru之物質之層,且於最下層與最上層之間介存除Ru以外之金屬、或合金。作為保護膜3之材料,可使用包含釕作為主成分之材料、例如Ru金屬單質、於Ru中含有鈦(Ti)、鈮(Nb)、鉬(Mo)、鋯(Zr)、釔(Y)、硼(B)、鑭(La)、鈷(Co)、錸(Re)等金屬之Ru合金。又,該等保護膜3之材料可進而包含氮。於該等材料之中,尤佳為使用含有Ti之Ru系保護膜。於使用含有Ti之Ru系保護膜之情形時,作為多層反射膜構成元素之矽自多層反射膜2之表面向Ru系保護膜之擴散變小。因此,具有如下特徵:光罩洗淨時之表面粗糙變少,亦不易發生膜剝離。表面粗糙之降低係直接關係到防止對於EUV曝光光之反射率降低。因此,為了改善EUV曝光之曝光效率、及提高產出量,重要的是表面粗糙之降低。
於使用Ru合金作為保護膜3之材料之情形時,Ru合金之Ru含有比率係50原子%以上且未達100原子%,較佳為80原子%以上且未達100原子%,進而較佳為95原子%以上且未達100原子%。尤其是於Ru合金之Ru含有比率為95原子%以上且未達100原子%之情形時,可一邊抑制多層反射膜構成元素(矽)向保護膜3之擴散,一邊充分確保EUV光之反射率。進 而,該保護膜3能夠兼具光罩耐洗淨性、對吸收體膜4進行蝕刻加工時之蝕刻終止功能、及防止多層反射膜經時變化之保護膜功能。
於EUV微影之情形時,由於對於曝光光透明之物質較少,故而防止異物附著於光罩圖案面之EUV光罩護膜(pellicle)於技術上並不簡單。因此,不使用光罩護膜之無光罩護膜之運用成為主流。又,於EUV微影之情形時,發生因EUV曝光而碳膜沈積於光罩上、或氧化膜生長等曝光污染。因此,於將EUV反射型光罩200用於半導體裝置之製造之階段中,必須多次進行洗淨而將光罩上之異物及污染去除。因此,EUV反射型光罩200對光罩耐洗淨性之要求遠遠高於光微影用之透過型光罩。藉由使用含有Ti之Ru系保護膜,可尤其提高對於硫酸、硫酸過氧化氫混合物(SPM)、氨、氨水過氧化氫混合物(APM)、OH自由基洗淨水及濃度為10ppm以下之臭氧水等洗淨液之耐洗淨性。因此,能夠滿足EUV反射型光罩200之光罩耐洗淨性之要求。
保護膜3之厚度係只要可發揮其作為保護膜3之功能,則並無特別限制。就EUV光之反射率之觀點而言,保護膜3之厚度較佳為1.0nm至8.0nm,更佳為1.5nm至6.0nm。
作為保護膜3之形成方法,並無特別限制,可採用公知之膜形成方法。作為保護膜3之形成方法之具體例,可列舉濺鍍法及離子束濺鍍法。
<<吸收體膜>>
於保護膜3之上形成有用以吸收EUV光之吸收體膜4。作為吸收體膜4之材料,使用具有吸收EUV光之功能且能夠藉由乾式蝕刻進行加工之材料。作為本實施形態之吸收體膜4之材料,使用鎳(Ni)單質或包含Ni作為主成分之鎳化合物。與Ta相比,Ni係EUV光之消光係數較大且能夠利用 氯(C1)系氣體進行乾式蝕刻之材料。Ni之13.5nm下之折射率n為約0.948,消光係數k為約0.073。相對於此,於先前之吸收體膜之材料之例即TaBN之情形時,折射率n為約0.949,消光係數k為約0.030。
作為鎳化合物,可列舉於鎳中添加有硼(B)、碳(C)、氮(N)、氧(O)、磷(P)、鈦(Ti)、鈮(Nb)、鉬(Mo)、釕(Ru)、銠(Rh)、碲(Te)、鈀(Pd)、鉭(Ta)及鎢(W)之化合物。藉由於鎳中添加該等元素,可加快蝕刻速度而提高加工性、及/或可提高耐洗淨性。該等鎳化合物之Ni含有比率較佳為50原子%以上且未達100原子%,更佳為80原子%以上且未達100原子%。
將上述鎳及鎳化合物作為材料之吸收體膜4可藉由公知之方法、例如DC(Direct Current,直流)濺鍍法、或RF(Radio Frequency,射頻)濺鍍法等磁控濺鍍法而形成。
吸收體膜4可為用於二元型反射型光罩基底100之以吸收EUV光為目的之吸收體膜4。又,吸收體膜4可為用於相位偏移型反射型光罩基底100之考慮到EUV光之相位差之具有相位偏移功能之吸收體膜4。
於以吸收EUV光為目的之吸收體膜4之情形時,以EUV光對於吸收體膜4之反射率成為2%以下之方式設定膜厚。如圖3所示,於由Ni膜形成吸收體膜4之情形時,膜厚為30nm、34.8nm及39.5nm,且13.5nm下之反射率分別成為1.7%、1.1%及0.007%。相對於此,於由TaBN膜形成吸收體膜之情形時,無法於膜厚為50nm以下時將反射率設為2%以下。
於具有相位偏移功能之吸收體膜4之情形時,形成有吸收體膜4之部分一邊吸收EUV光而進行減光,一邊以不會對圖案轉印造成不良影響之程度反射一部分光。另一方面,來自未形成吸收體膜4之場(field)部之反射 光係經由保護膜3而被多層反射膜2反射。藉由具有相位偏移功能之吸收體膜4,可於形成有吸收體膜4之部分、與來自場部之反射光之間形成所需之相位差。吸收體膜4係以來自吸收體膜4之反射光與來自多層反射膜2(場部)之反射光之相位差成為160°至200°的方式形成。藉由180°附近之反轉之相位差之光彼此於圖案邊緣部相互干涉,而投影光學影像之影像對比度提高。伴隨該影像對比度之提高而解像度提高,曝光量裕度、焦點裕度等與曝光相關之各種裕度擴大。雖亦取決於圖案及曝光條件,但一般而言,用以充分獲得該相位偏移效果之反射率之標準以絕對反射率計為1%以上,以相對於多層反射膜2(附保護膜3)之反射比計為2%以上。如圖4所示,於由Ni膜形成吸收體膜4之情形時,膜厚為39nm且相位差成為約160°。相對於此,於由TaBN膜形成吸收體膜之情形時,無法以50nm以下之膜厚將相位差設為160°至200°。
吸收體膜4可為單層膜。又,吸收體膜4可為包含2層以上之複數個膜之多層膜。於吸收體膜4為單層膜之情形時,具有如下特徵:可削減光罩基底製造時之步驟數而提高生產效率。於吸收體膜4為多層膜之情形時,以上層膜成為使用光之光罩圖案檢查時之抗反射膜之方式適當地設定其光學常數及膜厚。藉此,使用光之光罩圖案檢查時之檢查感度提高。如上所述,藉由使用多層膜之吸收體膜4,能夠使多種功能附加於吸收體膜4。於吸收體膜4為具有相位偏移功能之吸收體膜4之情形時,藉由使用多層膜之吸收體膜4,而於光學方面之調整之範圍擴大,而變得易於獲得所需之反射率。
於鎳化合物之吸收體膜4之表面,較佳為形成氧化層。藉由形成鎳化合物之氧化層,可提高所獲得之反射型光罩200之吸收體圖案4a之耐洗淨 性。氧化層之厚度較佳為1.0nm以上,更佳為1.5nm以上。又,氧化層之厚度較佳為5nm以下,更佳為3nm以下。於氧化鎳層之厚度未達1.0nm之情形時,過薄而無法期待效果,若超過5nm,則對於光罩檢查光之表面反射率造成之影響變大,而用以獲得特定之表面反射率之控制變難。
氧化層之形成方法可列舉如下方法等:對成膜吸收體膜之後之光罩基底進行溫水處理、臭氧水處理、於含氧氣體中之加熱處理、於含氧氣體中之紫外線照射處理及O2電漿處理等。
<<蝕刻遮罩膜>>
於吸收體膜4上形成有蝕刻遮罩膜5。作為蝕刻遮罩膜5之材料,使用吸收體膜4相對於蝕刻遮罩膜5之蝕刻選擇比較高之材料。此處,所謂「B相對於A之蝕刻選擇比」,係指作為不欲進行蝕刻之層(成為遮罩之層)之A與作為欲進行蝕刻之層之B的蝕刻速率之比。具體而言,可藉由「B相對於A之蝕刻選擇比=B之蝕刻速度/A之蝕刻速度」之式而特定出。又,所謂「選擇比較高」,係指相對於比較對象,上述定義之選擇比之值較大。吸收體膜4相對於蝕刻遮罩膜5之蝕刻選擇比較佳為1.5以上,進而較佳為3以上,更佳為5以上。
作為吸收體膜4相對於蝕刻遮罩膜5之蝕刻選擇比較高之材料,可列舉包含鉻(Cr)之材料、或包含矽(Si)之材料。因此,作為蝕刻遮罩膜5之材料,可使用包含鉻(Cr)之材料、或包含矽(Si)之材料。
作為蝕刻遮罩膜5之包含鉻(Cr)之材料,例如可列舉:於鉻中含有選自氮、氧、碳及硼之一種以上之元素之鉻化合物等。作為鉻化合物,例如可列舉CrN、CrON、CrCN、CrCON、CrBN、CrBON、CrBCN及CrBOCN等。為了提高藉由氯系氣體之蝕刻選擇比,較佳為設為實質上不 含氧之材料。作為實質上不含氧之鉻化合物,例如可列舉CrN、CrCN、CrBN及CrBCN等。鉻化合物之Cr含有比率較佳為50原子%以上且未達100原子%,更佳為80原子%以上且未達100原子%。又,所謂「實質上不含氧」,係相當於鉻化合物中之氧之含量為10原子%以下、較佳為5原子%以下者。再者,上述材料可於獲得本發明之效果之範圍內含有除鉻以外之金屬。
作為蝕刻遮罩膜5之包含矽(Si)之材料,例如可列舉於矽中含有選自氮、氧、碳及氫之一種以上之元素之矽化合物、包含矽及金屬之金屬矽(金屬矽化物)、以及包含矽化合物及金屬之金屬矽化合物(金屬矽化物化合物)等。作為包含矽之材料,具體而言可列舉SiO、SiN、SiON、SiC、SiCO、SiCN、SiCON、MoSi、MoSiO、MoSiN、及MoSiON等。再者,上述材料可於獲得本發明之效果之範圍內含有除矽以外之半金屬或金屬。
與Ta相比,Ni係氯系氣體之乾式蝕刻速度較慢。因此,若欲於包含含有Ni之材料之吸收體膜4上直接形成抗蝕膜11,則必須使抗蝕膜11變厚,從而難以形成微細之圖案。另一方面,藉由於吸收體膜4上形成包含含有Cr及/或Si之材料之蝕刻遮罩膜5,可不使抗蝕膜11之厚度變厚而進行吸收體膜4之蝕刻。因此,可藉由使用蝕刻遮罩膜5而形成微細之吸收體圖案4a。
關於蝕刻遮罩膜5之膜厚,就獲得作為將轉印圖案精度良好地形成於吸收體膜4之蝕刻遮罩之功能之觀點而言,較理想為3nm以上。又,關於蝕刻遮罩膜5之膜厚,就使抗蝕膜11之膜厚變薄之觀點而言,較理想為20nm以下,更理想為15nm以下。
<<背面導電膜>>
於基板1之第2主表面(背面)側(多層反射膜2形成面之相反側),通常形成有靜電夾緊用之背面導電膜6。靜電夾緊用之背面導電膜6所要求之電特性通常為100Ω/square以下。背面導電膜6例如可藉由磁控濺鍍法或離子束濺鍍法,使用鉻、鉭等金屬及合金之靶而形成。具代表性之背面導電膜6之材料係於光透過型光罩基底等光罩基底製造中經常使用之CrN及Cr。背面導電膜6之厚度只要滿足作為靜電夾緊用之功能,則無特別限定,通常為10nm至200nm。又,該背面導電膜6亦兼備光罩基底100之第2主表面側之應力調整。背面導電膜6係以與來自形成於第1主表面側之各種膜之應力取得平衡,而獲得平坦之反射型光罩基底100之方式進行調整。
<反射型光罩及其製造方法>
可使用本實施形態之反射型光罩基底100而製造反射型光罩200。此處僅進行概要說明,之後於實施例中一面參照圖式一面詳細地進行說明。
準備反射型光罩基底100,於其第1主表面之蝕刻遮罩膜5上形成抗蝕膜11(於具備抗蝕膜11作為反射型光罩基底100之情形時不需要)。其次,於該抗蝕膜11上刻寫(曝光)所需之圖案,進而進行顯影、沖洗,藉此形成特定之抗蝕圖案11a。
於使用反射型光罩基底100之情形時,首先,以上述抗蝕圖案11a作為遮罩對蝕刻遮罩膜5進行蝕刻而形成蝕刻遮罩圖案5a。其次,利用灰化及抗蝕劑剝離液等將抗蝕圖案11a去除。其後,藉由將該蝕刻遮罩圖案5a作為遮罩進行乾式蝕刻,而蝕刻吸收體膜4從而形成吸收體圖案4a。其後,藉由乾式蝕刻將蝕刻遮罩圖案5a去除。最後,進行使用酸性及/或鹼性水溶液之濕式洗淨。
此處,於蝕刻遮罩膜5包含含有鉻(Cr)之材料之情形時,作為用以形成蝕刻遮罩膜5之圖案、及去除蝕刻遮罩圖案5a之蝕刻氣體,可列舉以特定之比率包含Cl2、SiCl4、CHCl3、及CCl4等氯系氣體及O2之混合氣體。
又,於蝕刻遮罩膜5包含含有矽(Si)之材料之情形時,作為用以形成蝕刻遮罩膜5之圖案、及去除蝕刻遮罩圖案5a之蝕刻氣體,可列舉CF4、CHF3、C2F6、C3F6、C4F6、C4F8、CH2F2、CH3F、C3F8、SF6及F2等氟系氣體、以及氟系氣體與He、H2、N2、Ar、C2H4及O2等之混合氣體(將其等統稱為「含氟氣體」)。
作為吸收體膜4之蝕刻氣體,可列舉Cl2、SiCl4、CHCl3及CCl4等氯系氣體、以特定之比率包含氯系氣體及He之混合氣體、以及以特定之比率包含氯系氣體及Ar之混合氣體等。於吸收體膜4之蝕刻中,由於蝕刻氣體中實質上不含氧,故而不會於Ru系保護膜產生表面粗糙。於說明書中,所謂「蝕刻氣體中實質上不含氧」,意指蝕刻氣體中之氧之含量為5原子%以下。
再者,亦存在如下方法:於形成蝕刻遮罩圖案5a後不立即將抗蝕圖案11a去除,而是以附抗蝕圖案11a之蝕刻遮罩圖案5a作為遮罩對吸收體膜4進行蝕刻。於該情形時,具有如下特徵:於對吸收體膜4進行蝕刻時抗蝕圖案11a被自動地去除,而步驟得到簡化。另一方面,關於將抗蝕圖案11a已被去除之蝕刻遮罩圖案5a作為遮罩而對吸收體膜4進行蝕刻之方法,具有如下特徵:來自於蝕刻中途消失之抗蝕劑之有機生成物(釋氣)不會產生變化,而可實現穩定之蝕刻。
藉由以上之步驟,可獲得陰影效應較少且具有側壁粗糙度較少之高精度微細圖案之反射型光罩200。
<半導體裝置之製造方法>
藉由使用本實施形態之反射型光罩200進行EUV曝光,可抑制由陰影效應引起之轉印尺寸精度之降低而於半導體基板上形成基於反射型光罩200上之吸收體圖案4a之所需轉印圖案。又,由於本實施形態之反射型光罩200之吸收體圖案4a為側壁粗糙度較少之微細且高精度之圖案,故而可以較高之尺寸精度於半導體基板上形成所需之圖案。藉由除該微影步驟以外,亦經由被加工膜之蝕刻、絕緣膜、導電膜之形成、摻雜劑之導入、及退火等各種步驟,可製造形成有所需之電子電路之半導體裝置。
若更詳細地進行說明,則EUV曝光裝置包含發出EUV光之雷射電漿光源、照明光學系統、光罩台系統、縮小投影光學系統、晶圓台系統、及真空設備等。光源具備碎片捕集器(debris trap)功能、截止除曝光光以外之長波長之光之截止濾光鏡及真空差動排氣用設備等。照明光學系統及縮小投影光學系統包含反射鏡。EUV曝光用反射型光罩200係藉由形成於其第2主表面之導電膜而被靜電夾緊從而載置於光罩台。
EUV光源之光係經由照明光學系統而以相對於反射型光罩200之主表面之法線(垂直於主表面之直線)傾斜6°至8°的角度照射至反射型光罩200。相對於該入射光之來自反射型光罩200之反射光係向與入射相反之方向且以與入射角度相同的角度進行反射(正反射),被導入至通常具有1/4之縮小比之反射型投影光學系統,而對載置於晶圓台上之晶圓(半導體基板)上之抗蝕劑進行曝光。於EUV曝光裝置中,至少將EUV光通過之部位真空排氣。於曝光時,如下掃描曝光成為主流,該掃描曝光係以與縮小投影光學系統之縮小比相符之速度對光罩台與晶圓台同步地進行掃描,並經由狹縫進行曝光。藉由在對抗蝕劑曝光之後,對曝光過之抗蝕膜進行顯 影,可於半導體基板上形成抗蝕圖案。於本發明中,使用為陰影效應較小之薄膜而且具有側壁粗糙度較少之高精度之相位偏移圖案之遮罩。因此,形成於半導體基板上之抗蝕圖案成為具有較高之尺寸精度之所需抗蝕圖案。將該抗蝕圖案用作遮罩而實施蝕刻等,藉此可於例如半導體基板上形成特定之配線圖案。藉由經由此種曝光步驟、被加工膜加工步驟、絕緣膜及導電膜之形成步驟、摻雜劑導入步驟、退火步驟、以及其他必需之步驟而製造半導體裝置。
[實施例]
以下,一面參照圖式一面對實施例進行說明。再者,於實施例中對相同之構成要素使用相同之符號,從而簡化或省略說明。
圖2係表示由實施例1及2之反射型光罩基底100製作反射型光罩200之步驟之主要部分剖面模式圖。圖7係利用主要部分剖面模式圖表示嘗試由比較例1之反射型光罩基底製作反射型光罩200之步驟的步驟圖。
(實施例1)
首先,對實施例1之反射型光罩基底100進行說明。實施例1之反射型光罩基底100具有背面導電膜6、基板1、多層反射膜2、保護膜3、吸收體膜4、及蝕刻遮罩膜5。吸收體膜4係包含鎳,蝕刻遮罩膜5包含鉻系材料。再者,如圖2(a)所示,於蝕刻遮罩膜5上形成有抗蝕膜11。
首先,對用於實施例1之反射型光罩基底100之基板1進行說明。作為基板1,準備第1主表面及第2主表面之兩面經研磨之6025尺寸(約152mm×152mm×6.35mm)之作為低熱膨脹玻璃基板之SiO2-TiO2系玻璃基板。以成為平坦且平滑之主表面之方式對SiO2-TiO2系玻璃基板(基板1)進行研磨,該研磨包含粗研磨加工步驟、精密研磨加工步驟、局部加工步驟、及 接觸研磨加工步驟。
於SiO2-TiO2系玻璃基板1之第2主表面(背面),藉由磁控濺鍍(反應性濺鍍)法並以下述之條件形成了包含CrN之背面導電膜6。再者,於本說明書中,混合氣體之比率係導入之氣體之體積%。
背面導電膜形成條件:Cr靶、Ar與N2之混合氣體氛圍(Ar:90%、N:10%)、膜厚20nm
其次,於與形成有背面導電膜6之側相反側之基板1之主表面(第1主表面)上形成多層反射膜2。關於形成於基板1上之多層反射膜2,為了製成適於波長13.5nm之EUV光之多層反射膜2,而製成包含Mo及Si之週期多層反射膜。多層反射膜2係使用Mo靶及Si靶,於氬氣氛圍中藉由離子束濺鍍而於基板1上交替地積層Mo層及Si層而形成。首先,以4.2nm之厚度成膜Si膜,繼而,以2.8nm之厚度成膜Mo膜。以此作為1個週期,以相同方式積層40個週期,最後以4.0nm之厚度成膜Si膜,藉此形成了多層反射膜2。此處,將積層週期設為40個週期,但並不限定於此。可將積層週期設為例如60個週期。於將積層週期設為60個週期之情形時,雖較40個週期步驟數增多,但可提高多層反射膜2對於EUV光之反射率。
繼而,於氬氣氛圍中,藉由使用Ru靶之離子束濺鍍,以2.5nm之厚度成膜Ru保護膜3。
其次,藉由DC濺鍍法,形成Ni膜作為吸收體膜4。Ni膜係於氬氣氛圍中將鎳(Ni)用於靶,以30nm、34.8nm及39.5nm之膜厚分別成膜,而製作出3片附吸收體膜之基板。上述所形成之Ni膜之波長13.5nm下之折射率n、消光係數(折射率虛部)k分別為以下。
Ni:n=約0.948、k=約0.073
對於所製作之3片附吸收體膜之基板(吸收體膜4之厚度:30nm、34.8nm、及39.5nm)進行了EUV反射率光譜之測定,結果獲得了圖5所示之光譜。波長13.5nm下之附吸收體膜之基板之反射率係如圖5中實線所示般,分別為1.4%、0.73%、0.18%,全部為2%以下。又,作為參考,於圖5中,用虛線表示模擬結果。根據圖5可知,實測與模擬之光譜顯示出良好之一致性。
對於所製作之3片附吸收體膜之基板,藉由磁控濺鍍(反應性濺鍍)法並以下述之條件分別形成了CrN膜以作為蝕刻遮罩膜5。
蝕刻遮罩膜形成條件:Cr靶、Ar與N2之混合氣體氛圍(Ar:90%、N:10%)
膜厚:10nm(吸收體膜4之厚度30nm)、11.6nm(吸收體膜4之厚度34.8nm)、13.2nm(吸收體膜4之厚度39.5nm)
藉由拉塞福逆散射譜法測定蝕刻遮罩膜5之元素組成,結果為Cr:90原子%、N:10原子%。
其次,使用實施例1之反射型光罩基底100而製造出實施例1之反射型光罩200。
於反射型光罩基底100之蝕刻遮罩膜5之上,以100nm之厚度形成了抗蝕膜11(圖2(a))。於該抗蝕膜11描繪(曝光)所需之圖案,進而進行顯影、沖洗,藉此形成了特定之抗蝕圖案11a(圖2(b))。其次,將抗蝕圖案11a設為遮罩,使用氯氣與O2之混合氣體(氯氣+氧氣)進行CrN膜(蝕刻遮罩膜5)之乾式蝕刻。藉由該乾式蝕刻,而形成了蝕刻遮罩圖案5a(圖2(c))。繼而,藉由使用氯氣進行Ni膜(吸收體膜4)之乾式蝕刻,而形成了吸收體圖案4a(圖2(d))。
包含Ni膜之吸收體膜4較Ta系材料難以蝕刻。於實施例1之情形時,藉由在吸收體膜4之上形成有蝕刻遮罩膜5,而容易地對包含Ni膜之吸收體膜4進行了蝕刻。又,由於可將用以形成轉印圖案之抗蝕膜11薄膜化,故而可獲得具有微細圖案之反射型光罩200。於無蝕刻遮罩膜5之情形時,於利用氯氣之吸收體膜4之乾式蝕刻中,抗蝕圖案11a亦被蝕刻,因此必須預先使抗蝕膜11之膜厚變厚。於較厚之抗蝕膜11之情形時,解像度變低。又,若抗蝕圖案11a之縱橫比(高度/線寬)變大,則於圖案顯影、及沖洗時,產生圖案崩塌。於實施例1中,藉由在吸收體膜4之上形成有包含蝕刻選擇性較高之材料之蝕刻遮罩膜5,而容易地對吸收體膜4進行了蝕刻,而實現了抗蝕膜11之薄膜化,因此解像度之降低及圖案崩塌之問題產生得到了抑制。此處,吸收體膜4相對於蝕刻遮罩膜5之蝕刻選擇比為6.7。
其後,利用灰化及抗蝕劑剝離液等將抗蝕圖案11a去除。又,藉由使用氯氣與O2之混合氣體之乾式蝕刻而將蝕刻遮罩圖案5a去除。最後進行使用純水(DIW(deionized water,去離子水))之濕式洗淨。藉由上述步驟而製造出實施例1之反射型光罩200(圖2(e))。再者,視需要,於濕式洗淨後,進行光罩缺陷檢查,並適當進行光罩缺陷修正。
關於實施例1之反射型光罩200,由於蝕刻遮罩膜5係鉻系材料,故而利用氯系氣體與氧氣之混合氣體之加工性良好,而以較高精度形成了蝕刻遮罩圖案5a。又,吸收體圖案4a之膜厚分別為30nm、34.8nm及39.5nm,較先前之由Ta系材料所形成之吸收體膜更薄,而降低了陰影效應。
又,對形成有膜厚30nm之吸收體圖案4a之反射型光罩200之HV偏壓進行了評價。如圖6所示,使Ni膜之HV偏壓比TaBN膜之HV偏壓小了約1/3左右。
又,對形成有膜厚30nm之吸收體圖案4a之反射型光罩200之對於DIW的耐洗淨性進行了評價。根據耐洗淨性之評價,於洗淨前後,減膜為約0.08nm,確認到耐洗淨性無問題。更具體而言,於洗淨前,表層為1.10nm之氧化鎳層且主體(bulk)部分為28.29nm,但於洗淨後,分別為1.10nm、28.21nm。
實施例1之反射型光罩200之耐洗淨性並無問題,且由於在吸收體圖案4a之表面存在氧化鎳層,故而提示吸收體圖案4a之表面之氧化鎳層對耐洗淨性賦予良好之影響,而提高了耐洗淨性。再者,實施例1之氧化鎳層係利用自然氧化所得之氧化層,且認為氧化層之厚度並不均勻。於刻意地以特定之膜厚均勻地形成了氧化鎳層之情形時,推測可使耐洗淨性進一步提高。為了提高耐洗淨性,可猜想氧化鎳層之厚度較佳為1.0nm以上、更佳為1.5nm以上。又,可推測氧化鎳層之厚度較佳為5nm以下,更佳為3nm以下。
將實施例1中所製作之反射型光罩200設置於EUV掃描儀中,對在半導體基板上形成有被加工膜及抗蝕膜之晶圓進行EUV曝光。然後,對該曝光過之抗蝕膜進行顯影,藉此於形成有被加工膜之半導體基板上形成了抗蝕圖案。
藉由蝕刻將該抗蝕圖案轉印至被加工膜,又,藉由經由絕緣膜、導電膜之形成、摻雜劑之導入、及退火等各種步驟,而製造了具有所需特性之半導體裝置。
(實施例2)
對實施例2之反射型光罩基底100進行說明。與實施例1同樣地,實施例2之反射型光罩基底100具有背面導電膜6、基板1、多層反射膜2、保護 膜3、吸收體膜4、及蝕刻遮罩膜5。實施例2之反射型光罩基底100除蝕刻遮罩膜5包含矽系材料以外,係與實施例1相同。
與實施例1同樣地,製作3片附吸收體膜之基板。對於該等3片附吸收體膜之基板,藉由RF濺鍍法並以下述之條件分別形成了SiO2膜以作為蝕刻遮罩膜5。
蝕刻遮罩膜形成條件:SiO2靶、氬氣氛圍(Ar:100%)
膜厚:13nm(吸收體膜4之厚度30nm)、15.1nm(吸收體膜4之厚度34.8nm)、17.2nm(吸收體膜4之厚度39.5nm)
藉由拉塞福逆散射譜法對蝕刻遮罩膜5之元素組成進行測定,結果確認到蝕刻遮罩膜5為SiO2膜。
其次,使用實施例2之反射型光罩基底100而製造出實施例2之反射型光罩200。
與實施例1同樣地,於反射型光罩基底100之蝕刻遮罩膜5之上,以100nm之厚度形成了抗蝕膜11(圖2(a))。於該抗蝕膜11上描繪(曝光)所需之圖案,進而進行顯影、沖洗,藉此形成了特定之抗蝕圖案11a(圖2(b))。其次,將抗蝕圖案11a設為遮罩,使用含氟氣體(具體而言為CF4氣體)進行SiO2膜(蝕刻遮罩膜5)之乾式蝕刻。藉由該乾式蝕刻,形成了蝕刻遮罩圖案5a(圖2(c))。繼而,藉由使用氯氣進行Ni膜(吸收體膜4)之乾式蝕刻,而形成了吸收體圖案4a(圖2(d))。
於實施例2中,與實施例1同樣地,藉由在吸收體膜4之上形成有蝕刻遮罩膜5,而容易地對包含Ni膜之吸收體膜4進行了蝕刻。又,由於可將用以形成轉印圖案之抗蝕膜11薄膜化,故而可獲得具有微細圖案之反射型光罩200。於實施例2中,藉由在吸收體膜4之上形成有包含蝕刻選擇性較 高之材料之蝕刻遮罩膜5,可容易地對吸收體膜4進行蝕刻,而將抗蝕膜11薄膜化。因此,於實施例2中,抑制了解像度之降低及圖案崩塌之問題產生。此處,吸收體膜4相對於蝕刻遮罩膜5之蝕刻選擇比係5.2。
其後,利用灰化及抗蝕劑剝離液等而將抗蝕圖案11a去除。又,藉由使用含氟氣體(具體而言為CF4氣體)之乾式蝕刻而將蝕刻遮罩圖案5a去除。最後,進行使用純水(DIW)之濕式洗淨。藉由上述步驟而製造出實施例2之反射型光罩200(圖2(e))。再者,視需要,於濕式洗淨後進行光罩缺陷檢查,並適當進行光罩缺陷修正。
關於實施例2之反射型光罩200,由於蝕刻遮罩膜5為矽系材料,故而利用含氟氣體之加工性良好,而以較高精度形成了蝕刻遮罩圖案5a。又,吸收體圖案4a之膜厚分別為30nm、34.8nm及39.5nm,較先前之由Ta系材料所形成之吸收體膜更薄,而降低了陰影效應。
確認到實施例2之反射型光罩200係與實施例1同樣地,減小了HV偏壓,且耐洗淨性無問題。又,與實施例1同樣地,藉由使用實施例2之反射型光罩200,而製造出具有所需特性之半導體裝置。
(比較例1)
對比較例1之反射型光罩基底進行說明。比較例1之反射型光罩基底具有背面導電膜6、基板1、多層反射膜2、保護膜3、及吸收體膜4。但是,比較例1之反射型光罩基底係與實施例1不同,不具有蝕刻遮罩膜5。比較例1之反射型光罩基底之基板1、多層反射膜2、保護膜3及吸收體膜4係與實施例1相同。
其次,如圖7(a)所示,使用比較例1之反射型光罩基底,嘗試了比較例1之反射型光罩200之製造。
於反射型光罩基底之吸收體膜4之上,以100nm之厚度形成了抗蝕膜11(圖7(a))。於該抗蝕膜11上描繪(曝光)所需之圖案,進而進行顯影、沖洗,藉此形成了特定之抗蝕圖案11a(圖7(b))。其次,嘗試了藉由使用氯氣進行Ni膜(吸收體膜4)之乾式蝕刻,而形成吸收體圖案4a(圖7(c))。其後,利用灰化及抗蝕劑剝離液等而將抗蝕圖案11a去除,預定獲得如圖7(d)所示之反射型光罩200。
包含Ni膜之吸收體膜4較Ta系材料難以蝕刻。因此,於比較例1之情形時,於吸收體膜4之上未形成蝕刻遮罩膜5,因此無法容易地蝕刻包含Ni膜之吸收體膜4。即,於形成吸收體圖案4a之前,藉由蝕刻而抗蝕圖案11a消失。因此,於比較例1之情形時,無法獲得圖7(c)所示之吸收體圖案4a、及如圖7(d)所示之反射型光罩200。
根據比較例1之結果,可謂於在吸收體膜4之上未形成蝕刻遮罩膜5之情形時,需要非常厚之抗蝕膜11。即,於無蝕刻遮罩膜5之情形時,於利用氯氣之吸收體膜4之乾式蝕刻中,抗蝕圖案11a亦被蝕刻,因此必須預先使抗蝕膜11之膜厚變厚。然而,於具有較厚之抗蝕膜11之情形時會產生解像度變低之問題。又,若抗蝕圖案11a之縱橫比(高度/線寬)變大,則於圖案顯影、及沖洗時會產生如下問題,即發生圖案崩塌。
1:基板
2:多層反射膜
3:保護膜
4:吸收體膜
5:蝕刻遮罩膜
6:背面導電膜
100:反射型光罩基底

Claims (12)

  1. 一種反射型光罩基底,其特徵在於:其係於基板上依序具有多層反射膜、吸收體膜及蝕刻遮罩膜者,上述吸收體膜包含含有鎳(Ni)之材料,上述蝕刻遮罩膜含包含含有鉻(Cr)之材料或含有矽(Si)之材料之材料,且上述吸收體膜具有相位偏移功能。
  2. 如請求項1之反射型光罩基底,其中上述蝕刻遮罩膜包含含有鉻(Cr)且實質上不含氧(O)之材料。
  3. 如請求項2之反射型光罩基底,其中上述蝕刻遮罩膜之膜厚為3nm以上且15nm以下。
  4. 如請求項1之反射型光罩基底,其中上述蝕刻遮罩膜包含矽(Si),上述蝕刻遮罩膜之膜厚為3nm以上且20nm以下。
  5. 如請求項1或2之反射型光罩基底,其中上述吸收體膜於其表層具有鎳化合物之氧化層,上述氧化層之厚度為1nm以上且5nm以下。
  6. 如請求項1或2之反射型光罩基底,其中上述吸收體膜包含含有於上 述鎳(Ni)中添加有鈦(Ti)、鈮(Nb)、鉬(Mo)、釕(Ru)、銠(Rh)、碲(Te)、鈀(Pd)、鉭(Ta)及鎢(W)中之至少一者之鎳化合物之材料。
  7. 如請求項5之反射型光罩基底,其中上述鎳化合物之Ni含有比率為50原子%以上且未達100原子%。
  8. 如請求項1或2之反射型光罩基底,其於上述多層反射膜與吸收體膜之間具有保護膜,且上述保護膜包含含有釕(Ru)之材料。
  9. 一種反射型光罩之製造方法,其特徵在於:於如請求項1至3及5至8中任一項之反射型光罩基底之上述蝕刻遮罩膜上形成抗蝕圖案,上述蝕刻遮罩膜包含含有鉻(Cr)之材料,將上述抗蝕圖案設為遮罩,藉由包含氯系氣體及氧氣之乾式蝕刻氣體,以乾式蝕刻對上述蝕刻遮罩膜進行圖案化而形成蝕刻遮罩圖案,將上述蝕刻遮罩圖案設為遮罩,利用實質上不含氧之包含氯系氣體之乾式蝕刻氣體,以乾式蝕刻對上述吸收體膜進行圖案化而形成吸收體圖案。
  10. 一種反射型光罩之製造方法,其特徵在於:於如請求項1及4至8中任一項之反射型光罩基底之上述蝕刻遮罩膜上形成抗蝕圖案,上述蝕刻遮罩膜包含含有矽(Si)之材料,將上述抗蝕圖案設為遮罩,藉由包含含氟氣體之乾式蝕刻氣體,以 乾式蝕刻對上述蝕刻遮罩膜進行圖案化而形成蝕刻遮罩圖案,將上述蝕刻遮罩圖案設為遮罩,藉由實質上不含氧之包含氯系氣體之乾式蝕刻氣體,以乾式蝕刻對上述吸收體膜進行圖案化而形成吸收體圖案。
  11. 如請求項9或10之反射型光罩之製造方法,其中於形成上述吸收體圖案之後,將上述蝕刻遮罩圖案剝離。
  12. 一種半導體裝置之製造方法,其特徵在於具有如下步驟:於具有發出EUV光之曝光光源之曝光裝置中設置藉由如請求項9至11中任一項之反射型光罩之製造方法所獲得之反射型光罩,將轉印圖案轉印至形成於被轉印基板上之抗蝕膜。
TW106136066A 2016-10-21 2017-10-20 反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法 TWI764948B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP??2016-206953 2016-10-21
JP2016206953 2016-10-21

Publications (2)

Publication Number Publication Date
TW201827916A TW201827916A (zh) 2018-08-01
TWI764948B true TWI764948B (zh) 2022-05-21

Family

ID=62019158

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106136066A TWI764948B (zh) 2016-10-21 2017-10-20 反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法

Country Status (6)

Country Link
US (1) US11187972B2 (zh)
JP (2) JP7193344B2 (zh)
KR (1) KR102631779B1 (zh)
SG (1) SG11201903409SA (zh)
TW (1) TWI764948B (zh)
WO (1) WO2018074512A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020034666A (ja) 2018-08-29 2020-03-05 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP7504574B2 (ja) * 2018-10-22 2024-06-24 デクセリアルズ株式会社 原盤、原盤の製造方法及び転写物の製造方法
EP3858572A4 (en) * 2018-10-22 2022-06-29 Dexerials Corporation Master plate, master plate manufacturing method, and transfer body manufacturing method
KR20200013567A (ko) * 2018-11-19 2020-02-07 부경호 극자외선(euv) 노광에 사용되는 마스크 및 극자외선 노광방법
JP7447812B2 (ja) * 2019-01-21 2024-03-12 Agc株式会社 反射型マスクブランク、反射型マスク、および反射型マスクブランクの製造方法
US20220283492A1 (en) * 2021-03-03 2022-09-08 Shin-Etsu Chemical Co., Ltd. Reflective mask blank and reflective mask
TWI833171B (zh) * 2021-03-29 2024-02-21 日商Hoya股份有限公司 光罩基底、光罩之製造方法及顯示裝置之製造方法
KR20220141378A (ko) * 2021-04-12 2022-10-20 한국전자기술연구원 이트륨계 기반의 극자외선 노광용 펠리클
US20220350233A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220390827A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask and methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200424750A (en) * 2003-02-03 2004-11-16 Hoya Corp Blank photomask, photomask and method of pattern transferring using photomask
EP2664959A1 (en) * 2012-05-16 2013-11-20 Shin-Etsu Chemical Co., Ltd. Half-tone phase shift mask blank and method for manufacturing half-tone phase shift mask
TW201518855A (zh) * 2013-11-15 2015-05-16 S&S Tech Co Ltd 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩
TW201602716A (zh) * 2014-03-18 2016-01-16 Hoya股份有限公司 附阻劑層之基底、其製造方法、光罩基底及壓印用模基底、以及轉印用光罩、壓印用模及彼等之製造方法
WO2016159043A1 (ja) * 2015-03-31 2016-10-06 富士フイルム株式会社 転写フィルム、積層体、静電容量型入力装置および画像表示装置

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS509649B1 (zh) 1970-10-07 1975-04-15
JPS5950443A (ja) 1982-09-16 1984-03-23 Hitachi Ltd X線マスク
JP2883354B2 (ja) 1989-06-30 1999-04-19 ホーヤ株式会社 X線マスク材料およびx線マスク
JP3078163B2 (ja) 1993-10-15 2000-08-21 キヤノン株式会社 リソグラフィ用反射型マスクおよび縮小投影露光装置
JP3143035B2 (ja) 1994-12-27 2001-03-07 ホーヤ株式会社 転写マスクの製造方法
JPH09298150A (ja) 1996-05-09 1997-11-18 Nikon Corp 反射型マスク
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
JP2002299227A (ja) 2001-04-03 2002-10-11 Nikon Corp 反射マスクとその製造方法及び露光装置
JP2002313713A (ja) * 2001-04-19 2002-10-25 Nikon Corp レチクル、それを用いた露光装置及び露光方法
JP4212025B2 (ja) 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
JP2004335513A (ja) * 2003-04-30 2004-11-25 Nikon Corp レチクルの保持方法、保持装置及び露光装置
JP4693395B2 (ja) 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP4099589B2 (ja) 2004-02-20 2008-06-11 ソニー株式会社 マスクパターン補正方法、露光用マスクおよびマスク製造方法
JP2006078825A (ja) 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006173502A (ja) 2004-12-17 2006-06-29 Nikon Corp 光学素子及びこれを用いた投影露光装置
JP2006324268A (ja) 2005-05-17 2006-11-30 Dainippon Printing Co Ltd Euv露光用マスクブランクスおよびその製造方法、euv露光用マスク
JP4961990B2 (ja) 2005-12-14 2012-06-27 大日本印刷株式会社 マスクブランクおよび階調マスク
CN101443886B (zh) 2006-05-30 2012-06-27 Hoya株式会社 掩模基板的制造方法和转印掩模的制造方法
KR20080001023A (ko) * 2006-06-29 2008-01-03 주식회사 에스앤에스텍 극자외선 반사형 블랭크 마스크와 포토마스크 및 그제조방법
JP4848932B2 (ja) 2006-11-13 2011-12-28 大日本印刷株式会社 プロキシミティ露光用階調マスク
JP5009649B2 (ja) 2007-02-28 2012-08-22 Hoya株式会社 マスクブランク、露光用マスクの製造方法、反射型マスクの製造方法、及びインプリント用テンプレートの製造方法
JP4602430B2 (ja) 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
JP2008268980A (ja) * 2008-07-29 2008-11-06 Shin Etsu Chem Co Ltd フォトマスクの製造方法
JP5282507B2 (ja) 2008-09-25 2013-09-04 凸版印刷株式会社 ハーフトーン型euvマスク、ハーフトーン型euvマスクの製造方法、ハーフトーン型euvマスクブランク及びパターン転写方法
KR101485754B1 (ko) * 2008-09-26 2015-01-26 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용하여 제조되는 포토마스크
JP5971122B2 (ja) 2011-02-01 2016-08-17 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5648558B2 (ja) 2011-03-30 2015-01-07 凸版印刷株式会社 反射型マスクブランク、及び反射型マスクブランクの製造方法
JP6084391B2 (ja) 2011-09-28 2017-02-22 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法および半導体デバイスの製造方法
JP6060636B2 (ja) 2012-01-30 2017-01-18 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
US20150079502A1 (en) 2012-03-14 2015-03-19 Hoya Corporation Mask blank and method of manufacturing a transfer mask
JP5989376B2 (ja) 2012-03-30 2016-09-07 Hoya株式会社 欠陥評価用マスクブランクの製造方法、並びに欠陥評価方法
JP5950443B2 (ja) 2012-04-23 2016-07-13 日本プラスト株式会社 エアバッグ装置のケース体
JP6136445B2 (ja) 2013-03-27 2017-05-31 凸版印刷株式会社 反射型位相シフトマスク及び製造方法
KR101858947B1 (ko) 2013-08-30 2018-05-17 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 블랭크의 제조방법, 반사형 마스크 및 반도체 장치의 제조방법
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
KR20160016098A (ko) * 2014-08-04 2016-02-15 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
JP6440996B2 (ja) 2014-08-22 2018-12-19 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
KR102499220B1 (ko) 2014-09-17 2023-02-13 호야 가부시키가이샤 반사형 마스크 블랭크 및 그 제조 방법, 반사형 마스크 및 그 제조 방법, 및 반도체 장치의 제조 방법
JP6425951B2 (ja) 2014-09-17 2018-11-21 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
JP6651314B2 (ja) 2014-12-26 2020-02-19 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6485070B2 (ja) 2015-01-27 2019-03-20 Agc株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法、ならびに該マスクブランク用の反射層付基板の製造方法
JP6601245B2 (ja) 2015-03-04 2019-11-06 信越化学工業株式会社 フォトマスクブランク、フォトマスクの製造方法及びマスクパターン形成方法
KR101579852B1 (ko) * 2015-03-25 2015-12-23 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
JP7114173B2 (ja) 2018-05-16 2022-08-08 株式会社カウネット ファイル

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200424750A (en) * 2003-02-03 2004-11-16 Hoya Corp Blank photomask, photomask and method of pattern transferring using photomask
EP2664959A1 (en) * 2012-05-16 2013-11-20 Shin-Etsu Chemical Co., Ltd. Half-tone phase shift mask blank and method for manufacturing half-tone phase shift mask
TW201518855A (zh) * 2013-11-15 2015-05-16 S&S Tech Co Ltd 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩
TW201602716A (zh) * 2014-03-18 2016-01-16 Hoya股份有限公司 附阻劑層之基底、其製造方法、光罩基底及壓印用模基底、以及轉印用光罩、壓印用模及彼等之製造方法
WO2016159043A1 (ja) * 2015-03-31 2016-10-06 富士フイルム株式会社 転写フィルム、積層体、静電容量型入力装置および画像表示装置

Also Published As

Publication number Publication date
JP2022009220A (ja) 2022-01-14
SG11201903409SA (en) 2019-05-30
WO2018074512A1 (ja) 2018-04-26
US20190265585A1 (en) 2019-08-29
JPWO2018074512A1 (ja) 2019-08-29
TW201827916A (zh) 2018-08-01
US11187972B2 (en) 2021-11-30
JP7193344B2 (ja) 2022-12-20
KR20190059326A (ko) 2019-05-30
KR102631779B1 (ko) 2024-02-01

Similar Documents

Publication Publication Date Title
TWI810176B (zh) 反射型光罩基底、反射型光罩及其製造方法、與半導體裝置之製造方法
TWI764948B (zh) 反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法
TWI664489B (zh) 反射型光罩基底及其製造方法、反射型光罩及其製造方法、以及半導體裝置之製造方法
TWI732801B (zh) 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法
TW202004326A (zh) 反射型光罩基底、反射型光罩、以及反射型光罩及半導體裝置之製造方法
KR20190102192A (ko) 도전막 부착 기판, 다층 반사막 부착 기판, 반사형 마스크 블랭크, 반사형 마스크 및 반도체 장치의 제조 방법
TWI801455B (zh) 反射型光罩基底、反射型光罩及其製造方法、以及半導體裝置之製造方法
JP7268211B2 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
TW202235994A (zh) 反射型光罩基底、反射型光罩及半導體裝置之製造方法
TWI781133B (zh) 反射型光罩基底、反射型光罩及其製造方法、與半導體裝置之製造方法
WO2020184473A1 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6440996B2 (ja) 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
JP2016046370A5 (zh)
TWI833025B (zh) 反射型遮罩基底、反射型遮罩、以及反射型遮罩及半導體裝置之製造方法
TW202223529A (zh) 反射型光罩基底、反射型光罩及半導體裝置之製造方法
WO2020256062A1 (ja) 反射型マスクブランク、反射型マスク、並びに反射型マスク及び半導体装置の製造方法