TWI756424B - Method of cleaming plasma processing - Google Patents

Method of cleaming plasma processing Download PDF

Info

Publication number
TWI756424B
TWI756424B TW107115936A TW107115936A TWI756424B TW I756424 B TWI756424 B TW I756424B TW 107115936 A TW107115936 A TW 107115936A TW 107115936 A TW107115936 A TW 107115936A TW I756424 B TWI756424 B TW I756424B
Authority
TW
Taiwan
Prior art keywords
plasma
gas
plasma processing
processing chamber
cleaning method
Prior art date
Application number
TW107115936A
Other languages
Chinese (zh)
Other versions
TW201909272A (en
Inventor
村上拓
砂金優
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201909272A publication Critical patent/TW201909272A/en
Application granted granted Critical
Publication of TWI756424B publication Critical patent/TWI756424B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

There is provision of a cleaning method of a plasma processing apparatus including a plasma treatment chamber for applying plasma treatment to a substrate. The method includes: insulating a part of plasma treatment chamber, generating plasma of fluorocarbon gas in the plasma treatment chamber, and removing deposits on a non-plasma surface of a space outside of the plasma treatment chamber, by the plasma of the fluorocarbon gas introduced from the plasma treatment chamber to the outside space.

Description

電漿處理裝置之洗淨方法 Cleaning method of plasma processing device

本發明係關於一種電漿處理裝置之洗淨方法。 The present invention relates to a cleaning method of a plasma processing device.

電漿處理裝置中,係在將電漿處理後之晶圓搬出後,進行將氧氣導入至電漿生成空間之電漿處理室,而實行乾式清潔處理(例如,參照專利文獻1)。專利文獻1中,係將電漿處理室之壓力設定在26.7Pa~80.0Pa,來生成氧氣電漿以實行乾式清潔處理。進一步地,將四氟化碳氣體導入至電漿處理室,而生成四氟化碳氣體之電漿,以實行氧化物去除處理。 In the plasma processing apparatus, after carrying out the plasma processed wafer, a plasma processing chamber for introducing oxygen gas into the plasma generating space is performed to perform dry cleaning processing (for example, refer to Patent Document 1). In Patent Document 1, the pressure of the plasma processing chamber is set at 26.7 Pa to 80.0 Pa, and oxygen plasma is generated to perform dry cleaning. Further, carbon tetrafluoride gas is introduced into the plasma processing chamber to generate a plasma of carbon tetrafluoride gas to perform oxide removal treatment.

【先前技術文獻】 【Prior technical literature】

【專利文獻】 【Patent Literature】

專利文獻1:日本特開2007-214512號公報 Patent Document 1: Japanese Patent Laid-Open No. 2007-214512

然而,由於上述乾式清潔手法中,會難以將電漿導入至位於電漿處理室外側的電漿處理裝置之非電漿區域,故會難以去除非電漿區域所附著的附著物。特別是,上述乾式清潔手法中,會難以藉由去除氧化膜等的附著物所需的低壓條件,來將電漿導入至非電漿區域。 However, in the above-mentioned dry cleaning method, it is difficult to introduce plasma into the non-plasma region of the plasma processing apparatus located outside the plasma processing chamber, so it is difficult to remove the deposits attached to the non-plasma region. In particular, in the above-described dry cleaning method, it is difficult to introduce the plasma into the non-plasma region under the low-pressure conditions required for the removal of deposits such as oxide films.

針對上述課題,一面相中,本發明之目的在於以低壓條件藉由既定電漿來去除電漿處理裝置之非電漿面所附著的附著物。 In view of the above-mentioned problems, in one aspect, an object of the present invention is to remove deposits attached to a non-plasma surface of a plasma processing apparatus by a predetermined plasma under low pressure conditions.

為了解決上述課題,根據一態樣,便提供一種電漿處理裝置之洗淨方法,係在處理容器內之電漿處理室將基板電漿處理的電漿處理裝置之洗淨方法,具有:將電漿處理後之基板搬出的步驟;第1步驟,係使該電漿處理室的一部分之區域絕緣;第2步驟,係在該電漿處理室生成氟化碳氣體之電 漿;以及第3步驟,係藉由從該電漿處理室透過該絕緣後之區域來供給至該電漿處理室外側之空間的該氟化碳氣體之電漿,來去除該外側之空間的非電漿面之附著物。 In order to solve the above-mentioned problems, according to an aspect, there is provided a cleaning method of a plasma processing apparatus, which is a cleaning method of a plasma processing apparatus for plasma processing a substrate in a plasma processing chamber in a processing container, comprising: The step of carrying out the substrate after the plasma treatment; the first step is to insulate a part of the region of the plasma treatment chamber; the second step is to generate electricity of carbon fluoride gas in the plasma treatment chamber and a third step of removing the space of the outer side by supplying the plasma of the carbon fluoride gas to the space outside the plasma processing chamber through the insulated area from the plasma processing chamber Non-plasma surface attachments.

根據一面相,便可以低壓條件藉由既定電漿來去除電漿處理裝置之非電漿面所附著的附著物。 According to the one-sided phase, the adherents attached to the non-plasma surface of the plasma processing apparatus can be removed by a predetermined plasma at low pressure.

2:處理容器 2: Handling the container

5:載置台(下部電極) 5: Mounting table (lower electrode)

7:冷卻室 7: Cooling room

11:靜電夾具 11: Electrostatic fixture

15:聚焦環 15: Focus Ring

20:緩衝板 20: Buffer plate

23:沉積遮蔽部 23: Deposition shield

22:擋板 22: Baffle

30:處理氣體供給源 30: Process gas supply source

35:排氣裝置 35: Exhaust

40:氣體噴淋頭(上部電極) 40: Gas shower head (upper electrode)

51:第1高頻電源 51: 1st high frequency power supply

53:第2高頻電源 53: 2nd high frequency power supply

100:控制裝置 100: Controls

S1:電漿處理室 S1: Plasma Processing Chamber

S2:排氣空間 S2: Exhaust space

S3:非電漿空間 S3: Non-plasma space

圖1係顯示一實施形態相關之電漿處理裝置一範例的圖式。 FIG. 1 is a diagram showing an example of a plasma processing apparatus according to an embodiment.

圖2係顯示第1實施形態相關之電漿處理裝置之洗淨處理一範例的流程圖。 FIG. 2 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the first embodiment.

圖3係顯示一實施形態相關之擋板的開閉一範例之圖式。 FIG. 3 is a diagram showing an example of opening and closing of the shutter according to an embodiment.

圖4係顯示第2實施形態相關之電漿處理裝置之洗淨處理一範例的流程圖。 FIG. 4 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the second embodiment.

圖5係顯示一實施形態相關之清潔時的壓力及高頻電力之關係的圖式。 FIG. 5 is a graph showing the relationship between pressure and high-frequency power during cleaning according to one embodiment.

圖6係顯示第3實施形態相關之電漿處理裝置之洗淨處理一範例的流程圖。 FIG. 6 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the third embodiment.

圖7係顯示第4實施形態相關之電漿處理裝置之洗淨處理一範例的流程圖。 FIG. 7 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the fourth embodiment.

圖8係顯示一實施形態相關的清潔時的壓力及高頻電力之關係的圖式。 FIG. 8 is a graph showing the relationship between pressure and high-frequency power during cleaning according to an embodiment.

以下,便參照圖式就用以實施本發明一形態來加以說明。另外,本說明書及圖式中,關於實質上相同的構成係附加相同符號而省略重複說明。 Hereinafter, an embodiment for implementing the present invention will be described with reference to the drawings. In addition, in this specification and drawings, the same code|symbol is attached|subjected about the substantially same structure, and the repeated description is abbreviate|omitted.

[電漿處理裝置] [Plasma processing device]

首先,就本發明一實施形態相關之電漿處理裝置來加以說明。圖1係本發明一實施形態相關之電漿處理裝置一範例。本實施形態相關之電漿處理裝置係具有由例如表面被陽極氧化處理後之鋁所構成的略圓筒狀之處理容器2。處理容器2會接地。 First, a plasma processing apparatus according to an embodiment of the present invention will be described. FIG. 1 is an example of a plasma processing apparatus according to an embodiment of the present invention. The plasma processing apparatus according to the present embodiment has a substantially cylindrical processing container 2 made of, for example, aluminum whose surface has been anodized. The processing vessel 2 will be grounded.

處理容器2內之底部係透過陶瓷等的絕緣板3,來設置有略圓柱狀之支 撐台4。支撐台4上係設置有保持晶圓W,亦具有作為下部電極之機能的載置台5。 The bottom of the processing container 2 is provided with a slightly cylindrical support through an insulating plate 3 such as ceramics. Stand 4. The support table 4 is provided with a mounting table 5 that holds the wafer W and also has a function as a lower electrode.

支撐台2內部係設置有冷卻室7。冷卻室7會透過冷媒導入管8來導入有冷媒。冷媒會循環於冷卻室7,而從冷媒排出管9來被排出。又,絕緣板3、支撐台4、載置台5、靜電夾具11係形成有用以將導熱媒體(例如He氣體等)供給至晶圓W內面的氣體通道14,而會透過導熱媒體來將載置台5之冷熱傳導至晶圓W,以將晶圓W維持在既定溫度。 A cooling chamber 7 is provided inside the support table 2 . A refrigerant is introduced into the cooling chamber 7 through the refrigerant introduction pipe 8 . The refrigerant circulates in the cooling chamber 7 and is discharged from the refrigerant discharge pipe 9 . In addition, the insulating plate 3, the support table 4, the mounting table 5, and the electrostatic jig 11 are formed with a gas channel 14 for supplying a heat transfer medium (eg, He gas, etc.) to the inner surface of the wafer W, and the carrier is passed through the heat transfer medium. The cooling and heat of the stage 5 are conducted to the wafer W to maintain the wafer W at a predetermined temperature.

載置台5的上側中央部上係設置有為圓形,且與晶圓W為略相同直徑的靜電夾具11。靜電夾具11會將吸附電極12配置於絕緣材之間。吸附電極12係連接有直流電源13,藉由從直流電源13來施加直流電壓,便可藉由庫倫力來將晶圓W吸附於靜電夾具11。 An electrostatic chuck 11 having a circular shape and having approximately the same diameter as the wafer W is provided on the upper central portion of the mounting table 5 . The electrostatic jig 11 arranges the adsorption electrode 12 between insulating materials. The suction electrode 12 is connected to a DC power supply 13 , and by applying a DC voltage from the DC power supply 13 , the wafer W can be attracted to the electrostatic chuck 11 by Coulomb force.

載置台5上端周緣部係以圍繞靜電夾具11上所載置之晶圓W的方式來配置有圓環狀之聚焦環15。聚焦環15係由例如矽等的導電性材料所形成,而具有提升電漿均勻性之作用。載置台5側面會被載置台側面披覆構件60所覆蓋。 An annular focus ring 15 is disposed on the peripheral edge of the upper end of the mounting table 5 so as to surround the wafer W mounted on the electrostatic chuck 11 . The focus ring 15 is formed of a conductive material such as silicon, and has the effect of improving plasma uniformity. The side surface of the mounting table 5 is covered by the side surface covering member 60 of the mounting table.

載置台5上方係設置有氣體噴淋頭40。氣體噴淋頭40會與具有作為下部電極之機能的載置台5對向設置,而具有作為上部電極之機能。氣體噴淋頭40會透過絕緣材41來被處理容器2之頂部所支撐。氣體噴淋頭40係具有:電極板24;以及支撐電極板24之導電性材料的電極支撐體25。電極板24係以例如矽或SiC等的導電性或半導體所構成,並具有多數氣體孔45。電極板24會形成與載置台5的對向面。 A gas shower head 40 is installed above the mounting table 5 . The gas shower head 40 is disposed opposite to the mounting table 5 having a function as a lower electrode, and has a function as an upper electrode. The gas shower head 40 is supported by the top of the processing container 2 through the insulating material 41 . The gas shower head 40 includes: the electrode plate 24 ; and the electrode support body 25 supporting the conductive material of the electrode plate 24 . The electrode plate 24 is made of conductivity or semiconductor such as silicon or SiC, and has many gas holes 45 . The electrode plate 24 is formed to face the mounting table 5 .

電極支撐體25中央係設置有氣體導入口26,氣體導入口26係連接有氣體供給管27。氣體供給管27會透過開閉閥28及質流控制器(MFC)29來連接有處理氣體供給源30。處理氣體供給源30會供給蝕刻等的電漿處理用之處理氣體及清潔處理用之清潔氣體等。氣體會藉由質流控制器(MFC)29來進行流量控制,並會對應於開閉閥28之開閉而透過氣體供給管27及氣體導入口26來被搬送至氣體擴散室44。氣體會在氣體擴散室44中擴散,而從多數氣體孔45來被導入至處理容器2內部。 A gas introduction port 26 is provided in the center of the electrode support body 25 , and a gas supply pipe 27 is connected to the gas introduction port 26 . The gas supply pipe 27 is connected to a process gas supply source 30 through an on-off valve 28 and a mass flow controller (MFC) 29 . The processing gas supply source 30 supplies a processing gas for plasma processing such as etching, a cleaning gas for cleaning processing, and the like. The gas is flow-controlled by the mass flow controller (MFC) 29 , and is transported to the gas diffusion chamber 44 through the gas supply pipe 27 and the gas inlet 26 in accordance with the opening and closing of the on-off valve 28 . The gas diffuses in the gas diffusion chamber 44 and is introduced into the processing container 2 from the plurality of gas holes 45 .

處理容器2係可裝卸自如地設置有用以防止在蝕刻等的電漿處理時所 生成的反應生成物會附著於其內壁之沉積遮蔽部23。又,沉積遮蔽部23可設置於支撐台4及載置台5外周側之排氣空間S2。 The processing container 2 is detachably provided to prevent damage during plasma processing such as etching. The generated reaction product adheres to the deposition shielding portion 23 on the inner wall thereof. In addition, the deposition shielding portion 23 may be provided in the exhaust space S2 on the outer peripheral side of the support table 4 and the mounting table 5 .

沉積遮蔽部23與載置台5之間係設置有形成為圓環狀之緩衝板20。沉積遮蔽部23及緩衝板20係可適當地使用將氧化鋁、氧化釔(Y2O3)等的陶瓷披覆於鋁材者。 An annular buffer plate 20 is provided between the deposition shielding portion 23 and the stage 5 . As the deposition shielding portion 23 and the buffer plate 20 , those made of alumina, yttrium oxide (Y 2 O 3 ) and other ceramics coated on aluminum materials can be appropriately used.

緩衝板20係具有調整氣流,並使氣體從電漿處理室S1朝排氣空間S2均勻地排氣之機能。電漿處理室S1係以載置台5、氣體噴淋頭40、沉積遮蔽部23及緩衝板20所形成的電漿生成空間(電漿處理空間)。電漿處理室S1內部係從氣體噴淋頭40所供給之氣體來生成既定電漿,而藉由電漿來對晶圓W施予既定處理。 The buffer plate 20 has the function of adjusting the air flow and uniformly exhausting the gas from the plasma processing chamber S1 to the exhaust space S2. The plasma processing chamber S1 is a plasma generation space (plasma processing space) formed by the stage 5 , the gas shower head 40 , the deposition shield 23 , and the buffer plate 20 . Inside the plasma processing chamber S1 , a predetermined plasma is generated from the gas supplied from the gas shower head 40 , and a predetermined process is applied to the wafer W by the plasma.

電漿處理室S1的一部分可藉由擋板22來加以開閉。在晶圓W的搬入及搬出時,便開啟閘閥GV,藉由升降機55的驅動來使擋板22下降並開啟擋板22,而從擋板22之開口來將晶圓W搬入至電漿處理室S1,或是從電漿處理室S1來將晶圓W搬出。 A part of the plasma processing chamber S1 can be opened and closed by the shutter 22 . When the wafers W are carried in and out, the gate valve GV is opened, the shutter 22 is lowered by the drive of the elevator 55 to open the shutter 22, and the wafer W is carried into the plasma processing through the opening of the shutter 22 chamber S1, or the wafer W is carried out from the plasma processing chamber S1.

處理空間S1下側的緩衝板20下方係形成有用以進行排氣之排氣空間S2。藉此,便可抑制電漿入侵至緩衝板20下游側的排氣空間S2。 An exhaust space S2 for exhausting is formed below the buffer plate 20 on the lower side of the processing space S1. Thereby, the intrusion of plasma into the exhaust space S2 on the downstream side of the buffer plate 20 can be suppressed.

第1高頻電源51會產生電漿生成用之高頻電力HF。第1高頻電源51會產生例如60MHz頻率之高頻電力HF。第1高頻電源51會透過匹配器52來連接於氣體噴淋頭40。匹配器52係用以匹配第1高頻電源51之輸出阻抗與負載側(上部電極側)的輸入阻抗之電路。 The first high-frequency power source 51 generates high-frequency power HF for plasma generation. The first high-frequency power source 51 generates high-frequency power HF having a frequency of, for example, 60 MHz. The first high-frequency power supply 51 is connected to the gas shower head 40 through the matching device 52 . The matching device 52 is a circuit for matching the output impedance of the first high-frequency power source 51 and the input impedance of the load side (upper electrode side).

第2高頻電源53會產生用以將離子吸引至晶圓W的高頻偏壓電力LF。第2高頻電源53會產生例如20MHz頻率的高頻偏壓電力LF。第2高頻電源53會透過匹配器54來連接於載置台5。匹配器54係用以匹配第2高頻電源53之輸出阻抗與負載側(下部電極側)的輸入阻抗之電路。 The second high-frequency power supply 53 generates a high-frequency bias power LF for attracting ions to the wafer W. The second high-frequency power supply 53 generates, for example, a high-frequency bias power LF having a frequency of 20 MHz. The second high-frequency power supply 53 is connected to the mounting table 5 through the matching device 54 . The matching device 54 is a circuit for matching the output impedance of the second high-frequency power supply 53 and the input impedance of the load side (lower electrode side).

處理容器2之底部係連接有排氣管31,排氣管31係連接有排氣裝置35。排氣裝置35係具有渦輪分子泵等的真空泵,而可將處理容器2內抽真空至既定的減壓氛圍。又,處理容器2側壁係設置有閘閥GV,而藉由閘閥GV的開閉來在處理容器2內搬入及搬出晶圓W。 An exhaust pipe 31 is connected to the bottom of the processing container 2 , and an exhaust device 35 is connected to the exhaust pipe 31 . The exhaust device 35 is a vacuum pump such as a turbo molecular pump, and can evacuate the inside of the processing chamber 2 to a predetermined reduced pressure atmosphere. In addition, a gate valve GV is provided on the side wall of the processing container 2 , and wafers W are carried in and out of the processing container 2 by opening and closing the gate valve GV.

電漿處理裝置會藉由控制裝置100來加以控制。控制裝置100係具備有 通訊界面(I/F)105、CPU110、記憶體115等的電腦。記憶體115係儲存有藉由CPU來控制在電漿處理裝置所實行的蝕刻等之各種電漿處理用的控制程式,以及對應於處理條件來讓電漿處理裝置各部實行處理用的程式,亦即處理配方。CPU110會使用記憶體115所儲存之配方或控制程式來控制電漿處理裝置之各部(升降機55、排氣裝置35、直流電源13、第1高頻電源51、第2高頻電源53、處理氣體供給源30等)。 The plasma processing apparatus is controlled by the control apparatus 100 . The control device 100 is provided with A computer with communication interface (I/F) 105, CPU 110, memory 115, and the like. The memory 115 stores control programs for various plasma processing such as etching performed in the plasma processing apparatus by the CPU, and programs for executing the processing in each part of the plasma processing apparatus according to processing conditions, and also stores. i.e. processing recipes. The CPU 110 uses the recipe or control program stored in the memory 115 to control the various parts of the plasma processing device (elevator 55 , exhaust device 35 , DC power supply 13 , first high-frequency power supply 51 , second high-frequency power supply 53 , process gas supply source 30, etc.).

<第1實施形態> <First Embodiment>

[電漿處理裝置之洗淨方法] [Cleaning method of plasma processing device]

接著,便就相關構成的電漿處理裝置之洗淨方法,以第1~第4實施形態的順序來加以說明。首先,便參照圖2,就第1實施形態相關的電漿處理裝置之洗淨方法一範例來加以說明。圖2係顯示第1實施形態相關的電漿處理裝置之洗淨處理一範例的流程圖。 Next, the cleaning method of the plasma processing apparatus having the related configuration will be described in the order of the first to fourth embodiments. First, referring to FIG. 2, an example of the cleaning method of the plasma processing apparatus according to the first embodiment will be described. FIG. 2 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the first embodiment.

另外,在開始本處理前,晶圓W便是已在電漿處理裝置中施予蝕刻或成膜等的既定電漿處理後之狀態。在開始本處理時,會藉由控制裝置100之控制來開啟閘閥GV,而從處理容器2來將處理完成之晶圓W搬出(步驟S11),而使擋板22成為開啟的狀態(步驟S13)。步驟S13係使處理容器2內之電漿處理室S1的一部分區域絕緣之第1步驟一範例。亦即,在本實施形態及下述各實施形態中,可藉由開啟處理容器2內部所設置之擋板22,來作出電漿處理室S1的一部分會被絕緣之區域。亦即,擋板22的開口部分相當於電漿處理室的一部分之被絕緣區域。 In addition, before starting this process, the wafer W is in a state after a predetermined plasma process such as etching or film formation has been performed in a plasma processing apparatus. When this process is started, the gate valve GV is opened under the control of the control device 100 to carry out the processed wafer W from the process container 2 (step S11 ), and the shutter 22 is opened (step S13 ). ). Step S13 is an example of the first step of insulating a part of the region of the plasma processing chamber S1 in the processing container 2 . That is, in this embodiment and the following embodiments, a region where a part of the plasma processing chamber S1 is insulated can be created by opening the baffle 22 provided inside the processing container 2 . That is, the opening portion of the baffle 22 corresponds to an insulated region of a part of the plasma processing chamber.

接著,便從氣體噴淋頭40來導入四氟化碳氣體(步驟S15)。步驟S15係生成被導入至電漿處理室S1的氟化碳氣體電漿之第2步驟一範例。本實施形態中,係導入四氟化碳氣體(CF4氣體)來作為氟化碳氣體一範例。然而,所導入之氣體並不限於CF4氣體,亦可為CF4氣體、C4F6氣體、C5F8氣體及C6F6氣體的至少任一者。 Next, carbon tetrafluoride gas is introduced from the gas shower head 40 (step S15). Step S15 is an example of the second step of generating the carbon fluoride gas plasma introduced into the plasma processing chamber S1. In this embodiment, carbon tetrafluoride gas (CF 4 gas) is introduced as an example of carbon fluoride gas. However, the introduced gas is not limited to CF 4 gas, and may be at least any one of CF 4 gas, C 4 F 6 gas, C 5 F 8 gas, and C 6 F 6 gas.

接著,便藉由控制控制100之控制來實行氧化物去除處理(步驟S17)。具體而言,在步驟S15所導入之四氟化碳氣體會透過電漿處理室S1的一部分之被絕緣區域來被供給至為電漿處理室S1外側的處理容器2內之非電漿空間S3及排氣空間(非電漿空間)S2。然後,藉由所供給之四氟化碳氣體的電漿來 去除非電漿空間S3及排氣空間S2之表面所附著的矽氧化膜等的附著物。以下,亦將非電漿空間S3及排氣空間S2之表面稱為「非電漿面」。 Next, the oxide removal process is performed by the control of the control control 100 (step S17). Specifically, the carbon tetrafluoride gas introduced in step S15 is supplied to the non-plasma space S3 in the processing container 2 which is outside the plasma processing chamber S1 through a part of the insulated region of the plasma processing chamber S1 And the exhaust space (non-plasma space) S2. Then, by the supplied plasma of carbon tetrafluoride gas Adhesives such as silicon oxide films adhering to the surfaces of the non-plasma space S3 and the exhaust space S2 are removed. Hereinafter, the surfaces of the non-plasma space S3 and the exhaust space S2 are also referred to as "non-plasma surfaces".

接著,便關閉擋板22(步驟S19),而藉由排氣裝置35來將氟離子等從電漿處理裝置排出(步驟S21),便結束本處理。 Next, the shutter 22 is closed (step S19 ), fluorine ions and the like are exhausted from the plasma processing apparatus by the exhaust device 35 (step S21 ), and the present process ends.

如圖3(a)所示,擋板22會在將晶圓W做電漿處理的期間關閉,而將處理氣體導入至由沉積遮蔽部23、緩衝板20、載置台5、氣體噴淋頭40所圍繞之封閉空間的電漿處理室S1。處理氣體主要會在電漿處理室S1內藉由高頻電力HF來被電離或解離,而生成電漿P。擋板22係與沉積遮蔽部23及緩衝板20相同電位,而為大地電位。藉此,所生成之電漿P便會被封在電漿處理室S1內之晶圓W上方,而可對晶圓W施予所欲之電漿處理。 As shown in FIG. 3( a ), the shutter 22 is closed during the plasma processing of the wafer W, and the processing gas is introduced into the deposition shield 23 , the buffer plate 20 , the stage 5 , and the gas shower head. The plasma processing chamber S1 of the enclosed space surrounded by 40. The processing gas is mainly ionized or dissociated by the high-frequency power HF in the plasma processing chamber S1, and the plasma P is generated. The baffle plate 22 is at the same potential as the deposition shielding portion 23 and the buffer plate 20, and is at the ground potential. In this way, the generated plasma P is sealed above the wafer W in the plasma processing chamber S1 , and the wafer W can be subjected to desired plasma processing.

另外,在電漿處理期間,於加工晶圓W時,會生成矽氧化膜(SiOx)等的反應生成物,而附著於電漿處理室S1內壁。反應生成物的一部分會逐漸地附著於以沉積遮蔽部23、緩衝板20、擋板22所圍繞的電漿處理室S1之外側空間的非電漿空間S3及排氣空間S2的非電漿面F。 In addition, during the plasma processing, when the wafer W is processed, a reaction product such as a silicon oxide film (SiOx) is generated and adheres to the inner wall of the plasma processing chamber S1. A part of the reaction product gradually adheres to the non-plasma space S3 of the outer space of the plasma processing chamber S1 and the non-plasma surface of the exhaust space S2 surrounded by the deposition shield 23 , the buffer plate 20 , and the baffle plate 22 F.

於是,本實施形態相關之洗淨方法中,係開啟擋板22,而不僅清潔電漿處理室S1之表面所附著的反應生成物,亦清潔去除非電漿空間S3及排氣空間S2之非電漿面F所附著的反應生成物。 Therefore, in the cleaning method related to the present embodiment, the shutter 22 is opened, not only to clean the reaction products adhering to the surface of the plasma processing chamber S1, but also to clean and remove the non-plasma space S3 and the exhaust space S2. The reaction product adhered to the plasma surface F.

參照圖3來具體說明,本實施形態相關之洗淨方法中,如圖3(a)所示,係在將晶圓W電漿處理後,如圖3(b)所示將處理後之晶圓W搬出,而使擋板22成為開啟狀態。在搬出處理後之晶圓W時所開啟之擋板22亦可不關閉而維持開啟狀態。如此般,本實施形態中,藉由原本遮蔽電漿P之擋板22在清潔時仍成為開啟狀態,來製作出電漿處理室S1的一部分會被絕緣之區域A。 3 , in the cleaning method according to the present embodiment, as shown in FIG. 3( a ), after the wafer W is plasma treated, as shown in FIG. 3( b ) The circle W is carried out, and the shutter 22 is brought into an open state. The shutter 22 that is opened when the processed wafer W is unloaded may not be closed but maintained in an open state. In this way, in the present embodiment, the region A in which a part of the plasma processing chamber S1 is insulated is formed by the shutter 22 originally shielding the plasma P being kept open during cleaning.

如此一來,本實施形態中,便會藉由開啟擋板22,來相對於沉積遮蔽部23及緩衝板20之大地面而形成有如圖3(b)所示之電性浮接的絕緣區域A。如此一來,由於電漿處理室S1外側之處理容器2便會接地,而成為大地電位,故在浮接之絕緣區域A與處理容器2之大地面之間便會產生電位差。 In this way, in this embodiment, by opening the baffle 22, an electrically floating insulating area as shown in FIG. A. In this way, since the processing container 2 outside the plasma processing chamber S1 is grounded and becomes the ground potential, a potential difference is generated between the floating insulating area A and the ground of the processing container 2 .

在清潔時,會將四氟化碳氣體導入至電漿處理室S1,而生成四氟化碳氣體之電漿P(清潔用之電漿P)。四氟化碳氣體之電漿P會通過電位會較非電漿面F要高之絕緣區域A(擋板22之開口),來被誘導至為大地面之非電漿空 間S3及排氣空間S2的非電漿面F。 During cleaning, the carbon tetrafluoride gas is introduced into the plasma processing chamber S1 to generate a plasma P of the carbon tetrafluoride gas (plasma P for cleaning). The plasma P of the carbon tetrafluoride gas will be induced to the non-plasma space of the large ground through the insulating area A (the opening of the baffle 22) whose potential is higher than that of the non-plasma surface F. between S3 and the non-plasma surface F of the exhaust space S2.

以上,便已就在第1實施形態相關的電漿處理裝置之洗淨處理中,會藉由擋板22之開口及非電漿空間S3與排氣空間S2之非電漿面F的電位差,來將電漿P吸入至非電漿空間S3及排氣空間S2側的電性動作來加以說明。除此之外,電漿P構造之動作會藉由在清潔時開啟擋板22,來在處理容器2內部產生空間性的歪斜,而使電漿P從擋板22之開口朝向非電漿空間S3及排氣空間S2側移動。藉此,不僅在電漿處理室S1內,還可有效果地清潔非電漿空間S3及排氣空間S2之非電漿面F所附著的反應生成物,而藉由排氣來加以去除。 As described above, in the cleaning process of the plasma processing apparatus according to the first embodiment, the opening of the baffle 22 and the potential difference between the non-plasma surfaces F of the non-plasma space S3 and the exhaust space S2, The electrical operation of sucking the plasma P into the non-plasma space S3 and the exhaust space S2 side will be described. In addition, the action of the plasma P structure will generate a spatial skew in the processing container 2 by opening the baffle 22 during cleaning, so that the plasma P is directed from the opening of the baffle 22 to the non-plasma space S3 and the exhaust space S2 side move. Thereby, not only in the plasma processing chamber S1 but also the reaction products adhering to the non-plasma surfaces F of the non-plasma space S3 and the exhaust space S2 can be effectively cleaned and removed by exhaust.

<第2實施形態> <Second Embodiment>

[電漿處理裝置之洗淨方法] [Cleaning method of plasma processing device]

參照圖4,就第2實施形態相關的電漿處理裝置之洗淨方法一範例來加以說明。圖4係顯示第2實施形態相關的電漿處理裝置之洗淨處理一範例的流程圖。另外,圖4所示之第2實施形態相關的電漿處理裝置之洗淨處理在與圖2所示之第1實施形態相關的電漿處理裝置之洗淨處理相同的處理步驟上係附加相同步驟編號,並省略說明。 4, an example of the cleaning method of the plasma processing apparatus according to the second embodiment will be described. FIG. 4 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the second embodiment. In addition, the cleaning process of the plasma processing apparatus according to the second embodiment shown in FIG. 4 has the same processing steps as the cleaning processing of the plasma processing apparatus according to the first embodiment shown in FIG. 2 . The steps are numbered, and descriptions are omitted.

亦即,第2實施形態相關的電漿處理裝置之洗淨方法係僅在步驟S15的四氟化碳氣體導入後,於步驟S41之導入非活性氣體後,再進行步驟S17之氧化物去除處理的點上與第1實施形態相關之洗淨方法有所差異。 That is, in the cleaning method of the plasma processing apparatus according to the second embodiment, only after the introduction of the carbon tetrafluoride gas in the step S15 and the introduction of the inert gas in the step S41, the oxide removal treatment in the step S17 is performed. It is different from the cleaning method related to the first embodiment in point.

本實施形態中,亦會在清潔時開啟擋板22來作出絕緣區域A,而將四氟化碳體及非活性氣體之電漿的一部分從電漿處理室S1誘導至非電漿空間S3及排氣空間S2。本實施形態中,藉由在電漿處理室S1及非電漿空間S3與排氣空間S2之間製作出壓力不平衡的狀態,來將電漿誘導至非電漿空間S3及排氣空間S2。 In this embodiment, the baffle 22 is also opened during cleaning to form the insulating area A, and a part of the plasma of carbon tetrafluoride and inert gas is induced from the plasma processing chamber S1 to the non-plasma space S3 and Exhaust space S2. In this embodiment, the plasma is induced to the non-plasma space S3 and the exhaust space S2 by creating a state of pressure imbalance between the plasma processing chamber S1, the non-plasma space S3, and the exhaust space S2. .

特別是,本實施形態中,係藉由進一步地將非活性氣體添加至四氟化碳氣體,便可藉由彭寧效應(Penning effect)來更有效果地將電漿誘導至非電漿空間S3及排氣空間S2。 In particular, in this embodiment, by further adding an inert gas to the carbon tetrafluoride gas, the Penning effect can be used to induce the plasma to the non-plasma space more effectively. S3 and exhaust space S2.

所謂彭寧效應是指在將兩種氣體密封而放電時,能以較僅有單獨氣體之情況要低的電壓來產生放電的現象。亦即,本實施形態中,藉由供給四 氟化碳氣體與非活性氣體的2種類氣體,便可藉由彭寧效應而以較四氟化碳氣體之單一氣體的情況要低壓來產生放電,以產生電漿。例如藉由於CF4氣體添加Ar氣體,便可以較CF4之單一氣體的情況要低壓來產生電漿。 The so-called Penning effect refers to the phenomenon that when two gases are sealed and discharged, the discharge can be generated at a lower voltage than that of a single gas. That is, in this embodiment, by supplying two types of gas, the carbon tetrafluoride gas and the inert gas, the discharge can be generated at a lower pressure than that of the single gas of the carbon tetrafluoride gas due to the Penning effect. , to generate plasma. For example, by adding Ar gas to the CF 4 gas, the plasma can be generated at a lower pressure than that of a single gas of CF 4 .

在如以往般,持續關閉擋板22來進行清潔的情況,若不將處理容器2內之電漿處理室S1側成為相當高壓的話,便無法將清潔用之電漿誘導至排氣空間S2及非電漿空間S3。 In the case where the shutter 22 is continuously closed for cleaning as in the past, unless the plasma processing chamber S1 side in the processing container 2 becomes relatively high pressure, the cleaning plasma cannot be induced to the exhaust space S2 and Non-plasma space S3.

例如,圖5係以「○」的記號來表示可將電漿從電漿處理室S1朝非電漿空間S3及排氣空間S2側誘導的時點,並將此時之壓力與高頻電力HF顯示於表格。將Ar氣體添加於CF4氣體來生成電漿,並如圖3(a)所示持續關閉擋板22來進行清潔。在此情況,如圖5(a)所示,在使施加至上部電極的高頻電力HF為1400W,使電漿處理室S1內為150mTorr(=20.0Pa)之高壓時,便可將電漿誘導至排氣空間S2及非電漿空間S3。在此,施加至下部電極之高頻電力LF係1400W。 For example, in FIG. 5 , the time point at which the plasma can be induced from the plasma processing chamber S1 to the non-plasma space S3 and the exhaust space S2 side is indicated by the symbol “○”, and the pressure at this time is compared with the high-frequency power HF displayed in the table. Ar gas was added to CF 4 gas to generate plasma, and as shown in FIG. 3( a ), the shutter 22 was continuously closed for cleaning. In this case, as shown in FIG. 5( a ), when the high-frequency power HF applied to the upper electrode is set to 1400 W and the inside of the plasma processing chamber S1 is set to a high voltage of 150 mTorr (=20.0 Pa), the plasma can be Induced to the exhaust space S2 and the non-plasma space S3. Here, the high-frequency power LF applied to the lower electrode is 1400W.

藉此,在高頻電力HF為1300W以下的情況,即便使電漿處理室S1內為150mTorr,仍無法將電漿誘導至排氣空間S2及非電漿空間S3。又,即便施加1400W的高頻電力HF,在電漿處理室S1內未達150mTorr時,仍無法將電漿誘導至排氣空間S2及非電漿空間S3。 Accordingly, when the high-frequency power HF is 1300 W or less, even if the inside of the plasma processing chamber S1 is set to 150 mTorr, the plasma cannot be induced to the exhaust space S2 and the non-plasma space S3. In addition, even if the high-frequency power HF of 1400 W is applied, the plasma cannot be induced to the exhaust space S2 and the non-plasma space S3 until the plasma processing chamber S1 is less than 150 mTorr.

相對於此,由於矽氧化膜(SiOx)或矽氮化膜(SiNx)的電漿清潔係需要所謂低壓之條件,故較佳地係使電漿處理室S1內為較150mTorr要低壓。 On the other hand, since the plasma cleaning of the silicon oxide film (SiO x ) or the silicon nitride film (SiN x ) requires so-called low pressure conditions, it is preferable to make the inside of the plasma processing chamber S1 lower than 150 mTorr.

於是,本實施形態中,係例如將Ar氣體添加至CFx氣體來生成電漿,並如圖3(b)所示開啟擋板22來進行清潔。藉此,便可藉由彭寧效應而如圖5(b)所示,只要高頻電力HF為1100W以上的話,即便電漿處理室S1內為40mTorr(=5.33Pa)的低壓,仍可將電漿誘導至排氣空間S2及非電漿空間S3。又,在高頻電力HF未達1100W,而為900W以上情況,可以讓電漿處理室S1內為70mTorr(=9.33Pa)以上的低壓來將電漿誘導至排氣空間S2及非電漿空間S3。即便高頻電力HF未達900W,只要電漿處理室S1內為100mTorr(=13.33Pa)以上的話,便可將電漿誘導至排氣空間S2及非電漿空間S3。 Then, in the present embodiment, for example, Ar gas is added to CFx gas to generate plasma, and as shown in FIG. 3( b ), the shutter 22 is opened to perform cleaning. In this way, as shown in FIG. 5(b), as long as the high-frequency power HF is 1100W or more, even if the low pressure in the plasma processing chamber S1 is 40mTorr (=5.33Pa), the Plasma is induced to exhaust space S2 and non-plasma space S3. In addition, when the high-frequency power HF is less than 1100W, but is more than 900W, the plasma processing chamber S1 can be induced to the exhaust space S2 and the non-plasma space by making the low pressure of 70mTorr (=9.33Pa) or more in the plasma processing chamber S1 or more. S3. Even if the high-frequency power HF is less than 900 W, as long as the inside of the plasma processing chamber S1 is 100 mTorr (=13.33 Pa) or more, the plasma can be induced to the exhaust space S2 and the non-plasma space S3.

藉此,便可藉由在清潔時,開啟擋板22來製作出絕緣區域A,而藉由導 入2種類以上的清潔用氣體,即便在例如電漿處理室S1內為40mTorr的低壓狀態,仍可將電漿誘導至排氣空間S2及非電漿空間S3。藉此,便會促進離子蝕刻,而不僅電漿處理室S1,亦可清潔去除排氣空間S2及非電漿空間S3的非電漿面所附著之反應生成物的附著物。 In this way, the insulating area A can be formed by opening the baffle 22 during cleaning, and by conducting When two or more types of cleaning gases are introduced, plasma can be induced to the exhaust space S2 and the non-plasma space S3 even in the low pressure state of, for example, 40 mTorr in the plasma processing chamber S1. As a result, ion etching is promoted, and not only the plasma processing chamber S1 but also the reaction products attached to the non-plasma surfaces of the exhaust space S2 and the non-plasma space S3 can be cleaned and removed.

<第3實施形態> <The third embodiment>

<第3實施形態> <The third embodiment>

[電漿處理裝置之洗淨方法] [Cleaning method of plasma processing device]

接著,便參照圖6,就第3實施形態相關的電漿處理裝置之洗淨方法一範例來加以說明。圖6係顯示第3實施形態相關的電漿處理裝置之洗淨處理一範例的流程圖。另外,圖6所示之第3實施形態相關的電漿處理裝置之洗淨處理中,在與圖2所示之第1實施形態相關的電漿處理裝置之洗淨處理相同之步驟係附加相同之步驟編號,並簡化或省略說明。 Next, an example of a cleaning method of the plasma processing apparatus according to the third embodiment will be described with reference to FIG. 6 . FIG. 6 is a flowchart showing an example of the cleaning process of the plasma processing apparatus according to the third embodiment. In addition, in the cleaning process of the plasma processing apparatus according to the third embodiment shown in FIG. 6, the same steps as those of the cleaning process of the plasma processing apparatus according to the first embodiment shown in FIG. 2 are added. the number of the steps, and simplify or omit the description.

第3實施形態相關的電漿處理裝置之洗淨方法係在搬出晶圓W之步驟S11後,追加步驟S31~S35的乾式清潔處理的點上與第1實施形態相關之洗淨方法有所差異。 The cleaning method of the plasma processing apparatus according to the third embodiment is different from the cleaning method according to the first embodiment in that the dry cleaning process of steps S31 to S35 is added after step S11 of unloading the wafer W. .

亦即,本實施形態中,係在搬出晶圓W後,將O2氣體導入(步驟S31),來生成O2氣體電漿,並藉由O2氣體電漿來在電漿處理室S1內實行乾式清潔處理(步驟S33)。藉此,來去除電漿處理室S1壁面所附著的矽氧化膜之附著物。 That is, in the present embodiment, after the wafer W is unloaded, O 2 gas is introduced (step S31 ) to generate O 2 gas plasma, and the O 2 gas plasma is used in the plasma processing chamber S1 A dry cleaning process is performed (step S33). Thereby, the attachment of the silicon oxide film attached to the wall surface of the plasma processing chamber S1 is removed.

另外,藉由O2氣體電漿來實行乾式清潔處理之處理係生成被導入至電漿處理室S1的氧氣電漿之第4步驟一範例。第4步驟會在步驟S13~S17的第1步驟~第3步驟前來加以進行。 In addition, the process of performing the dry cleaning process by the O 2 gas plasma is an example of the fourth step of generating the oxygen plasma introduced into the plasma processing chamber S1 . The fourth step is performed before the first to third steps of steps S13 to S17.

接著,便在使用排氣裝置35來將氧離子等排出後(步驟S35),與第1實施形態相關之洗淨處理同樣地開啟擋板22(步驟S13)。接著,便導入四氟化碳氣體(步驟S15),來去除電漿處理室S1、排氣空間S2以及非電漿空間S3的非電漿面所附著之矽氧化膜的附著物(步驟S17)。接著,便在關閉擋板22(步驟S19),而將氟離子等排出後(步驟S21),結束本處理。 Next, after oxygen ions and the like are exhausted using the exhaust device 35 (step S35 ), the shutter 22 is opened in the same manner as in the cleaning process related to the first embodiment (step S13 ). Next, carbon tetrafluoride gas is introduced (step S15) to remove the silicon oxide film attached to the non-plasma surfaces of the plasma processing chamber S1, the exhaust space S2, and the non-plasma space S3 (step S17) . Next, after closing the shutter 22 (step S19 ) to discharge fluorine ions and the like (step S21 ), the present process ends.

如上述所說明般,根據第3實施形態相關的電漿處理裝置之洗淨方法,首先會使用氧電漿來進行處理容器2內之乾式清潔。因此,便會因乾式清潔 而使氣體噴淋頭40等的以矽來形成的構件被氧化,成為新的粒子產生源。於是,本實施形態中,係一併使用四氟化碳氣體電漿來清潔包含新粒子產生源之矽氧化膜及非電漿面所附著之矽氧化膜的附著物。 As described above, according to the cleaning method of the plasma processing apparatus according to the third embodiment, the inside of the processing container 2 is firstly dry-cleaned using oxygen plasma. Therefore, due to dry cleaning On the other hand, the members formed of silicon such as the shower head 40 are oxidized and become a new particle generation source. Therefore, in this embodiment, carbon tetrafluoride gas plasma is used together to clean the silicon oxide film including the source of new particle generation and the adhesion of the silicon oxide film attached to the non-plasma surface.

此時,本實施形態中,亦在氧電漿之清潔後開啟擋板22而製作出絕緣區域A,來將電漿誘導至非電漿空間S3及排氣空間S2。藉此便可去除非電漿空間S3及排氣空間S2的非電漿面所附著的矽氧化物之附著物。 At this time, in the present embodiment, the baffle 22 is opened after the oxygen plasma is cleaned to form an insulating region A, so as to induce the plasma to the non-plasma space S3 and the exhaust space S2. In this way, the deposition of silicon oxide attached to the non-plasma surfaces of the non-plasma space S3 and the exhaust space S2 can be removed.

<第4實施形態> <4th Embodiment>

[電漿處理裝置之洗淨方法] [Cleaning method of plasma processing device]

接著,便參照圖7,就第4實施形態相關的電漿處理裝置之洗淨方法一範例來加以說明。圖7係顯示第4實施形態相關的電漿處理裝置之洗淨方法一範例的流程圖。另外,圖7所示之第4實施形態相關的電漿處理裝置之洗淨處理中在與圖6所示之第3實施形態相關的電漿處理裝置之洗淨處理相同的處理步驟係附加相同步驟編號,並簡化或省略說明。 Next, an example of a cleaning method of the plasma processing apparatus according to the fourth embodiment will be described with reference to FIG. 7 . 7 is a flowchart showing an example of a cleaning method of the plasma processing apparatus according to the fourth embodiment. In the cleaning process of the plasma processing apparatus according to the fourth embodiment shown in FIG. 7, the same processing steps as those of the cleaning processing of the plasma processing apparatus according to the third embodiment shown in FIG. 6 are added. Steps are numbered, and descriptions are simplified or omitted.

第4實施形態相關的電漿處理裝置之洗淨方法係在導入四氟化碳氣體的步驟S15後,追加有導入非活性氣體的步驟S41的點上與第3實施形態相關之洗淨處理有所差異。 In the cleaning method of the plasma processing apparatus according to the fourth embodiment, after step S15 of introducing carbon tetrafluoride gas, step S41 of introducing an inert gas is added. The cleaning treatment according to the third embodiment includes: the difference.

亦即,本實施形態中,係在搬出晶圓W後,導入O2氣體(步驟S31),而生成O2氣體電漿,並藉由O2氣體電漿來實行乾式清潔處理(步驟S33)。 That is, in this embodiment, after the wafer W is unloaded, O 2 gas is introduced (step S31 ) to generate O 2 gas plasma, and the dry cleaning process is performed by the O 2 gas plasma (step S33 ). .

接著,便在使用排氣裝置35來排出氧離子等之後(步驟S35),與第3實施形態相關的洗淨處理同樣地開啟擋板22(步驟S13)。接著,便將四氟化碳氣體及Ar氣體等的非活性氣體導入(步驟S15、S41),來去除附著於處理容器2內之矽氧化膜的附著物(步驟S17)。接著,便在關閉擋板22(步驟S19),而將電漿處理室S1內之氟離子等排出後(步驟S21),結束本處理。 Next, after oxygen ions and the like are exhausted using the exhaust device 35 (step S35 ), the damper 22 is opened in the same manner as in the cleaning process related to the third embodiment (step S13 ). Next, inert gases such as carbon tetrafluoride gas and Ar gas are introduced (steps S15 and S41 ) to remove the deposit attached to the silicon oxide film in the processing chamber 2 (step S17 ). Next, the shutter 22 is closed (step S19 ), and the fluorine ions and the like in the plasma processing chamber S1 are discharged (step S21 ), and the present process is terminated.

如上述說明,根據第4實施形態相關的電漿處理裝置之洗淨方法,首先會使用氧電漿來進行乾式清潔。因此,氣體噴淋頭40等的以矽來形成的構件便會因為乾式清潔而被氧化,而成為新粒子產生源。於是,本實施形態中,係一併使用四氟化碳氣體及非活性氣體的電漿來清潔包含新粒子產生源之矽氧化膜及非電漿面所附著之矽氧化膜的附著物。 As described above, according to the cleaning method of the plasma processing apparatus according to the fourth embodiment, first, dry cleaning is performed using oxygen plasma. Therefore, the components formed of silicon such as the shower head 40 are oxidized by dry cleaning and become a source of new particle generation. Therefore, in this embodiment, the carbon tetrafluoride gas and the plasma of the inert gas are used together to clean the silicon oxide film including the source of new particle generation and the adhesion of the silicon oxide film attached to the non-plasma surface.

此時,本實施形態中,係在氧電漿之清潔後開啟擋板22而製作出絕緣 區域A,並將電漿誘導至非電漿空間S3及排氣空間S2。 At this time, in this embodiment, the baffle 22 is opened after the oxygen plasma is cleaned to make the insulation Area A, and induces plasma to non-plasma space S3 and exhaust space S2.

又,本實施形態中,係藉由導入四氟化碳氣體與非活性氣體的混合氣體,便可藉由彭寧效應以較四氟化碳氣體之單一氣體的情況要低壓來產生放電。 In addition, in this embodiment, by introducing a mixed gas of carbon tetrafluoride gas and an inert gas, discharge can be generated at a lower pressure than that of a single gas of carbon tetrafluoride gas due to the Penning effect.

針對矽氧化膜的電漿清潔係需要所謂低壓之條件。相對於此,本實施形態中,係可在滿足低壓條件之環境下藉由四氟化碳氣體及非活性氣體的混合氣體之電漿,來去除非電漿空間S3及排氣空間S2的非電漿面所附著的矽氧化物之附著物。 Plasma cleaning of silicon oxide films requires so-called low pressure conditions. On the other hand, in the present embodiment, the non-electrical space S3 and the exhaust space S2 can be removed by the plasma of the mixed gas of carbon tetrafluoride gas and inert gas under the environment satisfying the low pressure condition. The silicon oxide attached to the paste surface.

例如,藉由將Ar氣體添加至CF4氣體,便可以較CF4氣體之單一氣體的情況要低壓來產生電漿。 For example, by adding Ar gas to CF 4 gas, plasma can be generated at a lower pressure than in the case of a single gas of CF 4 gas.

例如,圖8中係以「○」的記號來表示成功地將電漿朝非電漿空間S3及排氣空間S2誘導的情況。又,圖8(b)的星型記號係表示圖6之步驟S33的氧電漿清潔時之程序條件。圖8(a)的星型記號係表示圖7之步驟S33的氧電漿清潔時的程序條件。 For example, in FIG. 8 , the case where the plasma is successfully induced to the non-plasma space S3 and the exhaust space S2 is indicated by the mark “◯”. In addition, the asterisk mark of FIG. 8(b) represents the program conditions at the time of oxygen plasma cleaning in step S33 of FIG. 6 . The star symbol in FIG. 8( a ) represents the program conditions during the oxygen plasma cleaning in step S33 of FIG. 7 .

圖8(a)係顯示將CF4氣體、Ar氣體及O2氣體的混合氣體導入至電漿處理室S1內的結果。圖8(b)係顯示僅將CF4氣體導入至電漿處理室S1內時之結果。另外,圖8(a)及圖8(b)中,係顯示關閉擋板22,而實行氧電漿清潔的情況。 FIG. 8( a ) shows the result of introducing a mixed gas of CF 4 gas, Ar gas, and O 2 gas into the plasma processing chamber S1 . FIG. 8( b ) shows the result when only the CF 4 gas was introduced into the plasma processing chamber S1. In addition, in FIG.8(a) and FIG.8(b), the shutter 22 is closed and the case where oxygen plasma cleaning is performed is shown.

在將CF4氣體、Ar氣體及O2氣體的混合氣體導入至圖8(a)所示之電漿處理室S1內的情況,相較於圖8(b)所示之僅將CF4氣體導入之情況,能以更低壓來將電漿誘導至非電漿空間S3及排氣空間S2。 In the case where the mixed gas of CF 4 gas, Ar gas and O 2 gas is introduced into the plasma processing chamber S1 shown in FIG . In the case of introduction, the plasma can be induced to the non-plasma space S3 and the exhaust space S2 at a lower pressure.

另外,圖8(a)中雖除了CF4氣體及Ar氣體之外,還供給有O2氣體,但即便不供給O2氣體,仍可藉由彭寧效應以較圖8(b)所示之情況要低壓來將電漿誘導至非電漿空間S3及排氣空間S2。 In addition, in FIG. 8( a ), in addition to CF 4 gas and Ar gas, O 2 gas is also supplied, but even if O 2 gas is not supplied, the Penning effect can still be used to compare with that shown in FIG. 8( b ). In this case, low pressure is required to induce the plasma to the non-plasma space S3 and the exhaust space S2.

以上,雖已藉由上述實施形態來說明電漿處理裝置之洗淨方法,但本發明相關的電漿處理裝置之洗淨方法並不限於上述實施形態,而可在本發明的範圍內進行各種變化及改良。上述複數實施形態所記載的事項可在不矛盾的範圍內組合。 In the above, although the cleaning method of the plasma processing apparatus has been described with reference to the above-mentioned embodiment, the cleaning method of the plasma processing apparatus according to the present invention is not limited to the above-mentioned embodiment, and various kinds of cleaning methods can be performed within the scope of the present invention. Changes and improvements. The matters described in the above-described plural embodiments can be combined within a range that does not contradict each other.

例如,上述各實施形態中,作為洗淨對象的電漿處理裝置之壁面所附 著的附著物一範例係舉矽氧化膜來加以說明。然而,本發明相關之洗淨對象並不限於此,例如亦可為矽氮化膜的附著物。在此情況,便可使用與上述各實施形態所說明之矽氧化膜的附著物之去除時所使用的氣體相同之CF4氣體等的氟化碳氣體之電漿或氟化碳氣體及非活性氣體之電漿。另外,添加於氟化碳氣體的非活性氣體並不限於Ar氣體,亦可為He氣體。 For example, in each of the above-mentioned embodiments, an example of the deposit attached to the wall surface of the plasma processing apparatus to be cleaned is described by taking a silicon oxide film. However, the cleaning object related to the present invention is not limited to this, and may be, for example, the attachment of the silicon nitride film. In this case, it is possible to use the same gas as the gas used in the removal of the deposition of the silicon oxide film described in the above - mentioned embodiments, such as CF4 gas, a plasma of a carbon fluoride gas, a carbon fluoride gas, and an inactive gas. Plasma of gas. In addition, the inert gas added to the carbon fluoride gas is not limited to Ar gas, but He gas may be used.

又,本發明相關之洗淨對象並不限於此,亦可為例如CxHy或CxFy的含有機聚合物。在此情況,在CxHy或CxFy的含有機聚合物去除時所使用的氣體較佳地係含氧氣體。 In addition, the object of cleaning related to the present invention is not limited to this, and may be, for example, an organic polymer containing CxHy or CxFy . In this case, the gas used in the removal of the CxHy or CxFy - containing organic polymer is preferably an oxygen-containing gas.

具體而言,在去除CxHy或CxFy的含有機聚合物時,便會實行下述第1步驟~第3步驟。 Specifically, when removing the organic polymer containing CxHy or CxFy , the following first to third steps are carried out.

第1步驟係將擋板22成為開啟的狀態,而使電漿處理室S1的一部分區域A絕緣。第2步驟係生成被導入至電漿處理室S1的含氧氣體之電漿。第3步驟係從電漿處理室S1的一部分被絕緣的區域A來將含氧氣體之電漿供給至非電漿面,來去除非電漿空間S3及排氣空間S2的非電漿面所附著之附著物。 In the first step, the shutter 22 is opened to insulate a part of the region A of the plasma processing chamber S1. The second step is to generate the plasma of the oxygen-containing gas introduced into the plasma processing chamber S1. The third step is to supply the plasma containing oxygen gas to the non-plasma surface from the region A in which a part of the plasma processing chamber S1 is insulated, so as to remove the non-plasma surface of the non-plasma space S3 and the exhaust space S2 from adhering to the non-plasma surface. attachments.

藉此,便可去除非電漿空間S3及排氣空間S2的非電漿面所附著之CxHy或CxFy的含有機聚合物。將含氧氣體之電漿供給至非電漿面,以去除CxHy或CxFy的含有機聚合物的洗淨方法中,係可在圖2及圖6之步驟S15中,取代導入四氟化碳氣體而導入含氧氣體。在圖4及圖7之步驟S15及S41中,亦可取代導入四氟化碳氣體及非活性氣體而導入含氧氣體及非活性氣體。 Thereby , the organic polymer containing CxHy or CxFy attached to the non-plasma surfaces of the non-plasma space S3 and the exhaust space S2 can be removed. In the cleaning method of the organic polymer containing C x H y or C x F y by supplying the plasma of the oxygen-containing gas to the non-plasma surface, in the step S15 of FIG. 2 and FIG. 6 , instead of The carbon tetrafluoride gas was introduced and the oxygen-containing gas was introduced. In steps S15 and S41 in FIGS. 4 and 7 , instead of introducing carbon tetrafluoride gas and inert gas, oxygen-containing gas and inert gas may be introduced.

另外,擋板22可不全開,只要有開啟的話即可。由於擋板22之開口部會被電性絕緣,而會從大地面產生既定電位差,故可達成本實施形態之電漿清潔效果。但是,在將擋板22全開時,由於會使清潔用電漿易於被誘導至排氣空間S2或非電漿空間S3,故較佳。 In addition, the shutter 22 may not be fully opened, as long as it is opened. Since the opening of the baffle 22 is electrically insulated and a predetermined potential difference is generated from the ground, the plasma cleaning effect of the present embodiment can be achieved. However, when the shutter 22 is fully opened, since the cleaning plasma is easily induced to the exhaust space S2 or the non-plasma space S3, it is preferable.

又,上述各實施形態中,係舉擋板22會藉由升降機55來上下移動的例子。然而,本發明相關的電漿處理裝置之洗淨處理並不限於此,亦可使擋板22及沉積遮蔽部23整體性地藉由升降機55來上下移動。 In addition, in each of the above-mentioned embodiments, the example in which the shutter 22 is moved up and down by the lifter 55 is exemplified. However, the cleaning process of the plasma processing apparatus according to the present invention is not limited to this, and the baffle 22 and the deposition shielding portion 23 may be integrally moved up and down by the elevator 55 .

本發明相關的電漿處理裝置係可適用ALD(Atomic Layer Deposition)裝置、電容感應耦合電漿(CCP)、感應耦合電漿(ICP)、輻線槽形天線、電子迴旋共振電漿(ECR)、螺旋波電漿(HWP)的各種類型。 The plasma processing device related to the present invention is applicable to ALD (Atomic Layer Deposition) device, capacitive inductively coupled plasma (CCP), inductively coupled plasma (ICP), radial slot antenna, electron cyclotron resonance plasma (ECR) , Various types of Helical Wave Plasma (HWP).

本說明書中係舉晶圓(半導體晶圓)W作為基板一範例來加以說明。但是基板並不限於此,亦可為LCD(Liquid Crystal Display)、FPD(Flat Panel Display)所使用的各種基板或光罩、CD基板、印刷基板等。 In this specification, a wafer (semiconductor wafer) W is used as an example of a substrate for description. However, the substrate is not limited to this, and may be various substrates used in LCD (Liquid Crystal Display) and FPD (Flat Panel Display), photomasks, CD substrates, printed substrates, and the like.

S11:晶圓搬出 S11: Wafer out

S13:開啟擋板 S13: Open the shutter

S15:導入四氟化碳氣體 S15: Introduce carbon tetrafluoride gas

S17:氧化物去除處理 S17: Oxide removal treatment

S19:關閉擋板 S19: Close the shutter

S21:氟離子等排出 S21: discharge of fluoride ions, etc.

Claims (10)

一種電漿處理裝置之洗淨方法,係在處理容器內之電漿處理室將基板電漿處理的電漿處理裝置之洗淨方法,具有:將電漿處理後之基板搬出的步驟;第1步驟,係使該電漿處理室的一部分之區域絕緣;第2步驟,係在該電漿處理室生成氟化碳氣體之電漿;以及第3步驟,係藉由從該電漿處理室透過該絕緣後之區域來供給至該電漿處理室的外側之空間的該氟化碳氣體之電漿,來去除該外側之空間的非電漿面之附著物。 A cleaning method of a plasma processing apparatus, which is a cleaning method of a plasma processing apparatus for plasma processing a substrate in a plasma processing chamber in a processing container, comprising: the step of carrying out the substrate after the plasma processing; 1st Step 2 is to insulate a region of a part of the plasma processing chamber; Step 2 is to generate a plasma of carbon fluoride gas in the plasma processing chamber; and Step 3 is to pass through the plasma processing chamber The insulating area is supplied to the plasma of the carbon fluoride gas in the space outside the plasma processing chamber to remove the attachments on the non-plasma surface of the space outside the plasma processing chamber. 如申請專利範圍第1項之洗淨方法,係進一步地具有:第4步驟,係在該電漿處理室生成氧氣電漿;該第1步驟~第3步驟會在該第4步驟後被加以進行。 The cleaning method of claim 1 of the claimed scope further comprises: a fourth step of generating oxygen plasma in the plasma processing chamber; the first to third steps are added after the fourth step conduct. 如申請專利範圍第1項之洗淨方法,其係在該第3步驟前導入非活性氣體。 According to the cleaning method of claim 1, the inert gas is introduced before the third step. 如申請專利範圍第2項之洗淨方法,其係在該第3步驟前導入非活性氣體。 According to the cleaning method of claim 2, the inert gas is introduced before the third step. 如申請專利範圍第3項之洗淨方法,其中該非活性氣體係Ar氣體或He氣體。 According to the cleaning method of claim 3, the inert gas is Ar gas or He gas. 如申請專利範圍第1至5項中任一項之洗淨方法,其係開啟形成該電漿處理室的一部分的擋板,以使該電漿處理室的一部分絕緣。 The cleaning method according to any one of claims 1 to 5 of the claimed scope, wherein a baffle forming a part of the plasma processing chamber is opened to insulate a part of the plasma processing chamber. 如申請專利範圍第1至5項中任一項之洗淨方法,其中在該第3步驟中所去除的該非電漿面之附著物係矽氧化物或矽氮化物。 The cleaning method according to any one of claims 1 to 5 of the claimed scope, wherein the adherents on the non-plasma surface removed in the third step are silicon oxides or silicon nitrides. 如申請專利範圍第1至5項中任一項之洗淨方法,其中該氟化碳氣體係CF4氣體、C4F6氣體、C5F8氣體及C6F6氣體的至少任一者。 The cleaning method according to any one of the claims 1 to 5, wherein the fluorocarbon gas is at least any one of CF 4 gas, C 4 F 6 gas, C 5 F 8 gas and C 6 F 6 gas By. 一種電漿處理裝置之洗淨方法,係在處理容器內之電漿處理室將基板電漿處理的電漿處理裝置之洗淨方法,具有:將電漿處理後之基板搬出的步驟;第1步驟,係使該電漿處理室的一部分之區域絕緣;第2步驟,係在該電漿處理室生成含氧氣體之電漿;以及 第3步驟,係藉由從該電漿處理室透過該絕緣後之區域來供給至該電漿處理室的外側之空間的該含氧氣體之電漿,來去除該外側之空間的非電漿面之附著物。 A cleaning method of a plasma processing apparatus, which is a cleaning method of a plasma processing apparatus for plasma processing a substrate in a plasma processing chamber in a processing container, comprising: the step of carrying out the substrate after the plasma processing; 1st a second step of generating a plasma of oxygen-containing gas in the plasma processing chamber; and The third step is to remove the non-plasma in the space outside the plasma treatment chamber by supplying the plasma of the oxygen-containing gas to the space outside the plasma treatment chamber through the insulated region surface attachments. 如申請專利範圍第9項之洗淨方法,其中在該第3步驟中所去除之非電漿面之附著物係CxHy或CxFy的含有機聚合物。 The cleaning method as claimed in claim 9 of the claimed scope, wherein the attachments on the non-plasma surface removed in the third step are organic polymers containing CxHy or CxFy .
TW107115936A 2017-05-12 2018-05-10 Method of cleaming plasma processing TWI756424B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2017-095746 2017-05-12
JP2017095746 2017-05-12
JP2018-091107 2018-05-10
JP2018091107A JP7072439B2 (en) 2017-05-12 2018-05-10 Cleaning method of plasma processing equipment

Publications (2)

Publication Number Publication Date
TW201909272A TW201909272A (en) 2019-03-01
TWI756424B true TWI756424B (en) 2022-03-01

Family

ID=64570906

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107115936A TWI756424B (en) 2017-05-12 2018-05-10 Method of cleaming plasma processing

Country Status (3)

Country Link
JP (1) JP7072439B2 (en)
KR (1) KR102538188B1 (en)
TW (1) TWI756424B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7422531B2 (en) 2019-12-17 2024-01-26 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
JP7454961B2 (en) 2020-03-05 2024-03-25 東京エレクトロン株式会社 plasma processing equipment
JP2023001618A (en) * 2021-06-21 2023-01-06 東京エレクトロン株式会社 Plasma processing apparatus and cleaning method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101022693A (en) * 2006-02-13 2007-08-22 东京毅力科创株式会社 Cleaning method for substrate processing chamber, storage medium, and substrate processing chamber
TW201131638A (en) * 2009-09-03 2011-09-16 Tokyo Electron Ltd Chamber cleaning method
TW201243924A (en) * 2007-05-08 2012-11-01 Applied Materials Inc Substrate cleaning chamber and cleaning and conditioning methods
TW201303998A (en) * 2011-03-25 2013-01-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
TW201709319A (en) * 2015-05-14 2017-03-01 東京威力科創股份有限公司 Cleaning method and plasma processing method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
JPH10177993A (en) * 1996-12-18 1998-06-30 Hitachi Ltd Plasma processing device of parallel plate narrow electrode-type
JP2003155569A (en) 2001-11-16 2003-05-30 Nec Kagoshima Ltd Plasma cvd system and cleaning method therefor
JP2007012724A (en) 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd Plasma processing apparatus and processing method
WO2011117916A1 (en) * 2010-03-24 2011-09-29 キヤノンアネルバ株式会社 Manufacturing method for electronic device, and sputtering method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101022693A (en) * 2006-02-13 2007-08-22 东京毅力科创株式会社 Cleaning method for substrate processing chamber, storage medium, and substrate processing chamber
TW201243924A (en) * 2007-05-08 2012-11-01 Applied Materials Inc Substrate cleaning chamber and cleaning and conditioning methods
TW201131638A (en) * 2009-09-03 2011-09-16 Tokyo Electron Ltd Chamber cleaning method
TW201303998A (en) * 2011-03-25 2013-01-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
TW201709319A (en) * 2015-05-14 2017-03-01 東京威力科創股份有限公司 Cleaning method and plasma processing method

Also Published As

Publication number Publication date
JP2018195817A (en) 2018-12-06
JP7072439B2 (en) 2022-05-20
TW201909272A (en) 2019-03-01
KR102538188B1 (en) 2023-05-30
KR20180124773A (en) 2018-11-21

Similar Documents

Publication Publication Date Title
JP6723659B2 (en) Plasma processing method and plasma processing apparatus
JP6298391B2 (en) Plasma processing method and plasma processing apparatus
US10867777B2 (en) Plasma processing method and plasma processing apparatus
WO2014057799A1 (en) Plasma etching method
JP6422262B2 (en) Plasma processing method and plasma processing apparatus
TWI756424B (en) Method of cleaming plasma processing
US10553409B2 (en) Method of cleaning plasma processing apparatus
JP3946640B2 (en) Plasma processing apparatus and plasma processing method
JP4642809B2 (en) Plasma processing method and plasma processing apparatus
JP2010199475A (en) Cleaning method of plasma processing apparatus and storage medium
KR20160149151A (en) Plasma processing method
US10867778B2 (en) Cleaning method and processing apparatus
TW201604319A (en) Dry cleaning method and plasma processing device
WO2003056617A1 (en) Etching method and plasma etching device
TWI831956B (en) Cleaning method and plasma processing apparatus
JP5179219B2 (en) Deposit removal method and substrate processing method
JP4185117B2 (en) Plasma processing apparatus and cleaning method thereof
JP2006319041A (en) Plasma cleaning method and method for forming film
JP4754609B2 (en) Processing apparatus and cleaning method thereof
JP2006319042A (en) Plasma cleaning method and method for forming film
JP4684924B2 (en) Plasma etching method, plasma etching apparatus and computer storage medium
TWI698928B (en) Plasma processing method
US9922841B2 (en) Plasma processing method
JP2006253733A (en) Plasma processing apparatus and method of cleaning the same
JP2007184611A (en) Plasma processing device and plasma processing method