TWI754801B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI754801B
TWI754801B TW108110571A TW108110571A TWI754801B TW I754801 B TWI754801 B TW I754801B TW 108110571 A TW108110571 A TW 108110571A TW 108110571 A TW108110571 A TW 108110571A TW I754801 B TWI754801 B TW I754801B
Authority
TW
Taiwan
Prior art keywords
polar
photoresist layer
photoacid generator
group
groups
Prior art date
Application number
TW108110571A
Other languages
English (en)
Other versions
TW202003659A (zh
Inventor
張雅晴
張慶裕
林進祥
陳彥豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202003659A publication Critical patent/TW202003659A/zh
Application granted granted Critical
Publication of TWI754801B publication Critical patent/TWI754801B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • B05D1/005Spin coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明實施例提供一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上,其中所述光阻層包括與一光酸產生劑混合的一聚合物,所述光酸產生劑與一或多個極性增強基團鍵結,所述極性增強基團被配置以增加光酸產生劑的一偶極矩;將所述光阻層曝光在一輻射光源下;以及顯影所述光阻層,產生圖案化光阻層。曝光可將光酸產生劑分離成陽離子和陰離子,使得與陽離子鍵結的一極性增強基團增加陽離子的極性,且與陰離子鍵結的一極性增強基團增加陰離子的極性。

Description

半導體裝置的製造方法
本發明實施例係關於半導體裝置的製造方法,且特別是有關於控制光阻圖案在顯影製程期間之溶解度的方法。
半導體積體電路工業已歷經蓬勃的發展。積體電路材料及設計在技術上的進步使得每一代生產的積體電路變得比先前生產的積體電路更小且其電路也變得更複雜。然而,這些優點增加了加工和製造積體電路的複雜度,而且為了實現這樣的進展,積體電路加工和製造上也需要有相同的進步。
舉例來說,微影製程廣泛地用於積體電路(integrated circuit;IC)製造中,其中各種光阻圖案被轉移到工件上以形成積體電路(IC)裝置。在許多情況下,光阻圖案的品質會直接影響所形成之積體電路(IC)裝置的品質。 隨著積體電路(IC)的技術不斷朝向更小的技術節點進展(例如,小至14奈米、10奈米、及以下),解析度、粗糙度(例如,線邊緣粗糙度(line edge roughness;LER)及/或線寬粗糙度(line width roughness;LWR))、及/或光阻圖案的對比度對光阻圖案的品質來說都是關鍵的因素。儘管用於最適化這些參數的現有蝕刻技術通常已經足夠,但這些技術並非在所有方面都完全令人滿意。
根據本發明的一實施例,提供一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上,其中所述光阻層包括與一光敏單元混合的一聚合物,所述光敏單元包括與一光酸產生劑(photo-acid generator;PAG)鍵結的一極性增強基團(polarity-enhancing group;PEG),所述極性增強基團被配置以增加光酸產生劑的一偶極矩;將所述光阻層曝光在一輻射下;以及顯影所述光阻層。
根據本發明的另一實施例,提供一種半導體裝置的製造方法,包括:以一光阻層塗佈一基板,其中所述光阻層包括一聚合物和一光酸產生劑(PAG),其中所述光酸產生劑具有與光酸產生劑的每一端鍵結的一極性增強基團(PEG);將所述光阻層曝光在一極紫外光(extreme ultraviolet;EUV)輻射下,從而形成一曝光區域和一未曝光區域於所述光阻層中;以及施予一顯影劑至所述光阻層的曝光區域和未曝光區域。
又根據本發明的另一實施例,提供一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上,其中所述光阻層包括:一聚合物;一光酸產生劑(PAG),其中所述光酸產生劑與所述聚合物分離;以及與所述光酸產生劑鍵結的一極性增強基團(PEG);對所述光阻層進行一曝光製程,其中曝光製程的進行使得極性增強基團增加光酸產生劑的一極性;對所述光阻層進行一曝光後烘烤;以及對所述光阻層進行一顯影製程。
以下敘述提供許多不同的實施例或是例子來實行本發明實施例之不同部件。以下描述具體的元件及其排列的例子以簡化本發明實施例。當然這些僅是例子且不該以此限定本發明實施例的範圍。例如,在描述中提及第一個部件形成於第二個部件“之上”或“上”時,其可能包括第一個部件與第二個部件直接接觸的實施例,也可能包括兩者之間有其他部件形成而沒有直接接觸的實施例。另外,本發明的不同實施例中可能重複使用參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,本發明下文中所述一部件(feature)形成於另一部件“上”、與另一部件“連接”、及/或與另一部件“偶合”可包括兩個部件直接接觸的實施例,也可能包括兩者之間有額外的部件形成並***兩個部件之間,使得兩個部件沒有直接接觸的實施例。此外,其中用到與空間相關的用詞,例如:“較低的”、 “較高的”、“水平的”、“垂直的”、“上方”、“之上” “下方”、 “在…下方”、“上(up)”、“下(down)”、“頂部”、“底部”等、及其衍生的用詞(例如:“水平地”、“向下地(downwardly)”、“向上地(upwardly)”等),係為了便於描述本發明實施例中一個部件與另一個部件之間的關係。這些空間關係詞係用以涵蓋包括部件的裝置之不同方位。更進一步,當以“約(about)”、“大約(approximate)”、及其類似的用詞描述一個數字或一個數字範圍時,所述用詞係用以涵蓋在合理範圍內的數字,包括所描述的數字,像是在所描述數字的+/- 10%以內或本技術領域中具有通常知識者可理解的其他數值。舉例而言,用詞“約5nm”包含從4.5nm至5.5nm的尺寸範圍。
微影製程涉及在工件上形成光阻層並將光阻層曝露在圖案化的輻射下。經曝露在圖案化的輻射下之後,光阻層在顯影劑(換句話說,化學溶液)中顯影。顯影劑移除一部分的光阻層(例如,正型光阻層的曝光部分或負型光阻層的未曝光部分),從而形成一圖案化光阻層。然後,在後續製程(例如微影製程或植入製程)期間,圖案化光阻層經常做為罩幕元件以將圖案化光阻層中的圖案轉移到工件。已經引進先進的微影材料,例如化學放大光阻(chemically amplified resist;CAR)材料,以改善光阻層對於輻射的靈敏度,從而最大化地利用輻射。靈敏度(Sensitivity;S)通常對應於要產生足夠化學反應以在光阻層中定義圖案所需的入射輻射量(每單位面積中能量的量)。例如,化學放大光阻(CAR)材料在曝露於輻射下時可以產生多種化學反應,從而化學放大對輻射的反應,這降低了在光阻層中定義圖案所需的曝光劑量。化學放大光阻(CAR)材料通常包括耐積體電路(IC)製程(例如蝕刻製程)的一聚合物、一產生酸成分(例如光酸產生劑(photoacid generator;PAG))、和一溶劑成分。光酸產生劑(PAG)在曝露於輻射下時產生酸,其做為催化劑用以引起化學反應來改變光阻層的曝光部分在一給定顯影劑中的溶解度。
雖然化學放大光阻(CAR)材料被配置以最小化靈敏度,但化學放大光阻(CAR)材料也必須滿足其他光阻性能特性,例如解析度、粗糙度、和對比度。解析度通常是描述光阻材料以可接受的品質(quality)及/或控制來印刷(圖像化)最小部件尺寸(feature size)的能力,其中光阻對比度、光阻厚度損失、鄰近效應(proximity effects)、光阻材料的膨脹及/或收縮(通常由顯影造成)、及/或其他光阻特性及/或微影特性對解析度有所貢獻。光阻對比度通常是指光阻材料區分亮(曝光)區域和暗(未曝光)區域的能力,其中具有較高對比度的光阻材料提供較佳的解析度、光阻輪廓及/或線邊緣粗糙度(line edge roughness;LER)。粗糙度,例如線邊緣粗糙度(LER)及/或線寬粗糙度(line width roughness;LWR),通常是描述光阻層中的圖案是否包括邊緣偏差(variations)、寬度偏差、臨界尺寸偏差、及/或其他偏差。線邊緣粗糙度(LER)通常是描述線邊緣的偏差,而線寬粗糙度(LWR)通常描述線寬度的偏差(例如,從臨界尺寸(CD)的寬度)。
極紫外光(EUV)微影(利用具有極紫外光(EUV)範圍波長之輻射)提供了滿足更精細的微影解析度極限的前景,特別是對於低於10 nm的積體電路(IC)製造。然而,因為滿足解析度、對比度、及/或粗糙度要求所需的曝光劑量以及流通量要求(throughput requirements)(例如,每小時的晶片數(wafers per hour;WPH))受到傳統極紫外光(EUV)光源的限制,在極紫外光(EUV)波長處通常需要具有更高靈敏度的化學放大光阻(CAR)材料。舉例而言,由於一定體積的光阻材料吸收的光子數量與波長成正比且吸收的能量與曝光劑量成正比,因此隨著波長降低,總吸收能量被離散(is discretized into)為較少的光子。因此,已經觀察到當曝露於相同的曝光劑量下時(例如,約10 mJ/cm2 ),相較於深紫外光(DUV)光子(例如ArF光子),一定體積的光阻材料吸收較少的極紫外光(EUV)光子,這通常意味著化學放大光阻(CAR)材料將產生較少的酸用於催化反應。為了克服這種一般被稱為散粒雜訊(shot noise)的現象,其中一種方法是增加包含在光阻材料中的光酸產生劑(PAG)量,從而增加由曝光製程所引起之酸產生的程度和效率。然而,光酸產生劑(PAG)的增加量可能導致光阻圖案的曝光區域在負型顯影(negative-tone development;NTD)製程中過度溶解,或者相反地,導致曝光區域在正型顯影(positive-tone development;PTD)製程中的不完全溶解。舉例而言,過度溶解可能導致光阻圖案圓化(rounding)或塌陷,而不完全溶解可能導致光阻圖案中的部件底部產生浮渣(scum)及/或基腳(footing)。此外,在負型顯影(NTD)製程的情況下,因較高量的光酸產生劑(PAG)所導致曝光區域的過度溶解可能在曝光製程期間需要更大的輻射劑量,從而提高了與圖案化製程相關的能量支出。
因此,由於這些和其他原因,對於控制光阻圖案在光阻顯影製程期間的溶解程度需要進行改良。如以下的實施例所示,控制溶解程度可藉由在光阻材料中加入極性增強基團以調節曝光製程後光阻材料在顯影劑中的溶解度來實現。
第1圖根據本發明實施例的一些方面顯示出用於處理工件200的方法100之流程圖。方法100僅僅是示例,並且本發明實施例不限於申請專利範圍中明確記載的內容。可在方法100之前、期間、和之後進行額外的操作,且可在製程的其他實施例中取代、刪除、或移動所述的一些操作。參照如第2圖、第5圖、第6圖、第7A圖、和第7B圖所示的工件200的局部剖面側視圖描述方法100的中間步驟,而示例性組成和化學結構的示意圖顯示於第3A圖、第3B圖、和第4圖中。為了清晰和便於解釋的目的,已簡化圖式中的一些元件。
參照第1圖和第2圖,方法100在操作102處提供(或提供有)一下層結構210。下層結構210可為適用於積體電路(IC)裝置製造之具有均勻組成的單層材料,或具有相似或不同組成的多層結構。在所述實施例中,下層結構210包括多個材料層,以下將詳細討論每個材料層。
下層結構210可包括基板202。基板202可包括元素(單元素)半導體,例如矽及/或鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融矽石(fused silica)、熔融石英(fused quartz)、及/或氟化鈣(CaF2 );及/或其他合適的材料。在一個示例中,基板202可為絕緣體上矽(silicon-on-insulator;SOI)基板,其具有形成在氧化矽層上的一半導體矽層。在另一示例中,基板202可包括導電層、半導體層、介電層、其他層、或前述之組合。
基板202可包括形成在其上或其中的各種電路部件,包括例如場效電晶體(field effect transistors;FET)、金氧半場效電晶體(metal-oxide semiconductor field effect transistors;MOSFET)、互補式金氧半(CMOS)電晶體、高壓電晶體、高頻電晶體、雙極性接面電晶體(bipolar junction transistors)、二極體、電阻器、電容器、電感器、變容器(varactors)、其他合適的裝置、及/或前述之組合。在基板202包括場效電晶體(FET)的一些實施例中,形成各種摻雜區域(例如源極/汲極區域)於基板202中或基板202上。取決於設計需求,摻雜區域可摻雜有n-型摻雜物像是磷或砷、及/或p-型摻雜物像是硼或BF2 。摻雜區域可為平面的或非平面的(例如,在鰭狀場效電晶體(fin-like FET)裝置中)並且可直接形成在基板202上、p-阱結構中、n-阱結構中、雙阱結構中,或者使用一凸起結構。可透過植入摻雜物原子、原位(in-situ)摻雜磊晶生長、及/或其他合適的技術來形成摻雜區域。
依然參照第2圖,下層結構210可包括形成在基板202上的底層204(或第一層)。在許多實施例中,底層204為一抗反射塗層(anti-reflective coating;ARC),其組成被選擇以使得在隨後形成之光阻層(例如,光阻層208)的曝光期間所實施的輻射反射率最小化。可透過將抗反射塗層(ARC)材料(例如,非晶形碳材料)旋塗到基板202的頂表面(或多層基板202的最頂部材料層之頂表面)上,並可選地(optionally)進行烘烤以固化來形成底層204。
下層結構210可另外包括形成在底層204之上的一中間層206(或第二層)。中間層206可為一單層結構或者可包括多層,每一層具有不同的組成。在許多實施例中,中間層206具有為隨後實施的微影製程提供抗反射特性、硬罩幕特性、及/或障壁(barrier)特性的組成。中間層206可包括富含矽的聚合物及/或其他合適的材料。可選地(alternatively)或另外地,中間層206可包括介電材料,像是氧化矽、氮化矽、碳化矽、氮氧化矽、及/或其他合適的材料。可透過類似於關於底層204所述的旋塗製程及/或沉積製程來形成中間層206,像是化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)、物理氣相沉積(physical vapor deposition;PVD)、旋塗、其他合適的製程、或前述之組合。在一些實施例中,於下層結構210中省略底層204及/或中間層206。在一些實施例中,下層結構210包括形成在中間層206之上的額外材料層。
參照第1圖和第2圖,方法在操作104處形成一光阻(resist)(例如,光阻(photoresist))層於下層結構210之上。在所述實施例中,光阻層208是可藉由曝光製程而圖案化的一光敏層,曝光製程引發光阻層208中一系列的光化學反應。光阻層208可包括任何合適的光敏光阻材料,且在許多實施例中,光阻層208包括對輻射(例如,UV光、深紫外光(deep ultraviolet;DUV)輻射、及/或極紫外光(EUV)輻射)敏感的光阻材料。然而,本發明實施例的原理同樣適用於電子束光阻和其他直寫(direct-write)光阻材料。在所述實施例中,光阻層208包括極紫外光(EUV)敏感的光阻材料。光阻層208可包括一單層材料或多個材料層。
參照第3A圖和第3B圖,光阻層208可包括一聚合物260、一光敏單元240、和一溶劑262。在所述實施例中,光敏單元240與聚合物260混合,並一起溶解在溶劑262中。在許多實施例中,複數個光敏單元240被包含在光阻層208中並分佈在整個光阻層208中。在許多實施例中,聚合物260包括丙烯酸酯基(acrylate-based)聚合物、聚(降莰烯)-馬來酸酐(poly(norbornene)-co -maleic anhydride;COMA)聚合物、聚(羥基苯乙烯)基(poly(hydroxystyrene)-based)聚合物、其他合適的聚合物、或前述之組合,並且可包括任何數量的官能基,其被配置以幫助一個或多個後續過程。在一些示例中,官能基可包括光敏基團(例如,敏化劑),像是苯酚(phenol)、苯乙烯(styrene)、氟化物、其他合適的基團、或前述之組合。在一些示例中,官能基可包括酸不穩定基團(acid-labile groups;ALG),其被配置為可被酸性部分(例如,由光酸產生劑產生的酸)裂解。
參照第3A圖,在一些實施例中,光敏單元240包括與光酸產生劑(photoacid generator;PAG)244鍵結的極性增強基團(polarity-enhancing group;PEG)242。在所述實施例中,光阻層208包括至少15%(依重量計)的光酸產生劑(PAG)244。在許多實施例中,如果光酸產生劑(PAG)244的量小於約15%,則在曝光時,光阻層208可能不夠化學敏感到足以影響聚合物260產生所欲的變化量。如下述將討論的,每一個光敏單元240中可包括複數個光酸產生劑(PAG),且每一個光酸產生劑(PAG)244可與複數個極性增強基團(PEG)242鍵結。在一些實施例中,參照第3B圖,光敏單元240包括透過連接基團246而與極性增強基團(PEG)242鍵結的光酸產生劑(PAG)244。連接基團246被配置以控制光酸產生劑(PAG)244和極性增強基團(PEG)242之間的分離距離,並且可包括任何合適的結構,像是具有1至5個碳原子的烷基。連接基團246可進一步被配置以增加光酸產生劑(PAG)244的疏水性(亦即,降低光酸產生劑(PAG)244對水或水性溶液的親和力)。在一些實施例中,連接基團246是非極性的且不具有淨偶極矩(亦即,淨偶極矩為零)。在複數個極性增強基團(PEG)242與光酸產生劑(PAG)244鍵結的實施例中,可在每一個極性增強基團(PEG)242和光酸產生劑(PAG)244之間設置一個或多個連接基團246(第3B圖和第4圖);或者,可以省略連接基團246,如第3A圖所示。
參照第4圖,光酸產生劑(PAG)244可為一離子化合物,其包括透過虛線所示的離子鍵保持在一起的帶正電部分248(此後稱為陽離子248)和帶負電部分250(此後稱為陰離子250)。在所述實施例中,當陽離子248和陰離子250透過離子鍵鍵結在一起時,光酸產生劑(PAG)244的淨電荷為零。在許多實施例中,光酸產生劑(PAG)244包括陽離子像是鋶(sulfoniums)、碘鎓(iodoniums)、磺醯亞胺(sulfonyl imides)、前述之衍生物、及/或其他合適的陽離子,陰離子像是三氟甲磺酸鹽(triflates)、全氟丁基磺酸鹽(nonaflate)、磺酸鹽(sulfonates)、前述之衍生物、及/或其它合適的陰離子。 光酸產生劑(PAG)244的非限制性示例包括N -磺醯氧基醯亞胺(N -sulfonyloxyimide)、苯偶姻磺酸鹽(benzoinsulfonate)、五倍子酚三磺酸鹽(pyrogallol trisulfonate)、硝基芐基磺酸鹽(nitrobenzyl sulfonate)、全氟丁基磺酸三苯基锍鹽(triphenylsulfonium nonaflate)、磺醯基重氮甲烷基化合物(sulfonyldiazomethane-based compounds)、碸基化合物(sulfone-based compounds)、乙二肟基化合物(glyoxime-based compounds)、前述之衍生物、其他化合物、或前述之組合。
依然參照第4圖,值得注意的是,光酸產生劑(PAG)244可經過化學修飾製程,使得複數個極性增強基團(PEG)242可共價連接至光酸產生劑(PAG)244。儘管在所述實施例中,三個極性增強基團(PEG)242與陽離子248和陰離子250各自鍵結,但本發明實施例並不限於此配置,並且可包括與陽離子248及/或陰離子250鍵結的任何數量之極性增強基團(PEG)242。對於連接基團246與光酸產生劑(PAG)244和極性增強基團(PEG)242鍵結的實施例(未顯示)來說,可對光酸產生劑(PAG)244和極性增強基團(PEG)242施予額外的化學修飾製程,使得它們可各自配置為與連接基團246鍵結。
依然參照第4圖,在許多實施例中,極性增強基團(PEG)242被配置以增加形成於極性增強基團(PEG)242和光酸產生劑(PAG)244(亦即,分別和陽離子248及陰離子250)間鍵結之偶極矩274或偶極矩276的大小。在本發明實施例中,“偶極矩”測量電子密度在兩個原子間之化學鍵內移動(或被移動)的趨勢。在許多情況下,如果表現出比另一個原子更大的電子吸引力,則兩個原子中的其中一個可能會影響鍵結的偶極矩。這在具有相對較高的電負性(electronegativity)的原子中是明顯的,其描述了原子吸引電子或使電子密度向其自身移動的趨勢。當偶極矩大於零時,或當電子的吸引力在鍵結在一起的兩個原子之間不成比例時,這種鍵結被認為是“極性鍵”,且這種鍵被視為表現出極性。因此,極性鍵的極性是由兩個原子之間(或原子分別所屬的官能基之間)的相互作用而決定,而不是由任何一個單獨原子的化學性質而決定,且鍵結中較大的偶極矩大小表示兩個原子之間具有較大的極性。在所述實施例中,極性增強基團(PEG)242被配置以誘導或增加形成於極性增強基團(PEG)242和光酸產生劑(PAG)244之間的鍵結之偶極矩274及/或偶極矩276的大小。
然而,在所述實施例中,極性增強基團(PEG)242本身不需要表現出淨偶極矩(亦即,極性)。如果參與在鍵結中的原子表現出大小大於零偶極矩(亦即,在兩個分子結構之間形成極性鍵),分子結構(例如:PEG 242)(極性、或其他)可與另一個分子結構建立一極性鍵並因此誘導或增加其中的淨偶極矩。在分子結構是離子性且隨後會分離成陽離子(至少損失一個電子,例如陽離子248)和陰離子(至少獲得一個電子,例如陰離子250)的情況下,每一個陽離子和陰離子更大程度地受到其與另一個分子結構形成之極性鍵的影響。換句話說,在帶電粒子(陽離子或陰離子)和被配置以與其形成極性鍵的分子結構間所形成之鍵結中的偶極矩大小大於中性粒子與相同分子結構間所形成之鍵結中的偶極矩大小。
在許多實施例中,極性增強基團(PEG)242為極性的(亦即,具有淨偶極矩)且被配置以與光酸產生劑(PAG)244形成一極性鍵,從而誘導或增加光酸產生劑(PAG)244中的淨偶極矩。或者,極性增強基團(PEG)242為非極性的(亦即,具有零淨偶極矩),但被配置以與光酸產生劑(PAG)244形成一極性鍵,從而誘導或增加光酸產生劑(PAG)244中的淨偶極矩。無論其自身的極性如何,極性增強基團(PEG)242將光酸產生劑(PAG)244的電子拉向自身(亦即,移動光酸產生劑(PAG)244內的電子密度)並有效地增加形成於極性增強基團(PEG)242和光酸產生劑(PAG)244間的鍵結之偶極矩。在進一步的實施例中,光酸產生劑(PAG)244被配置以在曝光製程中進行離子解離並分離成陽離子248和陰離子250。因此,在進行離子解離之前,形成於極性增強基團(PEG)242和陽離子248(或陰離子250)間的鍵結之偶極矩大小大於形成於極性增強基團(PEG)242和中性光酸產生劑(PAG)244間的鍵結之偶極矩大小。
在一些實施例中,極性增強基團(PEG)242的原子表現出比其所鍵結的光酸產生劑(PAG)244(亦即,陽離子248及/或陰離子250)的原子更大的電負性。在許多實施例中,與光酸產生劑(PAG)244鍵結的極性增強基團(PEG)242的一部分包括含鹵素的官能基,像是含氟、氯、溴、及/或碘的鹵素基團(亦即,鹵素原子)、鹵代甲醯基(haloformyl group)、其他合適的含鹵素基團、或前述之組合;含氧官能基,像是羥基、羰基、羧基、羧酸酯基、醛基、酯基、醚基、過氧基、環氧基、縮醛基、酸酐基、其他合適的含氧基團、或前述之組合;含氮官能基,像是腈基、異氰酸酯基、硝基、其他合適的含氮基團、或前述之組合;含硫官能基,像是硫醚基(sulfide group)、亞磺醯基、磺醯基、磺酸基(sulfo group)、其他合適的含硫基團、或前述之組合;含矽官能基,像是矽氧烷基團、矽烷基團、其他合適的含矽基團、或前述之組合;烴基(hydrocarbon group),像是經取代或未經取代的、支鏈或無支鏈的、環狀或非環狀的、飽和或非飽和的烷基、烯基、或炔基;及/或其他合適的官能基。在一些實施例中,極性增強基團(PEG)242包括電中性官能基。在其他實施例中,極性增強基團(PEG)242包括離子性(亦即,帶電的)官能基。在一些實施例中,極性增強基團(PEG)242表現出淨偶極矩(極性);在其他實施例中,極性增強基團(PEG)242未表現出淨偶極矩(非極性)。
光阻層208可額外包括一光可分解鹼(photo-decomposable base;PDB),顧名思義,其因應輻射而分解鹼性部分。在一些實施例中,與光酸產生劑(PAG)(例如, 光酸產生劑244)相比,光可分解鹼(PDB)具有不同的光敏性(例如,因應不同波長範圍的輻射)。光阻層208可進一步包括一光鹼產生劑(photo-base generator;PBG),其因應輻射產生鹼性部分。光阻層208可進一步包括光可分解淬滅劑(photo-decomposable quencher;PDQ),其配置以在不期望有化學變化(例如,溶解度變化)的區域中降低酸性部分的濃度。光阻層208也可包括許多添加劑,像是交聯劑(例如,四羥甲基甘脲連接子(tetramethylol glycoluril linker)或環氧連接子)、表面活性劑、發色團(chromophores)、及/或溶劑。
可使用任何合適的製程來形成光阻層208,例如旋塗製程。在旋塗製程期間,液體形式的光阻層208透過離心力分散在下層結構210的頂表面(例如,下層結構210中最頂層材料層的頂表面),達到一均勻或大致(substantially)均勻的厚度。為了在旋塗製程期間促進光阻層208的形成,光阻層208可包括一溶劑260,當去除溶劑260時,光阻層208呈現一固體或半固體形式(例如,膜)。溶劑260可包括丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate)、丙二醇單甲醚(propylene glycol monomethyl ether)、γ-丁內酯(gamma-butyrolactone)、乳酸乙酯(ethyl lactate)、環己酮(cyclohexanone)、乙酸正丁酯(n-butyl actetate)、乙酮(ethyl ketone)、二甲基甲醯胺(dimethyl formamide)、醇類(例如,異丙醇或乙醇)、及/或其他合適的溶劑。做為旋塗製程的一部分,可在沉降(settling)製程期間、及/或在施加後(post-application)/曝光前烘烤製程期間驅除(例如,蒸發)溶劑260。可透過任何合適的設備像是加熱板,在適於光阻層208的特定組成和所施加溶劑的任何溫度下施行曝光前烘烤製程。
參照第1圖和第5圖,方法100在操作106處將光阻層208曝露在輻射216下。在許多實施例中,輻射216可為I-線(波長大約是365nm)、深紫外光(DUV)輻射像是KrF準分子雷射(excimer laser)(波長大約是248nm)或ArF準分子雷射(波長大約是193nm)、極紫外光(EUV)輻射(波長在大約1nm和大約100nm之間)、x射線、電子束、離子束、及/或其他合適的輻射。操作106處的曝光製程可在空氣中、液體中(浸潤式微影)、或在真空中(例如,用於EUV微影和電子束微影)進行。在所述實施例中,使用光罩218來進行操作106處的曝光製程。光罩218可為一透射罩幕或一反射罩幕,其中的每一個可進一步施行解析度增強技術,像是相位移(phase-shifting)(例如,另一種相位移罩幕、衰減相位移罩幕、或無鉻相位移罩幕)、軸外照射(off-axis illumination;OAI)、及/或光學鄰近校正(optical proximity correction;OPC)。在另一實施例中,直接以預定義的圖案(例如IC佈局)而不使用光罩218(例如使用電子束直寫)調變輻射216。在所述實施例中,輻射216是極紫外線(EUV)輻射,且在極紫外線(EUV)微影系統中進行操作106處的曝光製程。相應地,光罩218可為用於圖案化光阻層208的一反射光罩。
參照第6圖,操作106處的曝光製程形成一潛在圖案於光阻層208上,所述光阻層208包括曝光區域212和未曝光區域214。潛在圖案通常是指在光阻層上經曝光的圖案,其最終在光阻層承受顯影製程時成為物理光阻圖案。曝光區域212中的光阻層208經過一系列的光化學反應,而未曝光區域214中的光阻層208保持與曝光之前的光阻材料大致相同。
具體地,參照第8圖,在操作206處吸收輻射216時,包含在曝光區域212中的光酸產生劑(PAG)244解離成陽離子248和陰離子250,釋放包括質子H (未顯示)的酸,其接著裂解與聚合物260鍵結的一保護基團(例如,酸不穩定基團(ALG);未顯示)。其結果,光敏單元240分解成分子錯合物(complex)270和分子錯合物272,其中分子錯合物270包括陽離子248,而分子錯合物272包括陰離子250。當陽離子248因光酸產生劑(PAG)244在操作206處的解離而失去電子時,它變得更容易受到由極性增強基團(PEG)242引起之不成比例的電子密度移動的影響。當陰離子250因光酸產生劑(PAG)244的解離而獲得電子時,它同樣變得更容易受到由極性增強基團(PEG)242引起不成比例的電子密度移動的影響。因此,曝光製程之後的偶極矩278大小大於曝光製程之前的偶極矩274大小,其中,由於陽離子248與陰離子250的鍵結,陽離子248的有效電荷為零。類似地,曝光製程之後的偶極矩280大小也大於曝光製程之前的偶極矩276大小。因此,在操作106處的曝光製程之後,曝光區域212中的分子錯合物270中陽離子248的極性和分子錯合物272中陰離子250的極性增加。換句話說,以極性增強基團(PEG)242化學修飾光酸產生劑(PAG)244增加了曝光區域212中的光酸產生劑(PAG)244之極性(亦即,偶極矩),而在未曝光區域214中的光酸產生劑(PAG)244之極性(即,偶極矩)大致上保持不受影響。
參照第1圖、第7A圖、和第7B圖,方法100在操作108處對工件200施行一顯影製程,從而形成一圖案於工件200上。取決於顯影劑和光阻層之間的分子相互作用,顯影製程施予一顯影劑以溶解或以其他方式移除未曝光區域214(第7A圖)或曝光區域212(第7B圖),這將於下文中詳細討論。在一些實施例中,顯影製程可以從一曝光後烘烤製程開始,其可催化光酸產生劑(PAG)244的分解及/或藉由從光酸產生劑(PAG)244釋放的酸將保護基團裂解。可透過一旋塗製程將顯影劑噴灑在光阻層208上以施行顯影製程。此後,可施行曝光後烘烤以穩定形成於工件200上的圖案。
在可選的(optional)曝光後烘烤製程之後,可使用顯影劑222在負型顯影(NTD)製程期間移除未曝光區域214(第7A圖),或可選地,可使用顯影劑224以在正型顯影(PTD)製程期間移除曝光區域212(第7B圖)。在所述實施例中,顯影劑222為一非極性溶劑,其包括具有零淨偶極矩的分子,而顯影劑224是一極性溶劑,其包括具有非零淨偶極矩的分子。在許多實施例中,顯影劑222包括一有機溶劑,像是乙酸正丁酯(n-butyl acetate)、乙醇、己烷、苯、甲苯、及/或其他合適的溶劑,而顯影劑224包括一水性溶劑,像是氫氧化四甲胺(tetramethyl ammonium hydroxide;TMAH)、氫氧化鉀(KOH)、氫氧化鈉(NaOH)、及/或其他合適的溶劑。
如上所述,在顯影製程期間,可透過顯影劑和光阻層之間的分子相互作用等因素來控制曝光區域212或未曝光區域214的溶解。在所述實施例中,由於未曝光區域214缺乏曝光,未解離的光酸產生劑(PAG)244和極性增強基團(PEG)242(第8圖)間的偶極矩274和276大小比曝光區域212中解離的光酸產生劑(PAG)244(亦即,陽離子248及/或陰離子250)和極性增強基團(PEG)242之間的偶極矩278和280弱。換句話說,光阻層208的曝光區域212中每一個陽離子248和陰離子250的極性大於未曝光區域214中未解離的光酸產生劑(PAG)244的極性。因此,當在負型顯影(NTD)製程期間施予顯影劑222(有機溶劑)時,與未曝光區域214的溶解度相比,包含具有較高極性之解離的光酸產生劑(PAG)244的曝光區域212的溶解度(亦即,溶解的程度)變得較差。相反地,當在正型顯影(PTD)製程期間施加顯影劑224(水性溶劑)時,與未曝光區域214的溶解度相比,曝光區域212的溶解度較佳。因此,透過在曝光區域212中使用極性增強基團(PEG)242增加解離的光酸產生劑(PAG)244的極性,可更加精準地控制負型顯影(NTD)和正型顯影(PTD)製程期間的溶解過程,並且可改善所形成圖案的完整性。換句話說,極性增強基團(PEG)242被配置以在使用顯影劑224時增加曝光區域212的溶解度,並且在使用顯影劑222時降低曝光區域212的溶解度。
參照第1圖,方法100在操作110處進行額外的製造製程,包括例如在一個或多個蝕刻製程中將形成於光阻層208中的圖案轉移到中間層206和底層204,並隨後在每一個蝕刻製程之後移除光阻層208、中間層206、和底層204。可透過任何合適的方法施行蝕刻製程,包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、反應離子蝕刻(reactive ion etching;RIE)製程、及/或其他合適的製程。隨後,可使用圖案化的底層204作為罩幕來處理基板202。可進行任何合適的方法來處理基板202,包括沉積製程、植入製程、磊晶生長製程、其他製造製程、或前述之組合。
在許多實施例中,在操作110之後,工件200可用於製造積體電路晶片、單晶片系統(system-on-a-chip;SOC)、及/或前述之一部分,且隨後的製造製程因此可形成各種被動和主動微電子裝置,像是電阻器、電容器、電感器、二極體、金氧半場效電晶體(MOSFET)、互補式金氧半(CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors;BJT)、橫向擴散金氧半(laterally diffused MOS;LDMOS)電晶體、高功率金氧半(high power MOS)電晶體、其他類型的電晶體、及/或其他的電路元件。
本文描述的方法、裝置、和組成之一個或多個實施例中可存在各種優點。例如,本發明實施例提供了透過控制顯影劑對曝光圖案的溶解來改善圖案的品質(例如,像是線邊緣粗糙度(LER)、線寬粗糙度(LWR)、對比度、及/或臨界尺寸(CD)均勻性)並降低曝光製程期間所使用之能量劑量的方法。具體地,本發明實施例涉及透過使用至少一個極性增強基團(PEG)化學修飾光酸產生劑(PAG)來調節光酸產生劑(PAG)之極性的方法,使得在曝光製程之後離解成陽離子和陰離子時,光酸產生劑(PAG)的極性因光酸產生劑(PAG)和極性增強基團(PEG)間建立的鍵結之偶極矩而增加。
在一方面,本發明實施例提供一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上、將所述光阻層曝光在一輻射下、以及顯影所述光阻層。在許多實施例中,所述光阻層包括與一光敏單元混合的聚合物,所述光敏單元包括與一光酸產生劑(PAG)鍵結的一極性增強基團(PEG),所述極性增強基團被配置以增加光酸產生劑的偶極矩。
在一些實施例中,所述曝光將光酸產生劑解離成一帶正電部分和一帶負電部分,且其中所述極性增強基團增加帶正電部分和帶負電部分之一或兩者的偶極矩。在更多的實施例中,多於一個的極性增強基團與光酸產生劑鍵結。在一些實施例中,其中一個極性增強基團與帶負電部分鍵結且多於一個的極性增強基團與帶正電部分鍵結。在更多的實施例中,與所述光酸產生劑的帶正電部分鍵結的極性增強基團包括一鹵素原子。
在一些實施例中,所述極性增強基團包括一含鹵素官能基、一含氧官能基、一含氮官能基、一含硫官能基、一含矽官能基、或前述之組合。
在一些實施例中,所述光敏單元更包括設置於光酸產生劑和極性增強基團之間的一非極性連接單元,所述非極性連接單元包括具有2~5個碳原子的一烷基。
在一些實施例中,所述顯影包括施予一水性溶劑以移除光阻層的一曝光區域。在一些實施例中,所述顯影包括施予一有機溶劑以移除光阻層的一未曝光區域。
在另一方面,本發明實施例提供一種半導體裝置的製造方法,包括:以一光阻層塗佈一基板、將所述光阻層曝光在一極紫外光(EUV)輻射下,從而形成一曝光區域和一未曝光區域於所述光阻層中、以及施予一顯影劑至所述光阻層的曝光區域和未曝光區域。在許多實施例中,所述光阻層包括一聚合物和一光酸產生劑(PAG),其中所述光酸產生劑具有與光酸產生劑的每一端鍵結的一極性增強基團(PEG)。
在一些實施例中,所述曝光將光酸產生劑解離成一陽離子和一陰離子,且其中所述極性增強基團分別增加所述陽離子和陰離子的偶極矩。在一些實施例中,所述極性增強基團被配置以表現出一淨偶極矩。在一些實施例中,所述極性增強基團被配置以不表現出淨偶極矩。
在一些實施例中,所述顯影劑為一水性溶劑,所述極性增強基團增加光阻層的曝光區域在顯影劑中的溶解度。在一些實施例中,所述顯影劑為一有機溶劑,所述極性增強基團降低光阻層的曝光區域在顯影劑中的溶解度。
又在另一方面,本發明實施例提供一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上、對所述光阻層進行一曝光製程、對所述光阻層進行一曝光後烘烤、以及對所述光阻層進行一顯影製程。在許多實施例中,所述光阻層包括:一聚合物;一光酸產生劑(PAG),其中所述光酸產生劑與所述聚合物分離;以及與所述光酸產生劑鍵結的一極性增強基團(PEG)。在許多實施例中,曝光製程的進行使得極性增強基團增加光酸產生劑的極性。
在一些實施例中,所述極性增強基團包括一鹵素基團、一羥基、一羰基、一羧基、一羧酸酯基、一醚基、一環氧基、一腈基、一異氰酸酯基、一硝基、一硫醚基(sulfide group)、一亞磺醯基、一磺醯基、一磺酸基(sulfo group)、一矽氧烷基團、一矽烷基團、或前述之組合。
在一些實施例中,所述極性增強基團為一第一極性增強基團且與光酸產生劑的一第一部分鍵結,所述光酸產生劑包括一第二部分,所述第二部分具有一第二極性增強基團與其鍵結,且其中所述曝光製程的進行將第一部分和第二部分分離,使得每一個第一極性增強基團和第二極性增強基團分別增加每一個第一部分和第二部分的極性。
在一些實施例中,所述曝光製程的進行包括將光阻層曝露在一極紫外光(EUV)光源下。
在一些實施例中,所述光阻層包括至少15%的該光酸產生劑,依重量計。
前述內文概述了許多實施例的部件,以使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的精神與範圍。在不背離本發明的精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100‧‧‧方法102-110‧‧‧操作200‧‧‧工件202‧‧‧基板204‧‧‧底層206‧‧‧中間層208‧‧‧光阻層210‧‧‧下層結構212‧‧‧曝光區域214‧‧‧未曝光區域216‧‧‧輻射218‧‧‧光罩222、224‧‧‧顯影劑240‧‧‧光敏單元242‧‧‧極性增強基團244‧‧‧光酸產生劑246‧‧‧連接基團248‧‧‧帶正電部分/陽離子250‧‧‧帶負電部分/陰離子260‧‧‧聚合物262‧‧‧溶劑270、272‧‧‧分子錯合物274、276、278、280‧‧‧偶極矩
本發明實施例可配合以下圖式及詳細說明閱讀以便了解。要強調的是,依照工業上的標準慣例,圖式中的各個部件(feature)並未按照比例繪製。事實上,為了清楚之討論,可能任意的放大或縮小各個部件的尺寸。 第1圖是根據本發明實施例的各方面顯示一示例半導體裝置的製程方法之流程圖。 第2、5、6、7A、7B圖是根據本發明實施例的各方面顯示第1圖的方法之中間階段的示例半導體裝置之局部剖面側視圖。 第3A、3B圖是根據本發明實施例的各方面顯示材料層之組成的實施例。 第4、8圖是根據本發明實施例的各方面顯示化學結構的實施例。
100‧‧‧方法
102~110‧‧‧操作

Claims (9)

  1. 一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上,其中該光阻層包括與一光敏單元混合的一聚合物,該光敏單元包括與一光酸產生劑鍵結的複數個極性增強基團,其中該些極性增強基團各包括一含矽官能基;將該光阻層曝光在一輻射下,從而形成一曝光區域和一未曝光區域於所述光阻層中,其中該曝光將該光酸產生劑解離成一帶正電部分和一帶負電部分,且該些極性增強基團增加了各該極性增強基團與該帶正電部分和該帶負電部分的其中一者或兩者之間的偶極矩的大小;以及顯影該光阻層,其中相較於該未曝光區域,該偶極矩的大小的增加降低了該光阻層的該曝光區域在一顯影劑中的溶解度。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中一個該極性增強基團與該帶負電部分鍵結,且多於一個該極性增強基團與該帶正電部分鍵結。
  3. 如申請專利範圍第1或2項所述之半導體裝置的製造方法,其中該些極性增強基團各包括一矽氧烷基團、一矽烷基團、或前述之組合。
  4. 如申請專利範圍第1或2項所述之半導體裝置的製造方法,其中該光敏單元更包括設置於該光酸產生劑和該些極性增強基團的各個極性增強基團之間的一非極性連接單元,該非極性連接單元包括具有2~5個碳原子的一烷基。
  5. 如申請專利範圍第1或2項所述之半導體裝置的製造方法,其中該顯影包括施予一水性溶劑為該顯影劑以移除該光阻層的一曝光區域;或該顯影包括施予一有機溶劑為該顯影劑以移除該光阻層的一未曝光區域。
  6. 一種半導體裝置的製造方法,包括:以一光阻層塗佈一基板,其中該光阻層包括一聚合物、一光酸產生劑和複 數個極性增強基團,其中該些極性增強基團與該光酸產生劑的一帶正電端和一帶負電端鍵結,且該些極性增強基團各具有一含矽官能基;將該光阻層曝光在一極紫外光輻射下,從而形成一曝光區域和一未曝光區域於該光阻層中,其中該些極性增強基團各增加了該極性增強基團與該光酸產生劑之間的偶極矩的大小;以及施予一顯影劑至該光阻層的該曝光區域和該未曝光區域,其中該顯影劑和該未曝光區域之間的分子相互作用係比該顯影劑和該曝光區域之間的分子相互作用更強。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中該些極性增強基團各被配置以表現出一淨偶極矩;或該些極性增強基團各被配置以不表現出淨偶極矩。
  8. 一種半導體裝置的製造方法,包括:形成一光阻層於一基板之上,其中該光阻層包括:一聚合物;一光酸產生劑,其中該光酸產生劑與該聚合物分離;複數個極性增強基團,與該光酸產生劑的一帶正電端和一帶負電端鍵結,其中該些極性增強基團各具有一含矽官能基;對該光阻層進行一曝光製程,從而形成一曝光區域和一未曝光區域於所述光阻層中,其中比起該未曝光區域,該曝光製程的進行使得該些具有含矽官能基的極性增強基團增加了該光酸產生劑在該曝光區域中的一極性;對該光阻層進行一曝光後烘烤;以及對該光阻層進行一顯影製程,其中相較於該未曝光區域,該些具有含矽官能基的極性增強基團係降低了該曝光區域在一顯影劑中的溶解度。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該些 具有含矽官能基的極性增強基團各包括一矽氧烷基團、一矽烷基團、或前述之組合。
TW108110571A 2018-05-31 2019-03-27 半導體裝置的製造方法 TWI754801B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/994,091 2018-05-31
US15/994,091 US11127592B2 (en) 2018-05-31 2018-05-31 Photosensitive groups in resist layer

Publications (2)

Publication Number Publication Date
TW202003659A TW202003659A (zh) 2020-01-16
TWI754801B true TWI754801B (zh) 2022-02-11

Family

ID=68693689

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108110571A TWI754801B (zh) 2018-05-31 2019-03-27 半導體裝置的製造方法

Country Status (3)

Country Link
US (1) US11127592B2 (zh)
CN (1) CN110554568B (zh)
TW (1) TWI754801B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI225186B (en) * 2001-06-21 2004-12-11 Fuji Photo Film Co Ltd Positive photosensitive composition
WO2018070327A1 (ja) * 2016-10-13 2018-04-19 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6124074A (en) * 1999-03-11 2000-09-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers and hydrophobic non-steroidal multi-alicyclic additives
CN1267000A (zh) * 1999-03-11 2000-09-20 国际商业机器公司 环状烯烃聚合物和添加剂的光刻胶组合物
JP3285086B2 (ja) * 1999-05-20 2002-05-27 日本電気株式会社 化学増幅型レジスト組成物
US6985862B2 (en) * 2001-03-22 2006-01-10 Tellme Networks, Inc. Histogram grammar weighting and error corrective training of grammar weights
DE10208449A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Verfahren zur Erhöhung der Ätzresistenz und zur Verkleinerung der Loch- oder Grabenbreite einer Fotoresiststruktur unter Verwendung von Lösungsmittelsystemen geringer Polarität
US7655379B2 (en) * 2008-01-08 2010-02-02 International Business Machines Corporation Ionic, organic photoacid generators for DUV, MUV and optical lithography based on peraceptor-substituted aromatic anions
JP5601884B2 (ja) * 2009-06-04 2014-10-08 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物を用いたパターン形成方法及びパターン
KR20140007797A (ko) * 2010-10-07 2014-01-20 도오꾜오까고오교 가부시끼가이샤 가이드 패턴 형성용 네거티브형 현상용 레지스트 조성물, 가이드 패턴 형성 방법, 블록 코폴리머를 포함하는 층의 패턴 형성 방법
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9891522B2 (en) 2015-05-18 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a chemically amplified copolymer resist
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US20170059989A1 (en) * 2015-08-24 2017-03-02 A School Corporation Kansai University Polymer compound, radiation sensitive composition and pattern forming method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
JP6743158B2 (ja) * 2016-09-26 2020-08-19 富士フイルム株式会社 レジスト組成物、パターン形成方法及び電子デバイスの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI225186B (en) * 2001-06-21 2004-12-11 Fuji Photo Film Co Ltd Positive photosensitive composition
WO2018070327A1 (ja) * 2016-10-13 2018-04-19 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法

Also Published As

Publication number Publication date
CN110554568A (zh) 2019-12-10
US20190371600A1 (en) 2019-12-05
US11127592B2 (en) 2021-09-21
CN110554568B (zh) 2022-12-27
TW202003659A (zh) 2020-01-16

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US11378884B2 (en) Extreme ultraviolet photoresist and method
US11796918B2 (en) Underlayer material for photoresist
US11460776B2 (en) Method and apparatus of patterning a semiconductor device
US11703766B2 (en) Materials and methods for forming resist bottom layer
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US10520821B2 (en) Lithography process with enhanced etch selectivity
US20230384683A1 (en) Photoresist with polar-acid-labile-group
TWI736961B (zh) 半導體裝置的製造方法
TWI754801B (zh) 半導體裝置的製造方法
US20190384172A1 (en) Extreme Ultraviolet Photoresist and Method
US10768527B2 (en) Resist solvents for photolithography applications
US9235118B1 (en) Patterning methods and methods of making a photoresist composition using a photoresist additive
TW202136327A (zh) 製造半導體裝置的方法
TW202136913A (zh) 光阻劑組成物與製造半導體裝置的方法