TWI752627B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI752627B
TWI752627B TW109131364A TW109131364A TWI752627B TW I752627 B TWI752627 B TW I752627B TW 109131364 A TW109131364 A TW 109131364A TW 109131364 A TW109131364 A TW 109131364A TW I752627 B TWI752627 B TW I752627B
Authority
TW
Taiwan
Prior art keywords
soc
layer
semiconductor element
semiconductor
bonding
Prior art date
Application number
TW109131364A
Other languages
English (en)
Other versions
TW202114067A (zh
Inventor
余振華
陳憲偉
陳明發
葉松峯
邱文智
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/806,470 external-priority patent/US11856800B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202114067A publication Critical patent/TW202114067A/zh
Application granted granted Critical
Publication of TWI752627B publication Critical patent/TWI752627B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14618Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73209Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80805Soldering or alloying involving forming a eutectic alloy at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供一種半導體元件及其製造方法,其中半導體元 件包含:第一系統晶片元件,接合至第一記憶體元件;第二系統晶片元件,接合至第一記憶體元件;第一包封體,包圍第一系統晶片元件及第二系統晶片元件;第二包封體,包圍第一系統晶片元件、第二系統晶片元件以及第一記憶體元件;以及穿孔,自第二包封體的第一側延伸至第一包封體的第二側,穿孔位於第一包封體外部。

Description

半導體元件及其製造方法
本發明的實施例是有關於一種半導體元件及其製造方法。
半導體產業歸因於各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積集度(integration density)持續改良而經歷快速增長。主要地,積集度的此改良來自於最小特徵尺寸的迭代減小(例如,使半導體製程節點朝向次20奈米節點縮小),其允許更多組件集成至給定區域中。隨著對小型化、高速以及較大頻寬以及較低功率消耗及潛時的需求近年來增長,因此對於更小且更具創造性的半導體晶粒的封裝技術的需求已增長。
隨著半導體技術進一步發展,堆疊及接合的半導體元件已作為有效替代物出現以進一步減小半導體元件的實體尺寸。在堆疊的半導體元件中,將諸如邏輯電路、記憶體電路、處理器電路及其類似者的主動電路至少部分地製作於獨立基底上,且接著實體接合且電性接合在一起以形成功能元件。此接合製程利用複雜技術,且需要改良。
本發明實施例提供一種半導體元件,包括:第一系統晶片元件、第二系統晶片元件、第一包封體、第二包封體以及穿孔。第一系統晶片元件接合至第一記憶體元件。第二系統晶片元件接合至第一記憶體元件。第一包封體包圍第一系統晶片元件及第二系統晶片元件。第二包封體包圍第一系統晶片元件、第二系統晶片元件以及第一記憶體元件。穿孔自第二包封體的第一側延伸至第一包封體的第二側。穿孔位於第一包封體外部。
本發明實施例提供一種半導體元件,包括:第一封裝、第一重佈線層、第一系統晶片元件、第二系統晶片元件、記憶體元件、第一包封體以及第二包封體。第一封裝電性連接至穿孔。第一重佈線層電性連接至所述穿孔。第一系統晶片元件電性連接至第一重佈線層。第二系統晶片元件電性連接至第一重佈線層。記憶體元件接合至第一系統晶片元件及第二系統晶片元件。第一包封體包圍第一系統晶片元件及第二系統晶片元件。第二包封體包圍穿孔、第一系統晶片元件、第二系統晶片元件、記憶體元件以及第一包封體。
本發明實施例提供一種製造半導體元件的方法,所述方法包括:提供記憶體元件;將第一系統晶片元件接合至記憶體元件;將第二系統晶片元件接合至記憶體元件;用第一包封體包封第一系統晶片元件及第二系統晶片元件;在包封之後,將第一系統晶片元件及第二系統晶片元件接合至重佈線層,重佈線層電性連接至穿孔;以及用第二包封體包封穿孔、第一系統晶片元件以及第二系統晶片元件。
100:半導體晶圓
101:第一半導體元件
103:第一基底
105:第一金屬化層
107:第一導電晶圓接合材料
109:第一晶圓接合層
200:經重建構晶圓
201:第一載板基底
203:第一黏著層
205:包封體
301:積體扇出型穿孔
303:第二半導體元件
305:第二基底
307:第二金屬化層
309:矽穿孔
311:第二晶圓接合層
313:第二導電晶圓接合材料
315:鈍化層
401、717:第二包封體
403:重佈線結構
404:第一重佈線鈍化層
405:第一重佈線通孔
407、607:第一重佈線層
408:第二重佈線鈍化層
409:接觸焊墊
410:第三重佈線鈍化層
411:鈍化層
412:凸塊下金屬化物
413:第一外部連接件
415:第二鈍化層
500:第一半導體結構
601:第二載板基底
603:第二黏著層
605:聚合物層
609:第二積體扇出型穿孔
611:第三包封體
701、705:第二重佈線層
703:第三外部連接件
707:第四外部連接件
709:第三基底
710:第一封裝
711:第三半導體元件
713:第四半導體元件
715:第三接觸焊墊
719:線接合件
721:底填充材料
A-A'、B-B':線
D1:第一距離
結合附圖閱讀以下詳細描述會最佳地理解本揭露的各態樣。應注意,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,可出於論述清楚起見,而任意地增大或減小各種特徵的尺寸。
圖1A至圖1B示出根據一些實施例的具有第一半導體元件的半導體晶圓。
圖2A至圖2B示出根據一些實施例的第一半導體元件的經重建構晶圓。
圖3A至圖3B示出根據一些實施例的第二半導體元件與第一半導體元件的接合。
圖4示出根據一些實施例的第二半導體元件的包封。
圖5示出根據一些實施例的單體化製程。
圖6示出根據一些實施例的積體扇出型製程。
圖7示出根據一些實施例的第二封裝。
圖8示出根據一些實施例的背對面接合配置。
以下揭露內容提供用於實施本發明的不同特徵的許多不同實施例或實例。下文描述組件及佈置的具體實例以簡化本揭露。當然,這些組件及佈置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或上的形成可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特 徵可在第一特徵與第二特徵之間形成以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述的各種實施例及/或配置之間的關係。
另外,為易於描述,本文中可使用諸如「在...之下(beneath)」、「在...下方(below)」、「下部(lower)」、「在...上方(above)」、「上部(upper)」及其類似者的空間相對術語,以描述如圖式中所示出的一個部件或特徵與另一(些)部件或特徵的關係。除諸圖中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
現將關於用系統積體電路及積體扇出型技術集成第一系統晶片元件、第二系統晶片元件以及寬I/O記憶體元件以達成高效能及低成本結構的具體實施例來描述實施例。然而,本文中所描述的實施例可應用於廣泛多種結構及方法中,且所有此類結構及方法全部意欲包含於實施例的範疇內。
現參考圖1A至圖1B,示出半導體晶圓100,其中多個第一半導體元件101與半導體晶圓100一起形成且形成於其上方(其中圖1B示出沿線A-A'的圖1A的橫截面圖)。在特定實施例中,第一半導體元件101可為記憶體元件,諸如寬I/O動態隨機存取記憶體(dynamic random access memory;DRAM)元件,其具有大量I/O介面,諸如多於256個介面,以使得可甚至在低時脈速度下實現資料的較大頻寬。然而,第一半導體元件101亦可為具有較 高資料傳送速率的任何其他合適類型的記憶體元件,諸如LPDDRn記憶體元件或其類似者,其具有較高資料傳送速率,或可為任何其他合適元件,諸如邏輯晶粒、中央處理單元(central processing unit;CPU)晶粒、輸入/輸出晶粒、這些的組合或其類似者。另外,半導體晶圓100可由製造商自第三方製造商接收,或可內部製造。
在實施例中,第一半導體元件101可包括第一基底103、第一主動元件、第一金屬化層105、第一晶圓接合層109以及第一導電晶圓接合材料107。第一基底103可包括經摻雜或未經摻雜的塊體矽,或絕緣層上矽(silicon-on-insulator;SOI)基底的主動層。一般而言,SOI基底包括諸如矽、鍺、矽鍺、SOI、絕緣層上矽鍺(silicon germanium on insulator;SGOI)或其組合的半導體材料的層。可使用的其他基底包含多層基底、梯度基底或混合定向基底。
第一主動元件包括可用於產生針對第一半導體元件101的設計的所要結構及功能要求的廣泛多種主動元件及被動元件,諸如電容器、電阻器、電感器及其類似者。可使用任何合適的方法在第一基底103內或第一基底103上形成第一主動元件。
第一金屬化層105形成於第一基底103及第一主動元件上方,且經設計以連接各種主動元件以形成功能電路。在實施例中,第一金屬化層105由交替的介電層及導電材料層形成,且可經由任何合適的製程(諸如沈積、金屬鑲嵌、雙金屬鑲嵌等)形成。在實施例中,可存在與第一基底103分隔至少一個層間介電層(interlayer dielectric layer;ILD)的四個金屬化層,但第一金屬化層105的精確數目取決於第一半導體元件101的設計。
第一晶圓接合層109可形成於第一金屬化層105上方的 第一基底103上。第一晶圓接合層109可用於混合接合或熔融接合(亦稱為氧化物與氧化物接合)。根據一些實施例,第一晶圓接合層109由諸如氧化矽、氮化矽或其類似者的含矽介電材料形成。第一晶圓接合層109可使用任何合適的方法沈積至約1奈米與約1000奈米之間(諸如約5奈米)的厚度,所述方法諸如原子層沈積(atomic layer deposition;ALD)、CVD、高密度電漿化學氣相沈積(high-density plasma chemical vapor deposition;HDPCVD)、PVD或其類似者。然而,可利用任何合適的材料、製程以及厚度。
一旦已形成第一晶圓接合層109,接合開口即可形成於第一晶圓接合層109內以準備形成第一導電晶圓接合材料107。在實施例中,接合開口可藉由首先在第一晶圓接合層109的頂部表面上方塗覆且圖案化光阻來形成。光阻接著用於蝕刻第一晶圓接合層109以形成開口。可藉由乾式蝕刻(例如,反應性離子蝕刻(reactive ion etching;RIE)或中性束蝕刻(neutral beam etching;NBE))、濕式蝕刻或其類似者來蝕刻第一晶圓接合層109。根據本揭露的一些實施例,蝕刻在第一金屬化層105上停止,以使得第一金屬化層105經由第一晶圓接合層109中的開口暴露。
一旦已暴露出第一金屬化層105,第一導電晶圓接合材料107即可與第一金屬化層105實體接觸且電接觸地形成。在實施例中,第一導電晶圓接合材料107可包括阻擋層、晶種層、填充金屬或其組合(未單獨地示出)。舉例而言,阻擋層可毯覆式沈積於第一金屬化層105上方。阻擋層可包括鈦、氮化鈦、鉭、氮化鉭或其類似者。晶種層可為諸如銅的導電材料,且可取決於所要材料使用諸如濺鍍、蒸鍍或電漿增強型化學氣相沈積(plasma-enhanced chemical vapor deposition;PECVD)或其類似者的製程來毯覆式沈積於阻擋層上方。填充金屬可為諸如銅或銅合金的導體,且可經由諸如電鍍或無電式鍍覆的鍍覆製程沈積於晶種層上方以填充或過量填充開口。一旦已沈積填充金屬,即可經由諸如化學機械研磨的平坦化製程自開口外部移除填充金屬、晶種層以及阻擋層的多餘材料。然而,雖然已描述單一金屬鑲嵌製程,但亦可利用諸如雙金屬鑲嵌製程的任何合適的方法。
然而,形成、圖案化第一晶圓接合層109且在平坦化之前將第一導電晶圓接合材料107鍍覆至開口中的上述實施例意欲為說明性的而非意欲限制實施例。相反,可利用形成第一晶圓接合層109及第一導電晶圓接合材料107的任何合適的方法。在其他實施例中,第一導電晶圓接合材料107可首先使用例如微影圖案化及鍍覆製程來形成,且接著介電材料用於在使用CMP平坦化之前對第一導電晶圓接合材料107周圍的區域進行間隙填充。任何此類製造製程全部意欲包含於實施例的範疇內。
圖2A至圖2B示出視情況選用的製程,從而第一半導體元件101經測試、分離,且接著用於形成僅具有已知良好晶粒(known good die;KGD)的經重建構晶圓200以用於進一步處理(其中圖2B示出沿線B-B'的圖2A的橫截面圖)。詳言之,在一些製造製程中,半導體晶圓100可具有低良率(例如,在半導體晶圓100上製造的至少100個晶粒中10個或少於10個良好晶粒)。因而,第一半導體元件101可經測試以確保第一半導體元件101中的每一者滿足所要設計的所有所要規格。舉例而言,測試探針可放置成與第一半導體元件101中的每一者電性連接,且訊號可輸入 至第一半導體元件101中且自所述第一半導體元件101接收,以測試第一半導體元件101。
一旦已執行測試且已將第一半導體元件101識別為已知良好或不良的,半導體晶圓100(參見例如圖1)即可經單體化以將已知良好第一半導體元件101與有缺陷的第一半導體元件101分離。在實施例中,單體化可藉由使用鋸刀(未示出)執行以割穿第一半導體元件101之間的第一基底103,藉此將第一半導體元件101中的一者與第一半導體元件101中的另一者分離。
然而,如在所屬領域中具通常知識者將認識到,利用鋸刀以使第一半導體元件101單體化僅為一個說明性實施例,且不意欲為限制性的。可替代地利用用於諸如利用一或多個蝕刻使第一半導體元件101單體化以分離第一半導體元件101的其他方法。這些方法及任何其他合適的方法可替代地用以使第一半導體元件101單體化。
一旦已單體化第一半導體元件101,已通過測試且已知為良好的第一半導體元件101的那些單體即使用第一黏著層203放置至第一載板基底201上。在實施例中,第一載板基底201包括例如矽類材料,諸如玻璃或氧化矽,或其他材料,諸如氧化鋁、這些材料中的任一者的組合或其類似者。第一載板基底201為平面的以適應第一半導體元件101的附接。
一旦已附接第一半導體元件101,第一黏著層203即放置在第一載板基底201上方且經利用以提供對例如第一半導體元件101的保護。在實施例中,第一黏著層203為介電質,諸如環氧類熱釋放材料,其在加熱時損失其黏著特性,諸如光-熱轉換(light- to-heat-conversion;LTHC)釋放塗層。在其他實施例中,第一黏著層203可為在曝光於UV光時損失其黏著特性的紫外線(ultra-violet;UV)黏膠。第一黏著層203可作為液體施配且經固化,可為層壓至第一載板基底201上的層壓膜或其類似者。
一旦第一黏著層203已放置於第一載板基底201上,第一半導體元件101的已知良好晶粒即可彼此鄰近地放置於第一黏著層203上。在實施例中,已知為良好的第一半導體元件101可使用例如取放製程來放置。然而,可利用任何合適的方法來放置第一半導體元件101。
在實施例中,第一半導體元件101中的第一者可放置於距第一半導體元件101中的第二者第一距離D1處。雖然待使用的具體第一距離D1取決於多個因素,諸如第一半導體元件101的尺寸,但在第一半導體元件101為6毫米的實施例中,第一距離D1可介於約10微米與約500微米之間,諸如約80微米。然而,可利用任何合適的距離。
在已放置第一半導體元件101之後,可包封第一半導體元件101。包封可在模製元件中執行,所述模製元件可包括頂部模製部分及可與頂部模製部分分離的底部模製部分。在將頂部模製部分降低以鄰近於底部模製部分時,可針對第一載板基底201及第一半導體元件101形成模製空腔。
在包封製程期間,頂部模製部分可與底部模製部分鄰近放置,藉此將第一載板基底201及第一半導體元件101圍封在模製空腔內。一旦圍封,頂部模製部分及底部模製部分即可形成氣密密封,以控制氣體自模製空腔的流入及流出。一旦密封,包封體 205即可放置於模製空腔內。
包封體205可為環氧樹脂或模製化合物樹脂,諸如聚醯亞胺、聚苯硫醚(polyphenylene sulfide;PPS)、聚醚醚酮(polyetheretherketone;PEEK)、聚醚碸(poly ether sulphone;PES)、耐熱晶體樹脂、這些的組合或其類似者。包封體205可在對準頂部模製部分與底部模製部分之前放置於模製空腔內,或可使用壓縮模製、轉移模製或其類似者經由注入埠注入至模製空腔中。
一旦包封體205放置於模製空腔中以使得包封體205包封第一載板基底201及第一半導體元件101,即可固化包封體205以硬化包封體205以用於最佳保護。雖然準確固化製程至少部分取決於為包封體205所選擇的特定材料,但在選擇模製化合物作為包封體205的實施例中,固化可經由諸如將包封體205加熱至約100℃與約200℃之間(諸如,約125℃)持續約60秒至約3000秒(諸如,約600秒)的製程而發生。另外,起始劑及/或催化劑可包含於包封體205內以更好地控制固化製程。
然而,如在所屬領域中具通常知識者將認識到,上文所描述的固化製程僅為例示性製程,且不意欲限制當前實施例。亦可使用其他固化製程,諸如照射或甚至允許包封體205在環境溫度下硬化。可使用任何合適的固化製程,且所有此類製程全部意欲包含於本文中所論述的實施例的範疇內。
圖2B進一步示出包封體205的薄化以暴露出第一半導體元件101以用於進一步處理。薄化可例如使用機械研磨、化學方法或化學機械研磨(chemical mechanical polishing;CMP)製程來執行,從而利用化學蝕刻劑及研磨劑發生反應且研磨掉包封體 205及第一半導體元件101,以使得已暴露出第一半導體元件101上的第一導電晶圓接合材料107。因而,第一半導體元件101可具有亦與包封體205共面的平面表面。在另一實施例中,可省略研磨。舉例而言,若在包封之後已暴露出第一半導體元件101,則可省略研磨。
此外,雖然上文所描述的CMP製程呈現為一個說明性實施例,但其不意欲限於所述實施例。任何其他合適的移除製程可用於薄化包封體205及第一半導體元件101。舉例而言,可利用一系列化學蝕刻。可利用此製程及任何其他合適的製程使包封體205及第一半導體元件101平坦化,且此類製程全部意欲包含於實施例的範疇內。
然而,雖然經重建構晶圓200的形成可用於幫助確保僅利用已知良好晶粒,但經重建構晶圓200的形成意欲為說明性的而非意欲為限制性的。相反,可在不形成經重建構晶圓200的情況下使用原始半導體晶圓100。此類實施例全部意欲包含於實施例的範疇內。
圖3A示出一旦已形成經重建構晶圓200,積體扇出型穿孔(through integrated fan out vias;TIVs)301可形成於經重建構晶圓200上。在實施例中,TIV 301可藉由最初在經重建構晶圓200上方形成晶種層而形成。在實施例中,晶種層為在後續處理步驟期間輔助形成較厚層的導電材料的薄層。晶種層可包括約1,000埃厚的鈦層,接著為約5,000埃厚的銅層。取決於所要材料,晶種層可使用諸如物理氣相沈積、蒸鍍或PECVD製程或金屬箔層壓製程或其類似者的製程產生。晶種層可形成為具有介於約0.3微米與 約1微米之間的厚度,諸如約0.5微米。
在已形成晶種層之後,在晶種層上方放置且圖案化光阻。在實施例中,可使用例如旋轉塗佈技術將光阻放置於晶種層上至介於約50微米與約250微米之間(諸如約120微米)的高度。一旦處於適當位置,光阻即可接著藉由以下步驟來圖案化:使光阻暴露於經圖案化能量源(例如,經圖案化光源)以誘發化學反應,藉此誘發光阻暴露於經圖案化光源的那些部分的物理變化。接著將顯影劑塗覆至經暴露的光阻以利用物理變化,且取決於所要圖案而選擇性地移除光阻的暴露部分或光阻的未暴露部分。
在實施例中,形成為光阻的圖案為用於TIV 301的圖案。TIV 301以此放置形成,以位於諸如第二半導體元件303的隨後附接元件的不同側上。然而,亦可利用用於TIV 301的圖案的任何合適的佈置,諸如藉由定位成使得第二半導體元件303放置於TIV 301的相對側上。
在實施例中,TIV 301形成於光阻內。在實施例中,TIV 301包括一或多種導電材料,諸如銅、鎢、其他導電金屬或其類似者,且可例如藉由電鍍、無電式鍍覆或其類似者形成。在實施例中,使用電鍍製程,其中晶種層及光阻浸沒或浸入於電鍍溶液中。晶種層表面電性連接至外部DC電源的負極側,以使得晶種層在電鍍製程中充當陰極。諸如銅陽極的固體導電陽極亦浸入於溶液中且附接至電源的正極側。來自陽極的原子溶解於溶液中,例如晶種層的陰極自所述溶液獲取經溶解原子,藉此鍍覆光阻的開口內的晶種層的經暴露導電區域。
一旦已使用光阻及晶種層形成TIV 301,即可使用合適的 移除製程移除光阻。在實施例中,電漿灰化製程可用於移除光阻,從而可升高光阻的溫度,直至光阻經歷熱分解且可經移除為止。然而,可替代地利用任何其他合適的製程,諸如濕式剝離。光阻的移除可暴露出晶種層的底層部分。
一旦暴露,即可執行晶種層的暴露部分的移除。在實施例中,晶種層的暴露部分(例如,未被TIV 301覆蓋的那些部分)可藉由例如一或多個濕式或乾式蝕刻製程移除。舉例而言,在乾式蝕刻製程中,可使用TIV 301作為罩幕將反應物導向晶種層。在另一實施例中,蝕刻劑可經噴塗或以其他方式與晶種層接觸以移除晶種層的暴露部分。在已蝕刻掉晶種層的暴露部分之後,在TIV 301之間暴露出經重建構晶圓200的一部分。
在已形成TIV 301之後,第二半導體元件303可與TIV 301鄰近放置。在實施例中,第二半導體元件303可各自為系統晶片元件,諸如邏輯元件,其意欲與第一半導體元件101(例如,寬I/O DRAM元件)結合起作用。然而,可利用任何合適的功能性,諸如邏輯晶粒、中央處理單元(CPU)晶粒、輸入/輸出晶粒、這些的組合或其類似者。
圖3B示出第二半導體元件303的近視圖,且示出第二半導體元件303可包括第二基底305、第二主動元件、第二金屬化層307、矽穿孔(TSV)309、第二晶圓接合層311以及第二導電晶圓接合材料313。在實施例中,第二基底305、第二主動元件、第二金屬化層307、第二晶圓接合層311以及第二導電晶圓接合材料313可與上文關於圖1A至圖1B描述的第一基底103、第一主動元件、第一金屬化層105、第一晶圓接合層109以及第一導電晶圓 接合材料107類似地形成。然而,在其他實施例中,這些結構可使用不同製程形成。
另外,在一些實施例中,第二半導體元件303可形成為包含TSV 309,所述TSV 309在第二主動元件定位至第二半導體元件303的背側時提供與第二半導體元件303(例如,正面)的前側的電性連接。在實施例中,TSV 309可藉由最初將矽穿孔(TSV)開口形成至第二基底305及(視需要)任何上覆第二金屬化層307中而形成。TSV開口可藉由塗覆及顯影合適光阻且移除暴露於所要深度的第二基底305的部分而形成。可形成TSV開口,以延伸至第二基底305至大於第二基底305的最終所要高度的深度。因此,雖然深度取決於總體設計,但深度可介於約20微米與約200微米之間,諸如約50微米的深度。
一旦TSV開口已形成於第二基底305及或任何第二金屬化層307內,TSV開口即可用襯裡填滿。襯裡可為例如由正矽酸四乙酯(tetraethylorthosilicate;TEOS)或氮化矽形成的氧化物,但可使用任何合適的介電材料。襯裡可使用電漿增強式化學氣相沈積(PECVD)製程形成,但可使用其他合適的製程,諸如物理氣相沈積或熱製程。另外,襯裡可形成為約0.1微米與約5微米之間的厚度,諸如約1微米。
一旦襯裡已沿TSV開口的側壁及底部形成,即可形成阻擋層且TSV開口的剩餘部分可用第一導電材料填充。第一導電材料可包括銅,但可利用其他合適的材料,諸如鋁、合金、摻雜多晶矽、其組合以及其類似者。可藉由將銅電鍍至晶種層上、填充以及過度填充TSV開口來形成第一導電材料。一旦已填充TSV開口, 即可經由諸如化學機械研磨(chemical mechanical polishing;CMP)的平坦化製程來移除TSV開口外部的多餘襯裡、阻擋層、晶種層以及第一導電材料,但可使用任何合適的移除製程。
一旦已填充TSV開口,進行第二基底305的背側的薄化以暴露出TSV 309的開口且自導電材料形成延伸穿過第二基底305的TSV 309。在實施例中,第二基底305的第二側的薄化可使得暴露出TSV 309。第二基底305的第二側的薄化可藉由諸如CMP或蝕刻的平坦化製程執行。
另外,視需要,第二基底305的背側可接著凹入以允許TSV 309自第二基底305的背側突出。在實施例中,可使用諸如乾式蝕刻製程的蝕刻製程執行凹入,但可利用任何合適的製程。在實施例中,TSV 309可自第二基底305的背側突出約0.5微米與約10微米之間的距離,諸如約5微米。
一旦TSV 309自第二基底305突出,即可形成鈍化層315以保護TSV 309。在實施例中,鈍化層315可由一或多種合適的介電材料製成,所述介電材料諸如氧化矽、氮化矽、諸如聚苯并噁唑(polybenzoxazole;PBO)的聚合物、模製化合物、諸如碳摻雜氧化物的低介電常數(low-k)介電質、諸如多孔碳摻雜二氧化矽的極低介電常數介電質、這些的組合或其類似者。鈍化層315可經由低溫化學氣相沈積(low temperature chemical vapor deposition;LTCVD)形成,但亦可利用任何合適的沈積製程,諸如CVD、PVD、ALD、模製製程、這些的組合或其類似者。在已形成鈍化層315之後,鈍化層315亦可經薄化以再次暴露出TSV 309。在實施例中,化學機械研磨製程可用於使鈍化層315薄化,直至已暴露出TSV 309為止。
一旦已製備第二半導體元件303,即使用例如混合接合將第二半導體元件303接合至第一半導體元件101。在實施例中,可最初啟動第一半導體元件101(例如,第一晶圓接合層109及第一導電晶圓接合材料107)的表面及第二半導體元件303(例如,第二晶圓接合層311及第二導電晶圓接合材料313)的表面。作為實例,啟動第一半導體元件101及第二半導體元件303的頂部表面可包括乾式處理、濕式處理、電漿處理、暴露於惰性氣體電漿、暴露於H2、暴露於N2、暴露於O2或其組合。在使用濕式處理的實施例中,例如,可使用RCA清潔。在另一實施例中,啟動製程可包括其他類型的處理。啟動製程輔助第一半導體元件101及第二半導體元件303的混合接合。
在啟動製程之後,第一半導體元件101及第二半導體元件303可接觸地放置。在利用混合接合的特定實施例中,第一導電晶圓接合材料107放置成與第二導電晶圓接合材料313實體接觸,而第一晶圓接合層109放置成與第二晶圓接合層311實體接觸。在啟動製程化學地修改表面的情況下,材料之間的接合製程在實體接觸後開始。
一旦實體接觸已開始接合製程,接合即可接著藉由使總成經受熱處理來加強。在實施例中,第一半導體元件101及第二半導體元件303可經受介於約200℃與約400℃之間的溫度以加強第一晶圓接合層109與第二晶圓接合層311之間的接合。第一半導體元件101及第二半導體元件303可接著經受處於或高於第一導電晶圓接合材料107及第二導電晶圓接合材料313的材料的共 晶點的溫度。以此方式,第一半導體元件101及第二半導體元件303的熔融形成混合接合的元件。
另外,雖然已描述具體製程以使第一半導體元件101與第二半導體元件303之間的混合接合起始及加強,但這些描述意欲為說明性的而非意欲限於實施例。相反,可利用烘烤、退火、壓製的任何合適組合或其他接合製程或製程的組合。所有此類製程全部意欲包含於實施例的範疇內。
另外,雖然混合接合已描述為將第一半導體元件101接合至第二半導體元件303的一種方法,但此同樣僅意欲為說明性的而非意欲限於實施例。相反,亦可利用任何合適的接合方法,諸如熔融接合、銅與銅接合或其類似者,或甚至使用例如球柵陣列封裝的焊料接合。可利用將第一半導體元件101接合至第二半導體元件303的任何合適的方法。
圖4示出,一旦第二半導體元件303已接合至第一半導體元件101,第二半導體元件303、第一半導體元件101以及TIV 301即可經第二包封體401包封。在實施例中,第二半導體元件303、第一半導體元件101以及TIV 301可使用與如上文關於圖2A至圖2B所描述的第一半導體元件101的包封類似的製程來包封。一旦經包封,第二半導體元件303、第一半導體元件101、TIV 301以及第二包封體401即可經平坦化以暴露出TIV 301及TSV 309。
在另一實施例中,代替用諸如模製化合物的包封體包封第二半導體元件303及TIV 301,第二半導體元件303及TIV 301可用間隙填充介電材料包封(其中可將TIV 301視為介電穿孔(through dielectric via;TDV))。在一些實施例中,間隙填充介電 材料可包括非聚合物類二氧化矽、氮化矽或其類似者,諸如另一氧化物或氮化物,其使用任何合適的製程來沈積。舉例而言,間隙填充材料可由CVD、PECVD或ALD沈積製程、FCVD或旋轉塗佈玻璃製程形成。然而,可利用任何合適的材料及任何合適的沈積製程。
在間隙填充材料用於第二包封體401的又一實施例中,代替在第二包封體401的形成及/或放置之前形成TIV 301,TIV 301(或TDV)可在第二包封體401的形成及/或放置之後形成。在此實施例中,可放置第二半導體元件303,且可放置間隙填充材料以包封第二半導體元件303。一旦已放置間隙填充材料,微影遮蔽及蝕刻製程可用於經由第二半導體元件303之間的間隙填充材料形成開口,以暴露出底層第一導電晶圓接合材料107。
一旦已形成開口,開口即可用導電材料填充,在一些實施例中,所述導電材料包含襯裡。襯裡可為例如由正矽酸四乙酯(TEOS)或氮化矽形成的氧化物,但可使用任何合適的介電材料。襯裡可使用電漿增強式化學氣相沈積(PECVD)製程形成,但可使用其他合適的製程,諸如物理氣相沈積或熱製程。另外,襯裡可形成為約0.1微米與約5微米之間的厚度,諸如約1微米。
一旦襯裡已沿開口的側壁及底部形成,即可形成阻擋層且開口的剩餘部分可用導電材料填充。導電材料可包括銅,但可利用其他合適的材料,諸如鋁、合金、摻雜多晶矽、其組合以及其類似者。可藉由將銅電鍍至晶種層上、填充以及過度填充TSV開口來形成導電材料。一旦已填充開口,即可經由諸如化學機械研磨(CMP)的平坦化製程來移除開口外部的多餘襯裡、阻擋層、晶種 層以及導電材料,但可使用任何合適的移除製程。
圖4另外示出具有一或多個層的重佈線結構403在第二包封體401上方的形成。在實施例中,重佈線結構403可藉由最初在第二包封體401上方形成第一重佈線鈍化層404來形成。在實施例中,第一重佈線鈍化層404可為聚苯并噁唑(PBO),但可替代地利用任何合適的材料,諸如聚醯亞胺或聚醯亞胺衍生物,諸如低溫固化的聚醯亞胺。可使用例如旋轉塗佈製程將第一重佈線鈍化層404放置為在介於約5微米與約17微米之間的厚度,諸如約7微米,但可替代地使用任何合適的方法及厚度。
一旦已形成第一重佈線鈍化層404,第一重佈線通孔405即可經由第一重佈線鈍化層404形成,以對第二半導體元件303與TIV 301進行電性連接。在實施例中,可藉由使用例如金屬鑲嵌製程來形成第一重佈線通孔405,從而最初使用例如微影遮蔽及蝕刻製程來圖案化第一重佈線鈍化層404以形成開口,或在第一重佈線鈍化層404的材料為感光性的情況下對第一重佈線鈍化層404的材料曝光及顯影。一旦經圖案化,即用諸如銅的導電材料填充開口,且使用例如諸如化學機械研磨的平坦化製程來移除任何多餘的材料。然而,可利用任何合適的製程或材料。
在已形成第一重佈線通孔405之後,第一重佈線層407形成於第一重佈線通孔405上方且與所述第一重佈線通孔405電性連接。在實施例中,第一重佈線結構407可藉由最初形成第二重佈線鈍化層408來形成。在實施例中,第二重佈線鈍化層408可為聚苯并噁唑(PBO),但可替代地利用任何合適的材料,諸如聚醯亞胺或聚醯亞胺衍生物,諸如低溫固化的聚醯亞胺。第二重佈線 鈍化層408可使用例如旋轉塗佈製程放置為介於約5微米與約17微米(諸如約7微米)之間的厚度,但可替代地使用任何合適的方法及厚度。
一旦已形成第二重佈線鈍化層408,開口即可經由第二重佈線鈍化層408圖案化以使用例如微影蝕刻製程暴露出第一重佈線通孔405。一旦暴露,鈦銅合金的晶種層(未示出)即可經由諸如CVD或濺鍍的合適的形成製程而設置。可接著形成光阻(亦未示出)以覆蓋晶種層,且光阻可接著經圖案化以暴露出晶種層的位於第一重佈線層407所需位於的位置的那些部分。
一旦已形成且圖案化光阻,諸如銅的導電材料即可經由諸如鍍覆的沈積製程形成於晶種層上。導電材料可形成為具有介於約1微米與約10微米之間的厚度,諸如約4微米。然而,雖然所論述的材料及方法適合於形成導電材料,但這些材料僅為例示性的。可使用諸如AlCu或Au的任何其他合適材料及諸如CVD或PVD的任何其他合適的形成製程以形成第一重佈線層407。
一旦已形成導電材料,即可經由諸如化學剝離及/或灰化的合適的移除製程來移除光阻。另外,在移除光阻之後,可經由例如使用導電材料作為罩幕的合適的蝕刻製程來移除晶種層的被光阻覆蓋的那些部分。
一旦已形成導電材料,即可形成第三重佈線鈍化層410。在實施例中,第三重佈線鈍化層410可為聚苯并噁唑(PBO),但可替代地利用任何合適的材料,諸如聚醯亞胺或聚醯亞胺衍生物,諸如低溫固化的聚醯亞胺。第三重佈線鈍化層410可使用例如旋轉塗佈製程放置為介於約5微米與約17微米(諸如約7微米)之 間的厚度,但可替代地使用任何合適的方法及厚度。
一旦已形成重佈線結構403,即可形成接觸焊墊409。接觸焊墊409可包括鋁,但亦可使用其他材料,諸如銅。接觸焊墊409可使用諸如濺鍍的沈積製程形成,以形成材料層(未示出),且材料層的部分可接著經由合適的製程(諸如微影遮蔽及蝕刻)移除以形成接觸焊墊409。然而,可利用任何其他合適的製程。接觸焊墊409可形成為具有約0.5微米與約4微米之間的厚度,諸如約1.45微米。然而,可使用任何合適的厚度。
鈍化層411可形成於接觸焊墊409上方。鈍化層411使用例如固化樹脂或聚醯亞胺塗層(例如,聚醯亞胺(polyimide;PI)、聚苯并噁唑(PBO)、環氧樹脂膜或其類似者)沈積於晶圓上方以形成鈍化層411。鈍化層411可經由旋轉塗佈技術沈積且接著使用例如低溫固化技術固化。然而,亦可使用任何合適的塗層、任何合適的沈積技術以及任何合適的固化技術。
一旦已形成鈍化層411,即可形成凸塊下金屬化物(underbump metallization;UBM)412及第一外部連接件413。在實施例中,UBM 412可包括三個導電材料層,諸如鈦層、銅層以及鎳層。然而,所屬領域中具通常知識者將認識到,存在適用於形成UBM 412的許多合適的材料及層的佈置,諸如,鉻/鉻銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置,或銅/鎳/金的佈置。可用於UBM 412的任何合適的材料或材料層全部意欲包含於實施例的範疇內。
在實施例中,UBM 412藉由在鈍化層411上方及經由所述鈍化層411形成每一層以與接觸焊墊409進行實體接觸及電接觸來產生。可使用諸如電化學鍍覆的鍍覆製程來執行每一層的形 成,但取決於所要材料,可替代地使用其他形成製程,諸如濺鍍、蒸鍍或PECVD製程。UBM 412可形成為具有介於約0.7微米與約10微米之間的厚度,諸如約5微米。
第一外部連接件413可為導電柱,諸如銅導柱。在實施例中,導電柱可藉由最初形成晶種層且接著塗覆及圖案化光阻來形成,其中開口暴露出導電柱所需位於的位置的晶種層。諸如銅、鎢、其他導電金屬或其類似者的導電材料可接著使用諸如電鍍、無電式鍍覆或其類似者的製程形成於光阻內。一旦形成,即移除光阻且使用導電材料作為罩幕來圖案化晶種層。
一旦已形成第一外部連接件413,即形成第二鈍化層415以保護第一外部連接件413。在實施例中,第二鈍化層415可使用諸如CVD、PVD、ALD、這些的組合或其類似者的製程由諸如氧化矽、氮化矽、諸如碳摻雜氧化物的低介電常數介電質、諸如多孔碳摻雜二氧化矽的極低介電常數介電質、這些的組合或其類似者的一或多種合適的介電材料製成。然而,可利用任何合適的材料及製程。
圖5示出第一載板基底201及第一黏著層203的移除及經重建構晶圓200的單體化以形成第一半導體結構500。在實施例中,第一載板基底201可藉由第一黏著層203處的經導向能量移除,藉此減小其黏著力且允許移除第一黏著層203及第一載板基底201兩者。
一旦與第一載板基底201分離,即使經重建構晶圓200單體化。在實施例中,單體化可藉由使用鋸刀(未示出)執行以割穿第一半導體元件101之間的經重建構晶圓200,藉此將第一半導 體元件101中的一者(其中第二半導體元件303中的每一者與其接合)與第一半導體元件101中的另一者(其中第二半導體元件303中的每一者與其接合)分離。
圖6示出利用第二載板基底601、第二黏著層603、聚合物層605、第一重佈線層607以及第二積體扇出型穿孔(TIV)609的另一積體扇出型製程。在實施例中,第二載板基底601包括例如諸如玻璃或氧化矽的矽類材料、或諸如氧化鋁的其他材料、這些材料中的任一者的組合或其類似者。第二載板基底601為平面的以適應諸如第一半導體結構500的元件的附接。
第二黏著層603可放置在第二載板基底601上方以輔助上覆結構與第二載板基底601的附接。在實施例中,第二黏著層603為晶粒附接膜(die attached film;DAF),諸如環氧樹脂、苯酚樹脂、丙烯酸橡膠、二氧化矽填充劑或其組合,且使用層壓技術塗覆。然而,可利用任何其他合適的材料及形成方法。
聚合物層605最初形成於第二黏著層603上方。在實施例中,聚合物層605可由一或多種合適的介電材料製成,所述介電材料諸如氧化矽、氮化矽、諸如碳摻雜氧化物的低介電常數介電質、諸如多孔碳摻雜二氧化矽的極低介電常數介電質、這些的組合或其類似者。聚合物層605可經由諸如化學氣相沈積(CVD)的製程形成,但可利用任何合適的製程,且可具有介於約0.5微米與約5微米之間的厚度。
一旦已形成聚合物層605,凸塊下金屬化層及第一重佈線層607即可形成於聚合物層605上方。在實施例中,凸塊下金屬化層可包括三個導電材料層,諸如鈦層、銅層以及鎳層。然而,所 屬領域中具通常知識者將認識到,存在適用於形成凸塊下金屬化層的許多合適的材料及層的佈置,諸如,鉻/鉻銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置,或銅/鎳/金的佈置。可用於凸塊下金屬化層的任何合適的材料或材料層全部意欲包含於實施例的範疇內。
在實施例中,凸塊下金屬化層藉由在聚合物層605上方形成每一層來產生。可使用諸如電化學鍍覆的鍍覆製程來執行每一層的形成,但取決於所要材料,可替代地使用其他形成製程,諸如濺鍍、蒸鍍或PECVD製程。凸塊下金屬化層可形成為具有在約0.7微米至約10微米之間的厚度,諸如約5微米。
在實施例中,第一重佈線層607包括嵌入於一系列介電層內的一系列導電層。在實施例中,所述系列介電層中的第一者形成於聚合物層605上方,且所述系列介電層中的第一者可為諸如聚苯并噁唑(PBO)的材料,但可利用任何合適的材料,諸如聚醯亞胺或聚醯亞胺衍生物。所述系列介電層中的第一者可使用例如旋轉塗佈製程放置,但可使用任何合適的方法。
在已形成所述系列介電層中的第一者之後,開口可藉由移除所述系列介電層中的第一者的部分經由所述系列介電層中的第一者製得。開口可使用合適的微影罩幕及蝕刻製程形成,但一個或多個任何合適的製程可用於圖案化所述系列介電層中的第一者。
一旦已形成且圖案化所述系列介電層中的第一者,所述系列導電層中的第一者即形成於所述系列介電層中的第一者上方且穿過形成於所述系列介電層中的第一者內的開口。在實施例中,所述系列導電層中的第一者可藉由經由諸如CVD或濺鍍的合適的 形成製程最初形成鈦銅合金的晶種層(未示出)來形成。可接著形成光阻(亦未示出)以覆蓋晶種層,且可接著圖案化光阻以暴露出晶種層的位於所述系列導電層中的第一者所需位於的位置的那些部分。
一旦已形成且圖案化光阻,諸如銅的導電材料即可經由諸如鍍覆的沈積製程形成於晶種層上。導電材料可形成為具有介於約1微米與約10微米之間的厚度,諸如約5微米。然而,雖然所論述的材料及方法適合於形成導電材料,但這些材料僅為例示性的。諸如AlCu或Au的任何其他合適材料及諸如CVD或PVD的任何其他合適的形成製程可用於形成所述系列導電層中的第一者。一旦已形成導電材料,即可經由諸如灰化的合適的移除製程來移除光阻。另外,在移除光阻之後,可經由例如使用導電材料作為罩幕的合適的蝕刻製程移除晶種層的被光阻覆蓋的那些部分。
一旦已形成所述系列導電層中的第一者,所述系列介電層中的第二者及所述系列導電層中的第二者可藉由與所述系列介電層中的第一者及所述系列導電層中的第一者類似的重複步驟形成。這些步驟可視需要重複以將所述系列導電層中的每一者電性連接至所述系列導電層中的底層一者,且可視需要常常重複,直至已形成所述系列導電層中的最上一者及所述系列介電層中的最上一者為止。在實施例中,所述系列導電層及所述系列介電層的沈積及圖案化可持續,直至第一重佈線層607具有所要數目個層為止,但可利用任何合適數目個個別層。
一旦第一重佈線層607已形成於第二載板基底601上方,第二TIV 609即形成為與第一重佈線層607電性連接。在實施例 中,可藉由最初形成晶種層(未單獨地示出)來形成第二TIV 609。在實施例中,晶種層為在後續處理步驟期間輔助形成較厚層的導電材料的薄層。晶種層可包括約1,000埃厚的鈦層,接著為約5,000埃厚的銅層。取決於所要材料,可使用諸如濺鍍、蒸鍍或PECVD製程的製程來產生晶種層。晶種層可形成為具有介於約0.3微米與約1微米之間的厚度,諸如約0.5微米。
一旦已形成晶種層,即將光阻(亦未示出)放置於晶種層上方。在實施例中,可使用例如旋轉塗佈技術將光阻放置於晶種層上至介於約50微米與約250微米之間(諸如約120微米)的高度。一旦處於適當位置,光阻即可接著藉由以下步驟來圖案化:使光阻暴露於經圖案化能量源(例如,經圖案化光源)以誘發化學反應,藉此誘發光阻暴露於經圖案化光源的那些部分的物理變化。接著將顯影劑塗覆至經暴露的光阻以利用物理變化,且取決於所要圖案而選擇性地移除光阻的暴露部分或光阻的未暴露部分。在實施例中,形成為光阻的圖案為用於第二TIV 609的圖案。第二TIV 609以位於隨後附接元件的不同側上的放置而形成。然而,可利用第二TIV 609的圖案的任何合適的佈置。
在實施例中,第二TIV 609自一或多種導電材料形成於光阻內,所述一或多種導電材料諸如銅、鎢、其他導電金屬或其類似者,且可例如藉由電鍍、無電式鍍覆或其類似者形成。舉例而言,使用電鍍製程,其中晶種層及光阻浸沒或浸入於電鍍溶液中。晶種層表面電性連接至外部DC電源的負極側,以使得晶種層在電鍍製程中充當陰極。諸如銅陽極的固體導電陽極亦浸入於溶液中且附接至電源的正極側。來自陽極的原子溶解於溶液中,例如晶 種層的陰極自所述溶液獲取經溶解原子,藉此鍍覆光阻的開口內的晶種層的暴露導電區域。
一旦已使用光阻及晶種層形成第二TIV 609,即可使用合適的移除製程移除光阻。在實施例中,電漿灰化製程可用於移除光阻,從而可升高光阻的溫度,直至光阻經歷熱分解且可經移除為止。然而,可利用任何其他合適的製程,諸如濕式剝離。光阻的移除可暴露出晶種層的底層部分。
一旦暴露,即可執行晶種層的暴露部分的移除。在實施例中,可藉由例如濕式蝕刻製程或乾式蝕刻製程來移除晶種層的暴露部分(例如,未被第二TIV 609覆蓋的那些部分)。舉例而言,在乾式蝕刻製程中,可使用第二TIV 609作為罩幕將反應物導向晶種層。在另一實施例中,蝕刻劑可經噴塗或以其他方式與晶種層接觸以移除晶種層的暴露部分。在已蝕刻掉晶種層的暴露部分之後,在第二TIV 609之間暴露出第一重佈線層607的一部分。
一旦已形成第二TIV 609,第一半導體結構500即可放置於第一重佈線層607上。在實施例中,第一半導體結構500可使用例如取放製程來放置。然而,可使用放置第一半導體結構500的任何其他方法。
圖6另外示出一旦已放置第一半導體結構500,第一半導體結構500及第二TIV 609可用第三包封體611包封。在實施例中,第一半導體結構500及第二TIV 609可使用與如上文關於圖2A至圖2B所描述的第一半導體元件101的包封類似的製程來包封。一旦經包封,第一半導體結構500及第二TIV 609以及第三包封體611可經平坦化以暴露出第一半導體結構500及第二TIV 609。
圖7示出一旦包封第一半導體結構500及第二TIV 609,即形成第二重佈線層701以將第一半導體結構500與第二TIV 609互連。在實施例中,第二重佈線層701可與第一重佈線層607的形成(上文關於圖6所描述)類似地形成。舉例而言,一系列鈍化層及導電層經沈積及平坦化以形成一或多個導電佈線層。然而,可利用任何合適的方法及材料。
在特定實施例中,可形成三個導電層。然而,三個導電層的使用意欲為說明性的而非意欲為限制性的。相反,可利用任何合適數目個導電層及鈍化層,且層的所有此數目全部意欲包含於實施例的範疇內。
圖7進一步示出第三外部連接件703的形成以與第二重佈線層701進行電接觸。在實施例中,第三外部連接件703可放置於第二重佈線層701上且可為球柵陣列封裝(ball grid array;BGA),所述球柵陣列封裝包括諸如焊料的共晶材料,但可使用任何合適的材料。視情況,可在第三外部連接件703與第二重佈線層701之間利用凸塊下金屬化物。在第三外部連接件703為焊料凸塊的實施例中,第三外部連接件703可使用諸如直接落球製程的落球方法來形成。在另一實施例中,焊料凸塊可藉由經由諸如蒸鍍、電鍍、印刷、焊料轉移的任何合適的方法最初形成錫層來形成,且接著執行回焊以使材料成形為所要凸塊形狀。一旦已形成第三外部連接件703,即可執行測試以確保結構適用於進一步處理。
圖7亦示出第二載板基底601自第一半導體結構500的剝離。在實施例中,第三外部連接件703及因此包含第一半導體 結構500的結構可附接至環結構。環結構可為意欲在剝離製程期間及之後為結構提供支撐及穩定性的金屬環。在實施例中,第三外部連接件703使用例如紫外線帶附接至環結構,但可使用任何其他合適的黏著劑或附接。一旦附接,可照射第二黏著層603,且可實體地移除第二黏著層603及第二載板基底601。
一旦已移除第二載板基底601且已暴露出聚合物層605,聚合物層605即可使用例如雷射鑽孔方法來圖案化,藉由所述雷射鑽孔方法將雷射導向聚合物層605的需要移除的那些部分以暴露出底層第一重佈線層607。在雷射鑽孔製程期間,鑽孔能量可在0.1毫焦至約60毫焦的範圍內,且相對於聚合物層605的法線的鑽孔角度為約0度(垂直於聚合物層605)至約85度。
圖7另外示出第四外部連接件707的放置。在實施例中,第四外部連接件707可為諸如微凸塊或受控塌陷晶片連接(controlled collapse chip connection;C4)凸塊的接觸凸塊,且可包括諸如錫的材料或諸如焊料膏、銀或銅的其他合適材料。在第四外部連接件707為錫焊料凸塊的實施例中,第四外部連接件707可藉由最初經由諸如蒸鍍、電鍍、印刷、焊料轉移、植球等任何合適的方法形成錫層至例如約100微米的厚度而形成。一旦錫層已形成於結構上,即執行回焊以將材料成形為所要凸塊形狀。
圖7另外示出第四外部連接件707與第一封裝710的接合。在實施例中,第一封裝710可包括第三基底709、第三半導體元件711、第四半導體元件713(接合至第三半導體元件711)、第三接觸焊墊715(用於電性連接至第四外部連接件707)以及第二包封體717。在實施例中,第三基底709可為例如封裝基底,所述 封裝基底包括用以使第三半導體元件711及第四半導體元件713連接至第四外部連接件707的內部互連件(例如基底穿孔)。
在另一實施例中,第三基底709可為用作中間基底以將第三半導體元件711及第四半導體元件713連接至第四外部連接件707的***件。在此實施例中,第三基底709可為例如經摻雜或未經摻雜的矽基底或絕緣層上矽(SOI)基底的主動層。然而,第三基底709亦可為玻璃基底、陶瓷基底、聚合物基底或可提供合適保護及/或互連功能性的任何其他基底。這些及任何其他合適材料可用於第三基底709。
第三半導體元件711可為經設計用於既定目的的半導體元件,諸如為邏輯晶粒、中央處理單元(CPU)晶粒、記憶體晶粒(例如,DRAM晶粒)、這些的組合或其類似者。在實施例中,第三半導體元件711在其中包括積體電路元件,諸如電晶體、電容器、電感器、電阻器、第一金屬化層(未示出)以及其類似者,以視需要用於特定功能性。在實施例中,第三半導體元件711經設計及製造以與第一半導體結構500結合或並行地起作用。
第四半導體元件713可與第三半導體元件711類似。舉例而言,第四半導體元件713可為經設計用於既定目的(例如,DRAM晶粒)且包括用於所需功能性的積體電路元件的半導體元件。在實施例中,第四半導體元件713經設計以與第一半導體結構500及/或第三半導體元件711結合或並行地起作用。
可將第四半導體元件713接合至第三半導體元件711。在實施例中,第四半導體元件713僅與第三半導體元件711實體地接合,諸如藉由使用黏著劑接合。在此實施例中,可使用例如線接 合件719將第四半導體元件713及第三半導體元件711電性連接至第三基底709,但可利用任何合適的電接合。
在另一實施例中,可將第四半導體元件713實體上接合至且電接合至第三半導體元件711。在此實施例中,第四半導體元件713可包括第四外部連接件(在圖7中未單獨地示出),所述第四外部連接件與第三半導體元件711上的第五外部連接件(在圖7中亦未單獨地示出)連接,以將第四半導體元件713與第三半導體元件711互連。
第三接觸焊墊715可形成於第三基底709上以形成第三半導體元件711與例如第四外部連接件707之間的電性連接。在實施例中,第三接觸焊墊715可形成於第三基底709內的電佈線(諸如基底穿孔)上方且與所述電佈線電接觸。第三接觸焊墊715可包括鋁,但亦可使用其他材料,諸如銅。第三接觸焊墊715可使用諸如濺鍍的沈積製程形成以形成材料層(未示出),且材料層的部分可接著經由合適的製程(諸如微影遮蔽及蝕刻)移除以形成第三接觸焊墊715。然而,可利用任何其他合適製程以形成第三接觸焊墊715。第三接觸焊墊715可形成為具有介於約0.5微米與約4微米之間的厚度,諸如約1.45微米。
第二包封體717可用於包封及保護第三半導體元件711、第四半導體元件713以及第三基底709。在實施例中,第二包封體717可為模製化合物,且可使用模製元件(圖7中未示出)來放置。舉例而言,第三基底709、第三半導體元件711以及第四半導體元件713可放置於模製元件的空腔內,且空腔可經氣密密封。第二包封體717可在空腔經氣密密封之前放置於空腔內,或可經 由注入埠注入至空腔中。在實施例中,第二包封體717可為模製化合物樹脂,諸如聚醯亞胺、PPS、PEEK、PES、耐熱晶體樹脂、這些的組合或其類似者。
一旦第二包封體717已放置於空腔中以使得第二包封體717包封第三基底709、第三半導體元件711以及第四半導體元件713周圍的區域,第二包封體717即可固化以使第二包封體717硬化以用於最佳保護。雖然準確的固化製程至少部分地取決於為第二包封體717選擇的特定材料,但在選擇模製化合物作為第二包封體717的實施例中,固化可經由諸如將第二包封體717加熱至約100℃與約130℃之間(諸如約125℃)持續約60秒至約3000秒(諸如約600秒)的製程而發生。另外,起始劑及/或催化劑可包含於第二包封體717內以更好地控制固化製程。
然而,如在所屬領域中具通常知識者將認識到,上文所描述的固化製程僅為例示性製程,且不意欲限制當前實施例。可使用其他固化製程,諸如照射或甚至允許第二包封體717在環境溫度下硬化。可使用任何合適的固化製程,且所有此類製程全部意欲包含於本文中所論述的實施例的範疇內。
一旦已形成第四外部連接件707,第四外部連接件707即與第三接觸焊墊715對準且經放置為與其實體接觸,且執行接合。舉例而言,在第四外部連接件707為焊料凸塊的實施例中,接合製程可包括回焊製程,從而使第四外部連接件707的溫度升高至第四外部連接件707將液化且流動的點,藉此一旦第四外部連接件707重新凝固,即將第一封裝710接合至第四外部連接件707。
圖7亦示出底填充材料721在第一封裝710與第二重佈 線層705之間的放置。在實施例中,底填充材料721為用於緩衝且支撐第一封裝710以免於操作及環境劣化(諸如由在操作期間的熱量的產生所導致的應力)的保護材料。底填充材料721可注入或以其他方式形成於第一封裝710與第二重佈線層705之間的空間中,且可例如包括施配在第一封裝710與第二重佈線層705之間且接著經固化以硬化的液體環氧樹脂。
圖7另外示出單體化。在實施例中,單體化可藉由使用鋸刀(未單獨地示出)割穿底填充材料721及第三包封體611來執行。然而,如所屬領域中具通常知識者將認識到,利用鋸刀以用於單體化僅為一個說明性實施例且不意欲為限制性的。可利用用於執行單體化的任何方法,諸如利用一或多個蝕刻。可利用這些方法及任何其他合適的方法將結構單體化。
藉由利用如本文中所論述的實施例,可製造高效能且低成本的結構。詳言之,藉由使用例如混合接合將系統晶片元件與寬I/O DRAM元件整合,縮短元件之間的路徑,從而實現較高效能。另外,藉由利用積體扇出型技術,結構亦可併入有其他封裝,從而允許元件基於所要效能更好地路由傳送不同元件之間的所要功能性(例如,記憶體分佈)。
圖8示出第二半導體元件303以背對面配置附接至第一半導體元件101的另一實施例。在此實施例中,代替將第二晶圓接合層311及第二導電晶圓接合材料313接合至第一半導體元件101(如上文關於圖4所描述),將TSV 309及鈍化層315接合至第一半導體元件101。在實施例中,TSV 309及鈍化層315可使用例如混合接合製程接合至第一半導體元件101,如上文關於圖4所 描述。舉例而言,可啟動鈍化層315及TSV 309的表面,鈍化層315及TSV 309放置成與第一半導體元件101實體接觸,且實施加熱製程。然而,可利用任何合適的接合製程。
另外,一旦第二半導體元件303已經以背對面配置接合,製程步驟的剩餘部分即可如上文關於圖4至圖7所描述地執行。詳言之,放置第二包封體401(參見例如圖4),形成第一外部連接件413(參見例如圖4),第一半導體結構500可經放置且用第二TIV 609包封(參見例如圖6),且可接合第一封裝710(參見例如圖7)。然而,可利用任何合適的步驟。
藉由利用背對面配置或面對面及背對面配置的任何合適組合,用於製造的製程窗可保持打開。詳言之,使組合的數目儘可能寬允許設計者以針對產率、成本以及時間最佳的方法重新設計製造製程。
根據實施例,一種半導體元件包含:第一系統晶片元件,接合至第一記憶體元件;第二系統晶片元件,接合至第一記憶體元件;第一包封體,包圍第一系統晶片元件及第二系統晶片元件;第二包封體,包圍第一系統晶片元件、第二系統晶片元件以及第一記憶體元件;以及穿孔,自第二包封體的第一側延伸至第一包封體的第二側,穿孔位於第一包封體外部。在實施例中,半導體元件更包含與第一系統晶片元件及穿孔兩者實體接觸的第一重佈線層。在實施例中,半導體元件更包含與穿孔實體接觸的第二重佈線層,第二重佈線層在第一記憶體元件與第一重佈線層相對的側上。在實施例中,使用混合接合將第一系統晶片元件接合至第一記憶體元件。在實施例中,第一系統晶片元件以面對面配置接合至第一記憶 體元件。在實施例中,使用背對面配置將第一系統晶片元件接合至第一記憶體元件。在實施例中,第一包封體為模製化合物。
根據另一實施例,一種半導體元件包含:第一封裝,電性連接至穿孔;第一重佈線層,電性連接至穿孔;第一系統晶片元件,電性連接至第一重佈線層;第二系統晶片元件,電性連接至第一重佈線層;記憶體元件,接合至第一系統晶片元件及第二系統晶片元件;第一包封體,包圍第一系統晶片元件及第二系統晶片元件;以及第二包封體,包圍穿孔、第一系統晶片元件、第二系統晶片元件、記憶體元件以及第一包封體。在實施例中,記憶體元件為寬I/O記憶體元件。在實施例中,第一系統晶片元件為邏輯元件。在實施例中,半導體元件更包含延伸穿過第一包封體且與記憶體元件實體接觸的第二***件穿孔。在實施例中,記憶體元件以面對面配置接合至第一系統晶片元件。在實施例中,使用背對面配置將記憶體元件接合至第一系統晶片元件。在實施例中,使用混合接合將記憶體元件接合至第一系統晶片元件。
在又一實施例中,一種製造半導體元件的方法,所述方法包含:提供記憶體元件;將第一系統晶片元件接合至記憶體元件;將第二系統晶片元件接合至記憶體元件;用第一包封體包封第一系統晶片元件及第二系統晶片元件;在包封之後,將第一系統晶片元件及第二系統晶片元件接合至重佈線層,重佈線層電性連接至穿孔;以及用第二包封體包封穿孔、第一系統晶片元件以及第二系統晶片元件。在實施例中,提供記憶體元件包括自製造商接收記憶體元件。在實施例中,提供記憶體元件包括形成經重建構晶圓。在實施例中,接合第一系統晶片元件包括將第一系統晶片元件混合 接合至記憶體元件。在實施例中,混合接合包含:啟動第一系統晶片元件的表面;以及使第一系統晶片元件的表面與記憶體元件實體接觸。在實施例中,第一包封體為介電材料。
前文概述若干實施例的特徵,以使得所屬領域中具通常知識者可較好地理解本揭露的各態樣。所屬領域中具通常知識者應瞭解,其可易於使用本揭露作為設計或修改用於實施本文中所引入的實施例的相同目的且/或實現相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100:半導體晶圓
101:第一半導體元件
A-A':線

Claims (10)

  1. 一種半導體元件,包括:第一系統晶片元件,接合至第一記憶體元件;第二系統晶片元件,接合至所述第一記憶體元件;第一包封體,包圍所述第一系統晶片元件及所述第二系統晶片元件;第二包封體,包圍所述第一系統晶片元件、所述第二系統晶片元件以及所述第一記憶體元件;以及穿孔,自所述第二包封體的第一側延伸至所述第一包封體的第二側,所述穿孔位於所述第一包封體外部,其中所述第一包封體接觸所述第二包封體。
  2. 如請求項1之半導體元件,更包括與所述第一系統晶片元件及所述穿孔兩者實體接觸的第一重佈線層。
  3. 如請求項2之半導體元件,更包括與所述穿孔實體接觸的第二重佈線層,所述第二重佈線層在所述第一記憶體元件與所述第一重佈線層相對的側上。
  4. 如請求項1之半導體元件,其中使用混合接合將所述第一系統晶片元件接合至所述第一記憶體元件。
  5. 一種半導體元件,包括:第一封裝,電性連接至穿孔;第一重佈線層,電性連接至所述穿孔;第一系統晶片元件,電性連接至所述第一重佈線層;第二系統晶片元件,電性連接至所述第一重佈線層;記憶體元件,接合至所述第一系統晶片元件及所述第二系統 晶片元件;第一包封體,包圍所述第一系統晶片元件及所述第二系統晶片元件;以及第二包封體,包圍所述穿孔、所述第一系統晶片元件、所述第二系統晶片元件、所述記憶體元件以及所述第一包封體。
  6. 如請求項5之半導體元件,更包括延伸穿過所述第一包封體且與所述記憶體元件實體接觸的第二***件穿孔。
  7. 如請求項5之半導體元件,其中用混合接合將所述記憶體元件接合至所述第一系統晶片元件。
  8. 一種製造半導體元件的方法,所述方法包括:提供記憶體元件;將第一系統晶片元件接合至所述記憶體元件;將第二系統晶片元件接合至所述記憶體元件;用第一包封體包封所述第一系統晶片元件及所述第二系統晶片元件;在所述包封之後,將所述第一系統晶片元件及所述第二系統晶片元件接合至重佈線層,所述重佈線層電性連接至穿孔;以及用第二包封體包封所述穿孔、所述第一系統晶片元件以及所述第二系統晶片元件。
  9. 如請求項8之製造半導體元件的方法,其中接合所述第一系統晶片元件包括將所述第一系統晶片元件混合接合至所述記憶體元件。
  10. 如請求項9之製造半導體元件的方法,其中所述混合接合包括: 啟動所述第一系統晶片元件的表面;以及使所述第一系統晶片元件的所述表面與所述記憶體元件實體接觸。
TW109131364A 2019-09-20 2020-09-11 半導體元件及其製造方法 TWI752627B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962903439P 2019-09-20 2019-09-20
US62/903,439 2019-09-20
US16/806,470 2020-03-02
US16/806,470 US11856800B2 (en) 2019-09-20 2020-03-02 Semiconductor devices with system on chip devices

Publications (2)

Publication Number Publication Date
TW202114067A TW202114067A (zh) 2021-04-01
TWI752627B true TWI752627B (zh) 2022-01-11

Family

ID=74846045

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109131364A TWI752627B (zh) 2019-09-20 2020-09-11 半導體元件及其製造方法

Country Status (3)

Country Link
US (1) US20220367466A1 (zh)
DE (1) DE102020106799A1 (zh)
TW (1) TWI752627B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220352123A1 (en) * 2021-05-03 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170084589A1 (en) * 2015-09-23 2017-03-23 Mediatek Inc. Semiconductor package structure and method for forming the same
US20180061741A1 (en) * 2016-08-25 2018-03-01 Imec Vzw Semiconductor die package and method of producing the package

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8217473B2 (en) * 2005-07-29 2012-07-10 Hewlett-Packard Development Company, L.P. Micro electro-mechanical system packaging and interconnect
US8273604B2 (en) * 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
KR101831692B1 (ko) * 2011-08-17 2018-02-26 삼성전자주식회사 기능적으로 비대칭인 전도성 구성 요소들을 갖는 반도체 소자, 패키지 기판, 반도체 패키지, 패키지 적층 구조물 및 전자 시스템
US9368438B2 (en) * 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US9373527B2 (en) * 2013-10-30 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on package structure and method
US9653442B2 (en) * 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9601471B2 (en) * 2015-04-23 2017-03-21 Apple Inc. Three layer stack structure
US9559081B1 (en) * 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US11251157B2 (en) * 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10756058B2 (en) * 2018-08-29 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170084589A1 (en) * 2015-09-23 2017-03-23 Mediatek Inc. Semiconductor package structure and method for forming the same
US20180061741A1 (en) * 2016-08-25 2018-03-01 Imec Vzw Semiconductor die package and method of producing the package

Also Published As

Publication number Publication date
US20220367466A1 (en) 2022-11-17
DE102020106799A1 (de) 2021-03-25
TW202114067A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
CN110137151B (zh) 半导体器件和制造方法
KR102256262B1 (ko) 집적 회로 패키지 및 방법
US10090284B2 (en) Semiconductor device and method of manufacture
TWI769504B (zh) 具有接合結構的裝置及封裝及形成接合結構的方法
TWI783269B (zh) 封裝、半導體封裝及其形成方法
KR20200002557A (ko) 반도체 디바이스 패키지 및 방법
TWI773216B (zh) 半導體元件及製造方法
CN111834314B (zh) 封装结构及其制造方法
TWI777437B (zh) 半導體封裝體及其製造方法
US20220367466A1 (en) Semiconductor Devices with System on Chip Devices
CN112582389A (zh) 半导体封装件、封装件及其形成方法
KR102450735B1 (ko) 반도체 디바이스 및 제조 방법
TWI775443B (zh) 半導體封裝及其形成方法
TW202238890A (zh) 半導體封裝及其製造方法
CN220873580U (zh) 封装件
TWI842343B (zh) 裝置封裝、半導體封裝及封裝方法
US20230420330A1 (en) Semiconductor Packages and Methods of Forming the Same
US20220352123A1 (en) Semiconductor devices and methods of manufacture
TW202345307A (zh) 裝置封裝、半導體封裝及封裝方法
TW202414546A (zh) 封裝件及製造半導體裝置的方法