TWI742548B - 半導體裝置以及在半導體裝置中製作貼片天線的方法 - Google Patents

半導體裝置以及在半導體裝置中製作貼片天線的方法 Download PDF

Info

Publication number
TWI742548B
TWI742548B TW109106669A TW109106669A TWI742548B TW I742548 B TWI742548 B TW I742548B TW 109106669 A TW109106669 A TW 109106669A TW 109106669 A TW109106669 A TW 109106669A TW I742548 B TWI742548 B TW I742548B
Authority
TW
Taiwan
Prior art keywords
dielectric
pad
antenna
ground plane
conductive
Prior art date
Application number
TW109106669A
Other languages
English (en)
Other versions
TW202036792A (zh
Inventor
郭豐維
廖文翔
陳清暉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/738,420 external-priority patent/US11502402B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036792A publication Critical patent/TW202036792A/zh
Application granted granted Critical
Publication of TWI742548B publication Critical patent/TWI742548B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/0087Apparatus or processes specially adapted for manufacturing antenna arrays
    • H01Q21/0093Monolithic arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/40Radiating elements coated with or embedded in protective material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • H01Q21/065Patch antenna array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/12Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems
    • H01Q3/16Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems for varying relative position of primary active element and a reflecting device
    • H01Q3/18Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems for varying relative position of primary active element and a reflecting device wherein the primary active element is movable and the reflecting device is fixed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置包含:接地平面,電性連接至至少一個導電柱的近端;及天線墊,實質上平行於接地平面,其中藉由具有第一介電常數的介電墊將天線墊與至少一個導電柱的遠端分離,其中接地平面、至少一個導電柱以及介電墊包圍填充有介電質填充材料的天線空腔,介電質填充材料具有與第一介電常數不同的第二介電常數。

Description

半導體裝置以及在半導體裝置中製作貼片天線的方法
本揭露是有關於一種半導體裝置以及在半導體裝置中製作貼片天線的方法。
天線用於射頻(radio frequency;RF)系統中以接收及傳輸資料,包含用於諸如蜂巢式電話的移動裝置的資料。天線通常經設計成獨立於射頻積體電路(radio frequency integrated circuit;RFIC)晶粒以用於至多60吉赫(GHz)的頻率,且在封裝操作中組合成單個裝置。單獨製造繼之以封裝允許改良對於許多RF系統的天線效能。使用RFIC晶粒使用呈積體扇出型(integrated-fan out;InFO)封裝的重佈線結構(redistribution structure;RDS)來整合天線。研發InFO封裝以滿足更高頻率RF收發器設計規格。
一種半導體裝置,其包含:接地平面;第一導電柱,其中第一導電柱電性連接至接地平面;天線墊,實質上平行於接地 平面;介電墊,具有第一介電常數,其中天線墊與藉由介電墊與至少一個導電柱的遠端分離;以及介電質填充材料,填充天線空腔,其中介電質填充材料具有小於第一介電常數的第二介電常數,且接地平面、第一導電柱以及介電墊包圍天線空腔。在一些實施例中,第二介電常數為6法拉/公尺(F/m)或小於6法拉/公尺。
一種在半導體裝置中製作貼片天線的方法,包含以下操作:在基板上方形成接地平面;形成與接地平面接觸的第一導電柱;將晶粒附接至基板;藉由介電質填充材料將晶粒與第一導電柱電隔離;在與接地平面相對的第一導電柱的一末端處形成介電常數為至少7法拉/公尺(F/m)的高κ介電材料的介電墊;在介電墊上方形成天線墊;以及將天線墊電性連接至晶粒。
一種半導體裝置,其包含:第一導電材料墊,在基板上方,其中第一墊電性連接至地面;絕緣填充材料,在第一墊上方,絕緣填充材料具有小於7法拉/公尺(F/m)的第一介電常數;第一導電柱,電性連接至第一導電材料墊,其中第一導電柱延伸穿過絕緣填充材料;控制器晶粒,連接至基板,其中控制器晶粒延伸穿過絕緣填充材料層;介電材料墊,在絕緣填充材料的頂面及第一導電柱上方,介電材料墊具有大於7法拉/公尺的第二介電常數;以及第二導電材料墊,在介電材料墊上方,其中第二導電材料墊電性連接至控制器晶粒。
100:半導體裝置
102:絕緣材料
104A、104B、308:接地平面
106A、106B、106C、106D:天線墊
108A、108B、108C、108D:介電墊
110:控制器晶粒
112:接觸件
114A、114B、114C、114D、328B、328C、328D、328E:導電線
115A、115B、115C、115D、315:天線空腔
120A、120B:接地連接
122A、122B、122C、122D、317A、3117B、317C:導電柱
188:總長度
189:總寬度
191A、191B、191C、191D:天線墊長度
192A、192B、192C、192D:天線墊寬度
193A、193B、193C、193D:介電墊長度
194A、194B、194C、194D:介電墊長度
195:第一天線墊間隔
196:第二天線墊間隔
198:第一方向
199:第二方向
200:方法
202、204、206、208、210、212、214、216:操作
300A、300B、300C、300D、300E、300F、300G、300H、300I:貼片天線
302:剛性基板
304:釋放層
306:絕緣層
310:第二絕緣材料
311:圖案化材料
312:介電質填充材料
313:開口
314:晶種層
314A、314B、314C:晶種層部分
316:導電柱材料
316A、316B、316C:填充部分
319A、319B:頂面
320:半導體裝置
321:晶粒
322:介電層
324:第二介電層
327A、327B:介面
328A:天線墊
329A、329B、329C、329D、329E、329F:導通孔
330A:導電墊
332A:凸塊下層
334A:焊料球
334B:焊料凸塊
336:高k介電材料
338:RF訊號
350、352、354:堆疊
1200:半導體裝置
1201:基板
1202:電路巨集
1204A:導線佈線佈置
1204B:第二導線佈線佈置
1300:電子設計自動化系統
1302:硬體處理器
1304:非暫時性電腦可讀儲存媒體
1306:電腦程式碼/指令
1307:庫
1308:匯流排
1310:I/O介面
1312:網路介面
1314:網路
1352:使用者介面
1400:積體電路製造系統
1420:設計室
1422:設計佈局圖
1430:罩幕室
1432:資料準備
1444:罩幕製造
1445:罩幕
1450:IC製造者/製造器
1452:晶圓製造
1453:半導體晶圓
1460:IC裝置
圖1為根據一些實施例的半導體裝置中的貼片天線的俯視圖。
圖2為根據一些實施例的在半導體裝置中製作貼片天線的方法的流程圖。
圖3為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖4為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖5為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖6為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖7為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖8為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖9為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖10為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖11為根據一些實施例的貼片天線在製造製程期間的橫截面視圖。
圖12為根據一些實施例的半導體裝置的方塊圖。
圖13為根據一些實施例的電子設計自動化(electronic design automation;EDA)系統的方塊圖。
圖14為根據一些實施例的積體電路(integrated circuit;IC)製造系統及與其相關聯的IC製造流程的方塊圖。
以下揭示內容提供用於實施所提供的主題的不同特徵的許多不同實施例或實例。下文描述組件、值、操作、材料、佈置等的特定實例以簡化本揭露。當然,這些僅為實例且並不意欲為限制性的。涵蓋其他組件、值、操作、材料、佈置等。舉例而言,在以下描述中,第一特徵在第二特徵上方或上的形成可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可形成於第一特徵與第二特徵之間使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露實施例可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述各種實施例及/或配置之間的關係。
另外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」以及其類似者的空間相對術語,以描述如諸圖中所說明的一個元件或特徵相對於另一元件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作時的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞同樣可相應地進行解釋。
用於使用積體扇出型(InFO)封裝結構的天線/射頻積體電路(RFIC)晶粒整合的貼片天線受到關注,這是因為貼片天線 易於使用諸如印刷電路板蝕刻及半導體處理步驟的微影圖案化技術來製造。貼片天線包含接地平面及藉由介電基板與接地平面空間分離的天線墊(天線貼片)。天線空腔為在天線墊與接地平面之間的區域。天線空腔為允許電磁波輻射天線墊或來自天線墊的諧振腔。
用於天線或RFIC晶粒InFO封裝結構的貼片天線能夠使用微影製造製程及積體電路製造製程來製造。圖案化技術包含沈積圖案化材料(例如,光阻等等),將圖案轉印至圖案化材料(例如,微影(photolithography)、電子束微影或用於IC製造的其他圖案轉印技術);以及在圖案轉印後蝕刻圖案化材料的開口內未被覆蓋的經暴露材料。蝕刻經暴露材料包含電漿蝕刻及浸入式蝕刻(例如,浸漬槽或噴射蝕刻劑技術)。
貼片天線包含導電材料的接地平面及藉由至少一種介電材料與接地平面空間分離的用於天線的天線墊。用於天線區域的接地平面及貼片包括實質上平行的導電材料板。調整用於天線區域的接地平面及貼片的橫向尺寸以調諧天線的射頻(RF)特徵。調整天線的橫向尺寸亦調整天線的阻抗及操作頻率。
InFO封裝或InFO裝置具有電性連接至RF控制器晶粒(晶粒)的一個或多個天線墊以發送、接收以及解譯來自其他裝置的RF訊號。每一貼片天線包含電性連接至至少一個導電柱的接地平面、天線墊,且具有位於接地平面與天線墊之間的天線空腔。在一些實施例中,電性連接至接地平面的導電柱在天線墊的外圍至接地平面上的投影內。天線空腔填充有低κ介電材料(例如,κ>約1F/m至κ<約6F/m)。製造製程之後,介電常數小於約1F/m 的低κ介電材料易碎難以處理且在模具切割或裝置分離期間易於斷裂。介電常數高於6F/m的低κ介電材料並不提供天線墊及接地平面或天線墊及InFO封裝的晶粒的充足去耦合。高κ介電材料(例如,κ>約7F/m)位於天線空腔與貼片天線的貼片區域之間。天線空腔改良InFO封裝中的天線墊/貼片天線的反射係數、S11參數。低κ介電材料在裝置內的RF晶粒中且圍繞所述RF晶粒。高κ介電材料(高κ介電墊或介電墊)在天線空腔與天線墊之間,且提高RF及輻射效率。在天線墊與天線空腔之間包含高κ介電材料有助於促進減小天線墊及/或接地平面的橫向尺寸。低κ介電材料為導電柱、接地平面以及RF晶粒之間的絕緣體。在一些實施例中,不同低κ介電材料用於InFO封裝的不同層。InFO封裝的一些層包含絕緣體,諸如聚醯亞胺、PBO、MC、二氧化矽、旋塗式玻璃(spin on glass;SOG)、陶瓷、氧化鋁(Al2O3)以及類似材料。
圖1為根據一些實施例的半導體裝置100中的貼片天線的俯視圖。絕緣材料102(第一絕緣材料)定位於基板(未示出)上。在一些實施例中,絕緣材料為用於包封導電材料並提供免受濕度源或電壓源的保護的聚醯亞胺層。接地平面104A及接地平面104B位於絕緣材料102上方。接地平面104A及接地平面104B為已沈積於絕緣材料上方的導電材料(例如,銅、鈦、鋁或其合金)層。藉由接地連接120A及接地連接120B將接地平面104A及接地平面104B電性連接至半導體裝置或印刷電路板接地連接。在一些實施例中,接地連接120A及接地連接120B包含自半導體裝置的接地平面向上延伸至半導體裝置或印刷電路板的接地連接的通 孔或導電線。
導電柱122A至導電柱122D的集合電性連接至半導體裝置的接地平面。在製造製程期間,藉由例如沈積晶種層並將導電材料電鍍至沈積於接地平面上方的犧牲圖案化材料中的開口中來形成導電柱。在一些實施例中,在導電柱製造操作之前,絕緣層沈積於接地平面上方,且在製造導電柱之前,經由犧牲圖案化材料中的開口來部分地移除絕緣材料。導電柱122A、導電柱122B、導電柱122C以及導電柱122D的集合中的每一者含有四個柱。在一些實施例中,導電柱集合中的導電柱的數目介於1個柱至10個柱的範圍內,但其他數目的導電柱亦在本揭露的範疇內。導電柱集合與半導體裝置的每一天線墊及/或介電墊相關聯。用於每一天線墊的導電柱的數目是基於以下判定:導電墊及/或介電墊的面積、天線的頻率以及半導體裝置的接地平面與天線墊及/或介電墊之間的模製化合物(介電填充物材料)的厚度。
天線墊106A及天線墊106C定位在接地平面104A上方。天線墊106B及天線墊106D定位在接地平面104B上方。在一些實施例中,每一接地平面與單個天線墊相關聯。在一些實施例中,接地平面與半導體裝置中的至少三個天線墊相關聯。在一些實施例中,接地平面具有等於半導體裝置的天線墊及/或介電墊的橫向尺寸的一個橫向尺寸。
在半導體裝置100中,每一天線墊(例如,天線墊106A至天線墊108D)具有在天線墊與最近接地平面之間的相關中間介電墊,且具有選自導電柱122A至導電柱122D的集合的導電柱的相關集合。因此,介電墊108A定位於天線墊106A與接地平面104A 之間,且導電柱122A的集合定位於介電墊108A下方且電性連接至接地平面104A。介電墊108B定位於天線墊106B與接地平面104B之間,且導電柱122B的集合定位於介電墊108B下方且電性連接至接地平面104B。因此,介電墊108C定位於天線墊106C與接地平面104A之間,且導電柱122C的集合定位於介電墊108C下方且電性連接至接地平面104A。介電墊108D定位於天線墊106D與接地平面104B之間,且導電柱122D的集合定位於介電墊108D下方且電性連接至接地平面104B。在每一天線墊及每一介電墊之下,在投影至天線墊及介電墊下方的接地平面上時,四個導電墊定位於半導體裝置的介電墊的外圍(向下觀看)及相關天線墊的外圍兩者內的接地平面上。在一些實施例中,其中介電墊的外圍及天線墊的外圍為具有不同尺寸的不同外圍,導電柱在介電墊及天線墊中的僅一者的經投影外圍內。在一些實施例中,導電柱的數目介於1至10的範圍內,但其他數目的導電柱亦在本揭露的範疇內。在半導體裝置100中,頂面(未示出)(例如,導電柱122的遠端)與介電墊的底面(未示出)直接接觸,所述介電墊與n天線墊相關聯。在一些實施例中,絕緣層將導電柱的頂面與介電墊的底面分離。
天線空腔為在介電墊及天線墊(一側)與接地平面(另一側)之間的容積。在一些實施例中,導電柱經定位朝向介電墊的經投影外圍的邊緣或拐角及/或天線墊的經投影外圍的邊緣或拐角,且天線空腔進一步在導電柱之間。在一些實施例中,一個或多個導電柱位於朝向介電墊及天線墊與接地平面之間的容積的中心,且天線空腔包圍導電柱。因此,在半導體裝置100中,天線 空腔115A位於介電墊108A與接地平面104A之間,且大致在導電柱122A之間。介電墊108A在天線空腔115A與天線墊106A之間。天線空腔115B位於介電墊108B與接地平面104B之間,且大致在導電柱122B之間。介電墊108B在天線空腔115B與天線墊106B之間。天線空腔115C位於介電墊108C與接地平面104A之間,且大致在導電柱122C之間。介電墊108C在天線空腔115C與天線墊106C之間。天線空腔115D位於介電墊108D與接地平面104B之間,且大致在導電柱122D之間。介電墊108D在天線空腔115D與天線墊106D之間。
介電墊在第一方向198上具有第一尺寸(例如,介電墊長度)且在第二方向199上具有第二尺寸(例如,介電墊寬度)。天線墊106A在第一方向198上具有天線墊長度191A且在第二方向199上具有天線墊寬度192A。天線墊106B在第一方向198上具有天線墊長度191B且在第二方向199上具有天線墊寬度192B。天線墊106C在第一方向198上具有天線墊長度191C且在第二方向199上具有天線墊寬度192C。天線墊106D在第一方向198上具有天線墊長度191D且在第二方向199上具有天線墊寬度192D。介電墊108A在第一方向198上具有介電墊長度193A且在第二方向199上具有介電墊寬度194A。介電墊108B在第一方向198上具有介電墊長度193B且在第二方向199上具有介電墊寬度194B。介電墊108C在第一方向198上具有介電墊長度193C且在第二方向199上具有介電墊寬度194C。介電墊108D在第一方向198上具有介電墊長度193D且在第二方向199上具有介電墊寬度194D。根據一些實施例,介電墊長度與天線墊長度相同。根據一 些實施例,介電墊長度大於天線墊長度。根據一些實施例,介電墊長度小於天線墊長度。根據一些實施例,介電墊寬度與天線墊寬度相同。根據一些實施例,介電墊寬度大於天線墊寬度。根據一些實施例,介電墊寬度小於天線墊寬度。在製造製程之前選擇天線墊及介電墊的尺寸,以設定半導體裝置/天線的阻抗及半導體裝置/天線的頻率。
在半導體裝置100中,第一天線墊間隔195將天線墊106B與天線墊106D分離,且第二天線墊間隔196將天線墊106C與天線墊106D分離。在一些實施例中,第一天線墊間隔與第二天線墊間隔為相同距離。在一些實施例中,第一天線墊間隔及第二天線墊間隔中的一或兩者為等於天線經設計以接收的RF波長的二分之一波長的距離。在一些實施例中,第一天線墊間隔與第二天線墊間隔為不同距離。
根據一些實施例,半導體裝置(例如,貼片天線陣列或內插件)在第一方向198上具有約5公釐(mm)的總長度188,且在第二方向199上具有約5公釐的總寬度189。在一些實施例中,根據沈積在天線墊與天線空腔之間的高κ介電墊(參見下文)的介電常數及天線墊/貼片天線的波長或阻抗,半導體裝置(貼片天線陣列或內插件)的總長度及/或總寬度介於約2公釐至約10公釐的範圍內。在一些實施例中,天線墊的尺寸(天線墊長度及/或天線墊寬度)介於0.4公釐至約4.5公釐的範圍內。小於約0.4公釐的天線墊的尺寸是與產生高於150吉赫的頻率的天線相關聯,所述天線基於可用於如本文中所揭示的積體天線裝置的功率而具有受限的透射距離。大於約4.5公釐的天線墊的尺寸在電路板上佔 據相當大的空間,從而影響裝置佈局且使得放置其他晶片及佈線更加困難。
藉由導電線(例如,重佈線)將天線墊電性連接至控制器晶粒110。因此,藉由導電線114A將天線墊106A電性連接至控制器晶粒110,藉由導電線114B將天線墊106B電性連接至控制器晶粒110,藉由導電線114C將天線墊106C電性連接至控制器晶粒110,以及藉由導電線114D將天線墊106D電性連接至控制器晶粒110。控制器晶粒110的頂面上的接觸件112電性連接至導電線114A至導電線114D,以完成天線墊106A至天線墊106D與控制器晶粒110之間的電路。在一些實施例中,導電線與天線墊在半導體裝置的同一層中且以與天線墊相同的製造操作製造。在一些實施例中,導電線與天線墊在半導體裝置的不同層中且以與天線墊不同的製造操作製造。
圖2為根據一些實施例的在半導體裝置中製作貼片天線的方法200的流程圖。方法200包含操作202,其中在基板上方製造接地平面。操作202包含與構建印刷電路板或包封半導體裝置用於封裝或與其他電路板或包封半導體裝置組合相關聯的步驟。因此,在操作202的一個步驟中,在製作半導體裝置之前,將釋放層施加至剛性基板。釋放層包含膜或材料,諸如光轉移熱轉化(light transfer heat conversion;LTHC)層,所述光轉移熱轉化層是藉由例如旋塗呈液體狀施加且固化至乾燥。釋放層為材料層,所述材料層在製造製程期間以剛性方式容納沈積於釋放層的頂部上的材料且可與其上已沈積釋放層的基板分離,而不對沈積於釋放層上方的材料產生傷害。在一個非限制性實施例中,在製造製 程期間,LTHC層沈積至光學透明(例如,玻璃或石英)基板上。在固化後,LTHC層為黏性的且容納在製造製程期間沈積的材料。藉由使LTHC層暴露至具有使得LTHC在與光學透明基板分離之前軟化或崩潰的波長的光來從光學透明基板中釋放LTHC層。
在一些實施例中,絕緣層沈積於釋放層上方。在半導體裝置經製造且與剛性基板分離後,絕緣層可防止物理、化學或電氣暴露。絕緣層的非限制性實例是用於在製造製程後封裝及鈍化積體電路的頂面的聚醯亞胺材料。在一些實施例中,藉由旋塗施加聚醯亞胺材料。藉由剛性基板在旋塗期間的旋轉速度且藉由施加至剛性基板的聚醯亞胺材料的類型來判定聚醯亞胺絕緣層的厚度。
操作202的一些實施例包含與沈積晶種層以銅電鍍作為製造接地平面的部分相關聯的步驟。在一些實施例中,使用原子層沈積(atomic layer deposition;ALD)、電漿增強ALD(plasma enhance ALD;PE-ALD)、化學氣相沈積(chemical vapor deposition;CVD)、電漿增強CVD(plasma enhanced CVD;PECVD)、低壓CVD(low-pressure CVD;LPCVD)、濺鍍或其他沈積技術執行晶種層沈積來將晶種層材料沈積在剛性基板上方。在一些實施例中,剛性基板為被配置以適配於用於積體電路製造的製造設備且被配置以經歷類似於積體電路製造步驟的處理步驟的圓形圓盤。因此,在一些實施例中,剛性基板為被配置以適配於諸如電漿增強CVD沈積工具的積體電路製造工具以在所述基板上的釋放層上方接收晶種層的圓形玻璃或石英圓盤。在一些實施例中,晶種層包括沈積於絕緣層上方的銅、鈦、鋁或其合金。在 一些實施例中,晶種層具有介於約1微米(micrometer)(微米(micron)或μm)至約5微米的範圍內的厚度。薄於約1微米的晶種層易於具有表面的較薄或多斑點的覆蓋,引起電鍍後的接地平面材料的不均勻覆蓋。具有在約1微米與約5微米之間的厚度的晶種層在產生具有良好覆蓋的電鍍膜方面為有效的。厚於約5微米的晶種層易於在晶種層沈積製程期間浪費時間,其可能更佳用於電鍍。相較於藉由電鍍沈積接地平面材料的速率,晶種層沈積速率足夠低,厚晶種層在製造製程中浪費時間。
操作202包含與將接地平面材料沈積在絕緣層上方相關聯的步驟。在操作202的一些實施例中,沈積接地平面材料包含將接地平面材料電鍍至晶種層上。在一些實施例中,接地平面材料為銅。舉例而言,銅電鍍能夠根據電鍍製程的持續時間以廣泛範圍的厚度在晶種層上產生銅膜。在一些實施例中,將銅電鍍至晶種層上產生具有介於5微米至10微米的範圍內的厚度的銅層。在一些實施例中,接地平面材料為具有約7微米厚度的銅層。具有約7微米厚度的接地平面材料符合廣泛範圍的電路板製造設備,而不需特定修改設備或製程來製造貼片天線。
在操作202中,在將接地平面材料沈積在絕緣層上方之後,接地平面材料形成為圖案化接地平面。在一些實施例中,將圖案化材料(例如,光阻)層沈積至接地平面材料上且將圖案轉印至圖案化材料層。在將圖案轉印至圖案化材料層時,移除在待從絕緣層移除的接地平面材料的部分上的圖案化材料的部分,且藉由圖案化材料的剩餘部分遮蔽接地平面材料的部分。在一些實施例中,藉由微影、電子束微影或與塗覆於接地平面材料上方的 圖案化材料相容的一些其他圖案化技術來將圖案化材料圖案化。
操作202亦包含與蝕刻藉由移除圖案化材料的部分暴露的接地平面材料相關聯的步驟。在一些實施例中,接地平面材料為銅或銅合金。在一些實施例中,藉由乙酸及過氧化氫的溶液自絕緣層上方蝕刻銅及/或銅合金。在一些實施例中,藉由離子氧化劑、pH調節劑以及錯合劑的混合物自絕緣層上方蝕刻銅及/或銅合金。氧化劑包含強酸,諸如硝酸、硫酸及/或磷酸。pH調節劑包含緩衝化合物以將溶液的pH保持在有效溶解接地平面材料的範圍內。錯合劑包含分子,諸如乙二胺四乙酸(ethylenediaminetetraacetic acid;EDTA),其防止由接地平面材料溶解的原子再沈積於暴露表面上,及/或促使進一步溶解接地平面材料,這是因為接地平面材料的游離離子/原子的濃度保持較低(相較於接地平面材料的錯合離子/原子的濃度)。
方法200包含操作204,其中抵靠接地平面的頂面製造導通孔。根據一些實施例,圖案化接地平面材料(例如,接地平面)覆蓋有第二絕緣材料,防止腐蝕且保護接地平面免受電氣及/或物理傷害。在一些實施例中,第二絕緣材料為樹脂或有機材料。在一些實施例中,第二絕緣材料為類似於沈積於剛性基板上方的絕緣材料102(第一絕緣材料)的聚醯亞胺材料。
操作204包含其中將第二圖案化材料沈積於第二絕緣層上方的步驟。在一些實施例中,第二圖案化材料為光阻層。在操作204中,第二圖案化材料經由例如微影或電子束微影來接收圖案,但圖案轉印的其他方法亦設想在本揭露的範疇內。轉印至第二圖案化材料的圖案對應於在用於電性連接至接地平面的導電柱 的部位處貫穿第二圖案化材料的開口的位置。在操作204中,在將圖案轉印至第二圖案化材料後,執行蝕刻製程以經由第二圖案化材料移除開口的底部處的絕緣層的暴露部分以暴露出圖案化接地平面材料的區域。
在暴露出圖案化接地平面材料的部分後,操作204包含與沈積晶種材料且電鍍導電柱材料相關聯的深度,類似於上文列舉的晶種材料沈積及接地平面電鍍步驟。在沈積晶種層材料期間,晶種層包括抵靠接地平面的暴露部分、穿過第二圖案化材料的開口的側壁以及第二圖案化材料的頂面上供應的銅、鈦、鋁、其合金及/或其他導電材料,在電鍍導電柱材料期間,柱材料(例如,銅)沈積至晶種層上。根據一些實施例,經由第二圖案材料沈積至開口中的晶種層具有介於約1微米至約5微米的範圍內的厚度。當晶種層具有小於約1微米的厚度時,其上沈積晶種層的基板上方的晶種層的覆蓋度趨向於不完整,引起電鍍材料的較差覆蓋度。當晶種層具有大於約5微米時,沈積晶種層花費的時間並不提供關於電鍍的覆蓋度的額外益處。根據一些實施例,貫穿第二圖案化材料的開口的直徑介於50微米至500微米的範圍。導電柱的高度對應於第二圖案化材料的厚度,已形成貫穿所述厚度的開口。根據一些實施例,柱的高度介於150微米至約700微米的範圍內。在一些實施例中,第二圖案化材料中的開口的直徑為約120微米。在一些實施例中,貫穿第二圖案化材料的開口的深度或沈積在開口內至第二圖案化材料的導電柱的高度為約250微米。具有約120微米寬度及約250微米高度的導電柱能夠藉由印刷電路板製造製程製造,而不需修改設備或製程。
在操作204中,於電鍍晶種層上方的導電柱材料之後,執行化學機械拋光步驟或平坦化步驟以暴露出晶種層下方的圖案化材料。在操作204中的額外步驟中,移除第二圖案化材料以暴露出抵靠接地平面的頂面形成且延伸穿過第二絕緣材料的導電柱的側壁。
方法200包含操作206,其中晶粒(RF控制器晶粒或控制晶粒)定位在基板上方。在一些實施例中,晶粒附接至括弧中的第二絕緣層處的天線總成(例如聚醯胺層)。根據一些實施例,聚醯胺層具有介於5微米至15微米的範圍內的厚度。晶粒附著有具有介於5微米至12微米的範圍內的厚度的晶粒貼合膜(die attach film;DAF)。在一些實施例中,DAF厚度為約10微米。晶粒貼合膜厚度小於5微米,晶粒趨向於未充分附著且易於在處理期間變位。晶粒貼合膜厚度大於約12微米在製造製程期間並不賦予額外益處且有時與圍繞晶粒的基板的晶粒貼合膜材料的溢出相關聯,從而引起半導體裝置內部的空隙。
方法200包含操作208,其中將介電膜材料沈積至天線空腔(天線空腔容積)。介電質填充材料為低κ介電材料,其填充導電柱與附著晶粒之間的空間。根據一些實施例,用於半導體裝置的低κ介電材料(包含包圍導電柱的介電質填充材料及待沈積於裝置中的較高層處的介電質填充材料兩者)具有小於6法拉/公尺(Farad/meter;F/m)的介電常數。用於介電墊(參見下文)的高κ介電材料具有大於7法拉/公尺的介電常數。在一些實施例中,用於介電墊的高κ介電材料具有大於50法拉/公尺的介電常數(參見下文操作212)。
在一些實施例中,介電質填充材料包含使用例如旋塗沈積於剛性基板上方的聚合材料以在介電質填充材料內提供均一厚度及照明空隙。在一些情況下,介電質填充材料為模製化合物以圍繞導電柱且為晶粒提供支撐或剛性。在一些實施例中,介電質填充材料為旋塗式玻璃(spin-on glass;SOG)、CVD-SiO2以及CVD沈積的氮化矽(SiNx)或氮氧化矽(SiOxNy)。用於填充天線空腔及半導體裝置的後續(例如,更高)層的低κ介電材料具有處於或低於約200攝氏度(℃)的固化溫度。
如下文進一步描述,用於形成介電墊的高κ介電材料具有(適當時)至少210℃的固化溫度,諸如液相(或旋塗)氮化矽(約6.9F/m的κ)或包含以下的膜的層壓集合:第一ZrO2層、中間Al2O3膜以及第二ZrO2(ZAZ,約13.6F/m的κ)層,或其他高κ介電材料,諸如ZrO2(約25F/m的κ)、Al2O3(約9F/m的κ)、HfOx、HfSiOx、ZrTiOx、TaOx以及TiO2、Y2O3(約15F/m的κ)。液體高κ聚合物包含在大約或低於100℃的溫度下固化的聚醯亞胺聚合物且在固化製程期間在晶粒或導電柱上產生減小量的張力或壓力。
在一些實施例中,介電質填充材料以一厚度沈積,使得導電柱的遠端未由介電質填充材料覆蓋。導電柱的遠端為且未附接至接地平面。導電柱的近端為附接至接地平面的導電柱的末端。在一些實施例中,介電質填充材料完全地覆蓋導電柱及晶粒。在一些情況下,第二介電材料沈積於介電質填充材料上方,在一些情況下,第二介電材料具有與介電質填充材料的介電常數不同的介電常數。在一些實施例中,第二介電材料包括二氧化矽顆粒 在有機樹脂內的懸浮液。在一些實施例中,二氧化矽顆粒包含在第二介電材料中以促使在平坦化步驟期間均一移除第二介電材料。在低溫下固化沈積的介電質填充材料及沈積於介電質填充材料上方的任何第二介電材料,以藉由例如晶粒貼合膜來硬化材料而不對接地平面下方的絕緣層或沈積於絕緣層上方的RF控制器/晶粒的組件產生熱傷害。低溫固化藉由減小RF控制器/晶粒的電晶體中的離子擴散的量來提高半導體裝置的總產率。在一些實施例中,在不超過200℃的固化溫度下出現低溫固化。在一些實施例中,對於固化介電質填充材料及在高κ介電墊中形成介電材料的熱預算(例如,用於低傷害或無損熱處理半導體裝置的溫度窗包含是相同的。
方法200包含操作210,其中暴露出導通孔的頂面及RF控制器晶粒。在一些實施例中,平坦化步驟用於暴露出導通孔的頂面及RF控制器晶粒。在一些實施例中,藉由化學機械拋光(chemical mechanical polishing;CMP)實現介電材料及/或導電柱材料的平坦化,其中在製造製程期間,將墊施加至半導體裝置的頂面。在化學機械拋光期間,抵靠半導體裝置摩擦墊,且漿料(較小直徑顆粒及摩擦減小流體的混合物)研磨半導體裝置的頂面。在一些實施例中,基於沈積於半導體裝置上的介電材料的厚度或量執行化學機械拋光持續預定時間。在一些實施例中,使用終點技術執行化學機械拋光以判定已自半導體裝置移除充足介電材料。
天線空腔形成於接地平面上方且在由至接地平面的至少一個導電柱包圍的容積內。在施加介電質填充材料以填充導電柱 與晶粒之間的空間後,天線空腔填充有介電質填充材料及/或第二介電材料至半導體裝置的頂面根據一些實施例,介電質填充材料及/或第二介電材料的介電常數大致相同以減小對於天線的效能的電容性影響。
方法200包含操作212,其中在天線空腔上方製造介電墊。根據一些實施例,介電墊為單一高k(例如,高介電常數κ)介電材料層。根據一些實施例,介電墊包含多個高κ介電材料層。在一些實施例中,高κ介電材料層與二氧化矽(SiO2)層交替。出於本揭露的目的,高κ介電材料為具有大於約50法拉/公尺(F/m)的介電常數的介電材料。根據一些實施例,高κ介電材料包含以下材料,諸如二氧化鈦(TiO2,約83法拉/公尺至100法拉/公尺(F/m)的κ)、三氧化鍶鈦(SrTiO3,約200法拉/公尺(F/m)的κ)、三氧化鋇鍶鈦(BaSrTiO3,約250法拉/公尺至300法拉/公尺(F/m)的κ)、三氧化鋇鈦(BaTiO3,約500法拉/公尺(F/m)的κ)、三氧化鉛鋯鈦(PbZrTiO3,約1000法拉/公尺至1500法拉/公尺(F/m)的κ)等等。二氧化矽(SiO2)具有約3.7法拉/公尺至3.9法拉/公尺(F/m)的介電常數。用於介電墊的高κ介電材料包含液體(旋塗)氮化矽(約6.9F/m的κ)、包含以下的膜的層壓集合:第一ZrO2層、中間Al2O3膜以及第二ZrO2(ZAZ,約13.6F/m的κ)層,或其他高κ介電材料,諸如ZrO2(約25F/m的κ)、Al2O3(約9F/m的κ)、HfOx、HfSiOx、ZrTiOx、TaOx以及TiO2、Y2O3(約15F/m的κ)。
根據一些實施例,用於介電墊的材料層經沈積至在約1微米至約4微米之間的總厚度,但其他厚度視為在本揭露的範疇 內。高κ介電膜通常在基板上方具有非均一厚度及不均勻覆蓋度,其中膜經沈積或生長用於厚度低於1微米(μm)。相較於無高κ介電墊的InFO裝置,厚度大於約4微米的膜關於InFO半導體裝置的頻率偏移及裝置收縮具有大致相同影響,同時花費額外時間來製造。當總介電層厚度大於約4微米時,跨半導體裝置的膜均一性未顯著改良。
使用諸如原子層沈積(ALD)、化學氣相沈積(CVD)、電漿增強CVD(PECVD)、低壓CVD(LPCVD)、雷射增強CVD(laser enhanced CVD;LECVD)、電子槍(electron gun;E-gun)等等的技術使用所屬領域中具通常知識者已知的設備及製程來沈積用於高κ介電墊的膜。在一些實施例中,在單一製造步驟中沈積多個膜,其中修改沈積化學反應,而不需自沈積腔室移除基板。在一些實施例中,將單一膜沈積在單一腔室中,且將高κ介電墊的第二膜沈積在第二腔室中,以達成高κ介電材料的特定介電質特徵。
操作212包含隔離與沈積於介電質填充材料及導電柱上方的覆蓋介電層的部分相關聯的步驟。在操作212的一些實施例中,將圖案化材料層沈積於高κ介電層上方且將對應於高κ介電墊的圖案的圖案轉印至圖案化材料層。在一些實施例中,圖案化材料為光阻或其他圖案化材料層。在一些實施例中,經由微影、電子束微影或一些其他圖案轉印技術將圖案轉印至圖案化材料。在一些實施例中,圖案包含單一高κ介電墊/天線空腔。在一些實施例中,圖案包含在多個天線空腔上方的單一高κ介電墊。在一些實施例中,半導體裝置具有在接地平面上方不具有高κ介電墊 的一些天線空腔。
在操作212中,使用例如含有強酸的浸潤蝕刻或被配置以崩潰且移除高κ介電材料的電漿蝕刻蝕刻掉高κ介電層的暴露部分,同時保持裝置溫度相對較低(例如,低於約200℃)。藉由蝕刻製程亦暴露出晶粒的頂面(包含導電墊或其上的接觸墊),以實現對於用於半導體裝置的InFO結構的晶粒的後續電性連接。
一個或多個天線空腔上方的高κ介電墊具有介於約1微米至約4微米的範圍內的厚度,但其他厚度亦在本揭露的範疇內。藉由將高κ介電墊放置在天線空腔的頂部上方,使InFO天線/貼片天線的上部頻率範圍增大至介於約30吉赫(GHz)至約120GHz的範圍內的頻率,所述頻率適用於蜂巢式電話天線傳動裝置及/或例如汽車控制系統雷達。天線空腔上方(及天線空腔與InFO裝置/半導體裝置的天線墊之間)的高κ介電墊的存在亦提高InFO裝置的輻射效率,降低用於操作裝置的功率要求。天線空腔上方的高κ介電墊的存在允許電路設計者縮小InFO裝置/半導體裝置的佔據面積,同時仍保持電流技術效能,且具有上文提及的頻率範圍及功率效率特徵中的一些或全部。
天線空腔中低κ介電材料的存在將導電柱彼此隔離且將接地平面與天線墊隔離,從而減小導電柱與接地平面之間的用於半導體裝置的各部分的電容。天線空腔中的低κ介電材料亦減小InFO裝置中的組件之間的電感,且提高裝置的結構性穩定性(相較於具有例如圍繞天線墊的空氣間隙的InFO裝置)。
在一些實施例中,低κ介電材料層沈積於高κ介電墊材料上方。低κ介電材料經平坦化以暴露出高κ介電材料,同時低κ 介電材料覆蓋晶粒的電性連接(墊等等)以隔離晶粒的頂面。因此,在一些實施例中,高κ介電墊的底面與天線空腔的低κ介電材料直接接觸(且視情況,亦與導電柱的頂部側邊接觸),高κ介電墊的側邊與沈積於高κ介電墊上方的低κ介電材料直接接觸,且高κ介電墊的頂面中的一些(或所有)與天線墊直接接觸(參見下文)。
在一些實施例中,在完成低κ介電材料的平坦化後,製造至少延伸穿過低κ介電材料的導通孔以製作至晶粒的電性連接。
方法200包含操作214,其中在天線空腔上方製造天線墊。
在一些實施例中,操作214包含同時製造至延伸穿過晶粒上方及與高κ介電墊相同層處的低κ介電材料的導通孔的電性連接,且所述方法省略視情況存在的操作216。在一些實施例中,製造天線墊,且單獨自天線墊的製造來形成天線墊的電性連接。因此,當執行視情況存在的操作216時,例如天線墊及RF控制器晶粒連接於與具有天線墊的層不同的裝置中的一層處。
操作214中的天線墊的製造是根據與上文關於操作204中的在接地平面上方形成導電柱所列舉步驟類似的步驟。在一些實施例中,材料的晶種層抵靠高κ介電墊的頂面沈積且介電材料沈積在半導體裝置的同一層處在一些實施例中,導電材料層沈積於晶種層上方以形成天線墊材料的覆蓋層。將圖案化材料層沈積在天線墊材料的覆蓋層上方且將圖案轉印至圖案化材料層,所述圖案對應於半導體裝置的天線墊的圖案。藉由被配置以與天線墊材料的暴露部分反應的浸潤式蝕刻劑來蝕刻掉天線墊材料的覆蓋 層的暴露部分。
在一些實施例中,晶種層為含有銅的層,所述含銅層藉由原子層沈積(ALD)、電漿增強ALD(PE-ALD)、化學氣相沈積(CVD)、電漿增強CVD(PECVD)、低壓CVD(LPCVD)、濺鍍或沈積晶種層材料的其他沈積技術生長在暴露表面上。在一些實施例中,晶種層包括銅、鈦、鋁或其合金。用於天線墊的晶種層以介於約1奈米至約4奈米的範圍內的厚度沈積,但本揭露亦涵蓋其他厚度。在一些實施例中,藉由電鍍或將均一的導電材料層沈積在晶種層上方的一些其他方法來沈積天線墊材料。在一些實施例中,天線墊材料包含銅、鋁、鈦及/或其合金,或適用於沈積至用於天線墊的晶種層上的其他導電材料。
圖3為根據一些實施例的貼片天線300A在製造製程期間的橫截面視圖。為簡單起見,在圖3至圖11的下文論述中,藉由相同附圖標號標識具有類似位置或結構或功能的元件。通常知識者應瞭解貼片天線300A至貼片天線300I的元件的其他實施例、佈置、結構、位置、定向以及配置亦在本揭露的範疇內。在貼片天線300A中,沈積於剛性基板302上的釋放層304將剛性基板302與絕緣層306分離。釋放層304包含光轉移熱轉化(light transfer heat conversion;LTHC)層,所述LTHC層被配置以在暴露於光波長之後分解且允許自剛性基板302移除貼片天線300A且不破壞貼片天線。絕緣層306包含施加至釋放層304的有機旋塗材料,所述有機旋塗材料在自剛性基板302移除後保護貼片天線300A。接地平面308沈積於絕緣層上方且包括銅、鈦、鋁、其合金或適用於印刷電路板或貼片天線製造的其他導電材料。絕緣層 304具有約2微米的厚度,但其他厚度亦在本揭露的範疇內。約2微米的絕緣層厚度提供對接地平面的保護且不在所製造裝置中引入過量厚度。小於約2微米的絕緣層厚度比2微米絕緣體膜更可能經歷開裂或剝離。接地平面308具有介於約8微米至約14微米的範圍內的厚度且包含晶種層厚度(約1微米至約5微米)及電鍍材料厚度(約7微米)兩者。具有小於約8微米厚度的接地平面易於為不均勻膜厚度,大於約14微米的接地平面厚度藉由額外製造時間及材料花費製造,且並不傳遞關於裝置的電氣效能的加強益處。接地平面308具有基於藉由蝕刻(例如浸入式銅濕式蝕刻)自第一圖案化材料層(例如,圖案化光刻層)轉印的圖案的圖案。
圖4為根據一些實施例的貼片天線300B在製造製程期間的橫截面視圖。在貼片天線300B中,第二絕緣材料310已沈積於接地平面308的頂面的上方且第一絕緣層306的頂面未由接地平面308覆蓋。圖案化材料311的層已經沈積於第二絕緣材料310上方,且將圖案轉印至圖案化材料311,使得圖案化材料311中的開口313對應於導電柱在接地平面308上方的部位(參見下文)。接地平面308的頂面在開口313的底部處暴露(例如,已經執行蝕刻製程來移除開口313內的第二絕緣材料)。
圖5為根據一些實施例的貼片天線300C在製造製程期間的橫截面視圖。貼片天線300C符合上文所述的方法200的操作204期間的貼片天線。在貼片天線300C中,晶種層314已經沈積於圖案化材料311上方,沈積於開口313(目前填充)中,且沈積在接地平面308的頂面上。導電柱材料316(例如,電鍍的銅或銅 合金)已經沈積於圖案化材料311的頂面上方的晶種層314的頂部上且沈積於開口313(目前填充)內以將導電柱限定在圖案化材料311內。
圖6為根據一些實施例的貼片天線300D在製造製程期間的橫截面視圖。貼片天線300D符合方法200的操作206結束時的貼片天線的實施例。在貼片天線300D中,在平坦化步驟以暴露出導電柱317A、導電柱317B以及導電柱317C的頂面之後,已移除圖案化材料311且已藉由晶粒黏附性膜318將RF控制器(RF控制器晶粒或晶粒)321施加至第二絕緣材料310。晶粒321包含半導體裝置320,所述半導體裝置320被配置以在完成製造後使用貼片天線來接收並傳輸RF訊號。柱317A包含晶種層部分314A及填充部分316A,柱317B包含晶種層部分314B及填充部分316B,且柱317C包含晶種層部分314C及填充部分316C。在一些實施例中,導電柱的頂面319A及晶粒321的頂面319B在距剛性基板302與釋放層304之間的界面的相同距離處。在一些實施例中,導電柱的頂面319A及晶粒321的頂面319B在距剛性基板的頂面的不同距離處,且具有剛性基板302與釋放層304之間的界面。
圖7為根據一些實施例的貼片天線300E在製造製程期間的橫截面視圖。貼片天線300E符合方法200的操作212期間的貼片天線。在貼片天線300E中,已將介電質填充材料312添加至貼片天線300E圍繞第二絕緣材料310上方的導電柱及晶粒321。貼片天線300E已經平坦化且高κ介電材料336已沈積於每一導電柱317A、導電柱317B以及導電柱317c的頂面319A及晶粒321的頂面319B上方。貼片天線300E符合方法200的操作212期間的 貼片天線的實施例。天線空腔315位於導電柱317B與導電柱317C之間且高於接地平面308。介電質填充材料312具有低介電常數(例如,低於約6法拉/公尺)以減小與介電質填充材料312(例如,晶粒321及導電柱317A至導電柱317C)相同層中的材料之間的電容。
圖8為根據一些實施例的貼片天線300F在製造製程期間的橫截面視圖。貼片天線300F符合方法200的操作212期間的貼片天線。在貼片天線300F中,已藉由圖案化材料337來保護沈積於導電柱317B及導電柱317C的頂面319A上方的高κ介電材料336以形成介電墊。圖案化材料337已經沈積且接收符合接地平面308上方的介電墊的圖案的圖案。並非所有導電柱都與高κ介電材料336直接接觸。導電柱317A與高κ介電材料336的邊緣橫向隔開,同時與導電柱317B及導電柱317C電接觸。導電柱317A被配置以為用於貼片天線300E的接地平面308與地面之間的接地連接(參見圖1,接地連接120A及接地連接120B)。高κ介電材料336與晶粒321的頂面319B橫向隔開。天線空腔315位於接地平面308與高κ介電材料336之間且位於導電柱317B與導電柱317C之間。
圖9為根據一些實施例的貼片天線300G在製造製程期間的橫截面視圖。貼片天線300G符合方法200的操作214期間的貼片天線。在貼片天線300G中,導電線328E已經製造成與導電柱317A接觸且在介電層322下方。介電層322沈積於晶粒321上方且圍繞由高κ介電材料336製成的介電墊的側邊。導通孔329A至導通孔329D延伸穿過介電層322。天線墊328A抵靠介電層322 的頂面(參見界面327A)及介電墊的頂面(參見界面327B)。天線墊328A經由導通孔329A電性連接至晶粒321。導電線328B及導電線328C經由介電層322電性連接至導通孔329B及導通孔329C,從而形成至晶粒321的電性連接。導電線328D電性連接至導通孔329D,且經由導電柱317A電性連接至接地平面308。
圖10為根據一些實施例的貼片天線300H在製造製程期間的橫截面視圖。貼片天線300H符合方法200的操作214及操作216後的貼片天線。在貼片天線300H中,第二介電層324已沈積於天線墊328A上方,且導通孔329F延伸穿過第二介電材料324以將導電墊330A電性連接至接地平面308。導通孔329E延伸穿過第二介電材料324以經由導電線328B及導通孔329B將導電墊330B電性連接至晶粒321。
圖11為根據一些實施例的貼片天線300I在製造製程期間的橫截面視圖。焊料球334A經由凸塊下層332A、導電墊330A、導通孔329D及導通孔329F、導電線328E以及導電柱317A電性連接接地平面308。導電柱317B及導電柱317C亦電性連接至接地平面308,且圍繞天線空腔315,且抵靠由高κ介電材料336製成的介電墊的底面。晶粒321經由導通孔329A電性連接至天線墊328A,且經由導通孔329B、導通孔329E、導電線328B以及導電墊330B電性連接至至焊料凸塊334B。凸塊下層332B提昇焊料凸塊334B對於貼片天線300I中的導電墊330B的黏附性。堆疊350為至貼片天線300I的接地平面的接地連接。堆疊352為貼片天線300I中的天線堆疊,被配置用於高輻射效率發送及接收RF訊號。堆疊354為訊號堆疊,所述堆疊354被配置以經由晶粒321將來 自計算裝置的另一部分的功率及/或訊號提供至天線墊328A來操作晶粒321。在圖11中,RF訊號338由天線墊328A發射穿過天線空腔315且通過基板302上方的接地平面308。
圖12為根據本揭露的至少一個實施例的半導體裝置1200的方塊圖。在圖12中,半導體裝置1200包含尤其基板1201,所述基板1201上具有電路巨集(下文中為巨集)1202。在一些實施例中,巨集1202為InFO封裝巨集。在一些實施例中,巨集1202為除InFO封裝巨集以外的巨集。巨集1202尤其包含導線佈線佈置1204A及第二導線佈線佈置1204B。產生導線佈線佈置1204A及導線佈線佈置1204B的佈局圖的實例包含圖1的貼片天線。
圖13為根據一些實施例的電子設計自動化(EDA)系統1300的方塊圖。在一些實施例中,EDA系統1300為包含硬體處理器1302及非暫時性電腦可讀儲存媒體1304的通用計算裝置。儲存媒體1304尤其編碼有(亦即,儲存)電腦程式碼1306(例如,可執行指令集或指令)。由硬體處理器1302執行指令1306(至少部分地)表示實施根據一個或多個(下文中為所提及製程及/或方法)的一部分或全部(例如本文所述的方法)的EDA工具。
經由匯流排1308將硬體處理器1302電性耦合至電腦可讀儲存媒體1304。亦藉由匯流排1308將硬體處理器1302電性耦合至I/O介面1310。亦經由匯流排1308將網路介面1312電性連接至硬體處理器1302。將網路介面1312連接至網路1314,使得硬體處理器1302及電腦可讀儲存媒體1304能夠經由網路1314連接至外部元件。硬體處理器1302被配置以執行在電腦可讀儲存媒體1304中編碼的電腦程式碼1306,以使得EDA系統1300可用於 執行所提及製程及/或方法的一部分或全部。在一個或多個實施例中,硬體處理器1302為中央處理單元(central processing unit;CPU)、多處理器、分佈式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或適合的處理單元。
在一個或多個實施例中,電腦可讀取儲存媒體1304為電子系統、磁性系統、光學系統、電磁系統、紅外系統及/或半導體系統(或設備或裝置)。舉例而言,電腦可讀儲存媒體1304包含半導體或固態記憶體、磁帶、可移式電腦磁碟、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬磁碟及/或光碟。在使用光碟的一個或多個實施例中,電腦可讀儲存媒體1304包含緊密光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、緊密光碟-讀取/寫入(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
在一個或多個實施例中,儲存媒體1304儲存電腦程式碼1306,所述電腦程式碼1306被配置以使得EDA系統1300(其中此類執行(至少部分地)表示EDA工具)將可用以執行所提及製程及/或方法中的一部分或全部。在一個或多個實施例中,儲存媒體1304亦儲存便於執行所提及製程及/或方法的一部分或全部的資訊。在一個或多個實施例中,儲存媒體1304儲存包含如本文所揭露的此類標準單元的標準單元的庫1307。
EDA系統1300包含I/O介面1310。I/O介面1310耦接至外部線路。在一個或多個實施例中,I/O介面1310包含用於將資訊及命令傳達至硬體處理器1302的鍵盤、小鍵盤、滑鼠、軌跡 球、軌跡墊、觸控式螢幕及/或游標方向按鍵。
EDA系統1300亦包含耦接至硬體處理器1302的網路介面1312。網路介面1312允許EDA系統1300與網路1314通信,一個或多個其他電腦系統連接至所述網路1314。網路介面1312包含無線網路介面,諸如藍芽(BLUETOOTH)、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網(ETHERNET)、USB或IEEE-1364。在一個或多個實施例中,所提及的製程及/或方法的一部分或全部實施於兩個或大於兩個EDA系統1300中。
EDA系統1300被配置以經由I/O介面1310接收資訊。經由I/O介面1310接收的資訊包含藉由硬體處理器1302處理的指令、資料、設計規則、標準單元之庫及/或其他參數中的一者或多者。經由匯流排1308將資訊轉移至硬體處理器1302。EDA系統1300被配置以經由I/O介面1310接收與UI有關的資訊。資訊作為使用者介面(user interface;UI)1352儲存於電腦可讀媒體1304中。
在一些實施例中,所提及的製程及/或方法的一部分或全部實施為供由處理器執行的獨立軟體應用程式。在一些實施例中,所提及製程及/或方法的一部分或全部實施為軟體應用程式,所述軟體應用程式為額外軟體應用程式的一部分。在一些實施例中,所提及的製程及/或方法的一部分或全部實施為軟體應用程式的插件。在一些實施例中,所提及製程及/或方法中的至少一者實施為軟體應用程式,所述軟體應用程式為EDA工具的一部分。在一些實施例中,所提及的製程及/或方法的一部分或所有實施為軟體應用程式,所述軟體應用程式由EDA系統1300使用。在一些 實施例中,使用工具來產生包含標準單元的佈局圖,所述工具諸如可購自益華電腦股份有限公司(CADENCE DESIGN SYSTEMS,Inc.)的VIRTUOSO®或另一適合的佈局產生工具。
在一些實施例中,製程實現為儲存於非暫時性電腦可讀記錄媒體中的程式的功能。非暫時性電腦可讀記錄媒體的實例包含但不限於外部/可移式及/或內部/內建式儲存或記憶體單元,例如光碟(諸如DVD)、磁碟(諸如硬碟)、半導體記憶體(諸如ROM、RAM、記憶卡)以及類似者中的一者或多者。
圖14為根據一些實施例的積體電路(IC)製造系統1400和與其相關的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用製造系統1400製造(A)一個或多個半導體罩幕或(B)半導容積體電路層中的至少一個組件中的至少一者。
在圖14中,IC製造系統1400包含實體,諸如設計室1420、罩幕室1430以及IC製造者/製造器(「工廠」)1450,所述IC製造系統1400在與製造IC裝置1460相關的設計、開發以及製造週期及/或服務中彼此相互作用。藉由通信網路連接製造系統1400中的實體。在一些實施例中,通信網路為單個網路。在一些實施例中,通信網路為多種不同網路,諸如企業內部網路及網際網路。通信網路包含有線通信通道及/或無線通信通道。每一實體與其他實體中的一者或多者相互作用且將服務提供至其他實體中的一者或多者及/或自其他實體中的一者或多者接收服務。在一些實施例中,單個更大公司擁有設計室1420、罩幕室1430以及IC工廠1450中的兩個或大於兩個。在一些實施例中,設計室1420、罩幕室1430以及IC工廠1450中的兩個或大於兩個共存於公共設 施中且使用公共資源。
設計室(或設計組)1420產生IC設計佈局1422。IC設計佈局1422包含為IC裝置1460設計的多種幾何圖案。幾何圖案對應於構成將待製造的IC裝置1460的各種組件的金屬層、氧化物層或半導體層的圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖1422的部分包含待形成於半導體基板(諸如矽晶圓)以及安置於所述半導體基板上的多個材料層中的多個IC特徵,諸如主動區、閘電極、源極以及汲極、層間互連的金屬線或通孔以及接合墊的開口。設計室1420實施恰當設計程序以形成IC設計佈局圖1422。設計程序包含邏輯設計、實體設計或佈局及佈線中的一者或多者。IC設計佈局圖1422呈現於具有幾何圖案的資訊的一個或多個資料檔案中。舉例而言,IC設計佈局圖1422可以GDSII檔案格式或DFII檔案格式表現。
罩幕室1430包含資料準備1432及罩幕製造1444。罩幕室1430使用IC設計佈局圖1422來製造一個或多個罩幕1445,所述一個或多個罩幕1445待用於根據IC設計佈局圖1422製造IC裝置1460的多個層。罩幕室1430執行罩幕資料準備1432,其中IC設計佈局圖1422被轉譯成代表性資料檔案(「representative data file;RDF」)。罩幕資料準備1432將RDF提供至罩幕製造1444。罩幕製造1444包含罩幕寫入器。罩幕寫入器將RDF轉換為諸如罩幕(光罩)1445或半導體晶圓1453的基板上的影像。設計佈局圖1422由罩幕資料準備1432操縱以遵從罩幕寫入器的特定特徵及/或IC工廠1450的要求。在圖14中,將罩幕資料準備1432及罩幕製造1444說明為分離元件。在一些實施例中,罩幕資料準備 1432及罩幕製造1444可統稱為罩幕資料準備。
在一些實施例中,罩幕資料準備1432包含光學近接校正(optical proximity correction;OPC),所述光學近接校正使用微影增強技術以補償影像誤差,諸如可起因於繞射、干擾、其他製程影響以及其類似者的影像誤差。OPC調整IC設計佈局圖1422。在一些實施例中,罩幕資料準備1432包含其他解析度增強技術(resolution enhancement technique;RET),諸如離軸照明、亞解析度輔助特徵、相移罩幕、其他適合的技術以及類似者或其組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology;ILT),其將OPC視為反向成像問題。
在一些實施例中,罩幕資料準備1432包含罩幕規則檢查器(mask rule checker;MRC),其檢查IC設計佈局圖1422,所述IC設計佈局圖1422在OPC中已藉由一組罩幕產生規則經受處理,所述罩幕產生規則含有特定幾何及/或連接限制以確保充足裕度,從而考慮半導體製造製程的可變性及類似者。在一些實施例中,MRC在罩幕製造1444期間修改IC設計佈局圖1422以補償侷限性,其可復原由OPC執行的修改的部分以符合罩幕產生規則。
在一些實施例中,罩幕資料準備1432包含模擬將由IC工廠1450實施以製造IC裝置1460的處理的微影製程檢查(lithography process checking;LPC)。LPC基於IC設計佈局圖1422模擬此處理以創造模擬製造的裝置,諸如IC裝置1460。LPC模擬中的處理參數可包含與IC製造循環的各種製程相關聯的參數、與用以製造IC的工具相關聯的參數,及/或製造製程的其他態樣。LPC考慮各種因素,諸如空間影像對比度、聚焦深度(「depth of focus;DOF」)、罩幕誤差增強因子(「mask error enhancement factor;MEEF」)、其他適合的因素以及類似者或其組合。在一些實施例中,在已藉由LPC產生模擬製造的裝置之後,若模擬裝置在形狀上並不足夠緊密滿足設計規則,則重複OPC及/或MRC以進一步優化IC設計佈局圖1422。
應理解,出於清晰的目的,已簡化罩幕資料準備1432的以上描述。在一些實施例中,資料準備1432包含諸如邏輯操作(logic operation;LOP)的額外特徵以根據製造規則修改IC設計佈局圖1422。另外,在資料準備1432期間應用於IC設計佈局圖1422的製程可以各種不同次序執行。
在罩幕資料準備1432後及在罩幕製造1444期間,基於經修改IC設計佈局圖1422製造罩幕1445或一組罩幕1445。在一些實施例中,罩幕製造1444包含基於IC設計佈局圖1422執行一個或多個微影曝光。在一些實施例中,使用電子束(e-beam)或多個電子束的機制以基於經修改IC設計佈局圖1422而在罩幕(光罩(photomask/reticle))1445上形成圖案。可以多種技術形成罩幕1445。在一些實施例中,使用二進位技術形成罩幕1445。在一些實施例中,罩幕圖案包含不透明區域及透明區域。用於暴露出已塗佈在晶圓上的影像敏感材料層(例如光阻)的輻射束(諸如紫外輻射(ultraviolet;UV)束)被不透明區域阻擋且傳輸通過透明區域。在一個實例中,罩幕1445的二進位罩幕版本包含透明基板(例如,熔融石英)及塗佈於二進位罩幕的不透明區中的不透明材料(例如,鉻)。在另一實例中,使用相移技術形成罩幕1445。在罩幕1445的相移罩幕(phase shift mask;PSM)版本中,形成 於相移罩幕上的圖案中的各種特徵被配置以具有恰當相位差,從而提高解析度及成像品質。在各種實例中,相移罩幕可為衰減PSM或交替PSM。藉由罩幕製造1444生成的罩幕用於各種製程。舉例而言,此類罩幕用於離子植入製程中以在半導體晶圓1453中形成多個摻雜區,用於蝕刻製程中以在半導體晶圓1453中形成多個蝕刻區域及/或用於其他適合的製程中。
IC工廠1450包含晶圓製造1452。IC工廠1450為IC製造企業,其包含用以製造各種不同IC產品的一個或多個製造設施。在一些實施例中,IC工廠1450為半導體鑄造廠。舉例而言,可存在用於多個IC產品的前端製造(前段製程(front-end-of-line;FEOL)製造)的製造設施,而第二製造設施可為IC產品的內連線及封裝提供後端製造(後段製程(back-end-of-line;BEOL)製造),且第三製造設施可為鑄造廠企業提供其他服務。
IC工廠1450使用藉由罩幕室1430製造的一個或多個罩幕1445來製造IC裝置1460。因此,IC工廠1450至少間接地使用IC設計佈局圖1422以製造IC裝置1460。在一些實施例中,藉由IC工廠1450使用一個或多個罩幕1445來製造半導體晶圓1453以形成IC裝置1460。在一些實施例中,IC製造包含至少間接地基於IC設計佈局圖1422執行一個或多個微影曝光。半導體晶圓1453包含矽基板或其上形成有材料層的其他恰當基板。半導體晶圓1453更包含各種摻雜區、介電特徵、多層級內連線及類似者(形成於後續製造步驟處)中的一者或多者。
關於積體電路(IC)製造系統(例如,圖14的製造系統1400)及與其相關的IC製造流程的細節發現於例如2016年2月9 日授與的美國專利申請案第9,256,709號,2015年10月1日公開的美國核准前公開第20150278429號,2014年2月6日公開的美國核准前公開第20140040838號以及2007年8月21准許的美國專利第7,260,442號中,其中每一者的全部內容特此以引用的方式併入。
積體扇出型(InFO)裝置包含RF控制器(晶粒),電性連接至具有高κ介電材料(介電墊)的至少一個天線墊,所述高κ介電材料位於至少一個天線墊與接地平面上方的天線空腔之間。在接地平面與天線墊之間添加高κ介電材料增大天線墊可獲取的可獲得頻率的範圍,且使得裝置製造商縮小InFO裝置的佔據面積或面積。另外,射頻發射比在天線墊與接地平面之間不具有介電墊的InFO裝置更高效。
本揭露的態樣是關於一種半導體裝置,其包含:接地平面;第一導電柱,其中第一導電柱電性連接至接地平面;天線墊,實質上平行於接地平面;介電墊,具有第一介電常數,其中天線墊與藉由介電墊與至少一個導電柱的遠端分離;以及介電質填充材料,填充天線空腔,其中介電質填充材料具有小於第一介電常數的第二介電常數,且接地平面、第一導電柱以及介電墊包圍天線空腔。在一些實施例中,第二介電常數為6法拉/公尺(F/m)或小於6法拉/公尺。在一些實施例中,第一介電常數大於7法拉/公尺(F/m)。在一些實施例中,介電墊包含以下中的一者或多者:二氧化鈦(TiO2)、三氧化鍶鈦(SrTiO3)、三氧化鋇鍶鈦(BaSrTiO3)、三氧化鋇鈦(BaTiO3)或三氧化鉛鋯鈦(PbZrTiO3)。在一些實施例中,介電墊為包括具有大於7法拉/公尺(F/m)介 電常數的至少一個高k介電材料層及具有小於6F/m介電常數的至少一個低k介電材料層的層壓介電墊。在一些實施例中,天線墊電性連接至控制器電路。在一些實施例中,介電墊在平行於接地平面的頂面的第一方向上具有第一尺寸且在平行於接地平面的頂面的第二方向上具有第二尺寸,第二方向垂直於第一方向,天線墊在第一方向上具有第三尺寸且在第二方向上具有第四尺寸,且第一尺寸小於第三尺寸,且第二尺寸小於第四尺寸。
本揭露的態樣是關於一種在半導體裝置中製作貼片天線的方法,包含以下操作:在基板上方形成接地平面;形成與接地平面接觸的第一導電柱;將晶粒附接至基板;藉由介電質填充材料將晶粒與第一導電柱電隔離;在與接地平面相對的第一導電柱的一末端處形成介電常數為至少7法拉/公尺(F/m)的高κ介電材料的介電墊;在介電墊上方形成天線墊;以及將天線墊電性連接至晶粒。在一些實施例中,形成介電墊更包含:藉由化學氣相沈積(CVD)或物理氣相沈積(PVD)技術沈積高κ介電材料,高κ介電材料具有大於7法拉/公尺的介電常數;將圖案化材料層沈積在高κ介電材料上方;圖案化所述圖案化材料層;以及移除高κ介電材料的暴露部分。在一些實施例中,移除高κ介電材料的暴露部分更包含將酸性溶液施加至至少一個介電材料層的暴露部分來溶解暴露部分。在一些實施例中,藉由介電質填充材料將晶粒與至少一個導電柱電隔離更包含將模製化合物施加至接地平面的頂面;以及在低於200攝氏度(℃)的溫度下固化低κ介電材料以減小晶粒及第一導電柱上的壓力。在一些實施例中,製造與接地平面接觸的至少一個導電柱更包含:將第一絕緣層沈積在 接地平面上方;在第一絕緣層上方施加圖案化材料層;經由圖案化材料層暴露出接地平面的一部分;在圖案化材料層的開口內且抵靠接地平面的部分沈積導電材料;平坦化導電材料以暴露出圖案化材料層;以及自接地平面移除圖案化材料。在一些實施例中,形成高κ介電材料的介電墊更包含沈積高κ介電材料的多個層,每一層具有大於7法拉/公尺的介電常數。在一些實施例中,方法更包含藉由介電常數小於7法拉/公尺的低κ介電材料來覆蓋天線墊及晶粒。
本揭露的一些態樣是關於一種半導體裝置,其包含:第一導電材料墊,在基板上方,其中第一墊電性連接至地面;絕緣填充材料,在第一墊上方,絕緣填充材料具有小於7法拉/公尺(F/m)的第一介電常數;第一導電柱,電性連接至第一導電材料墊,其中第一導電柱延伸穿過絕緣填充材料;控制器晶粒,連接至基板,其中控制器晶粒延伸穿過絕緣填充材料層;介電材料墊,在絕緣填充材料的頂面及第一導電柱上方,介電材料墊具有大於7法拉/公尺的第二介電常數;以及第二導電材料墊,在介電材料墊上方,其中第二導電材料墊電性連接至控制器晶粒。在一些實施例中,投影至接地平面上的介電材料墊的外圍包圍第一導電柱。在一些實施例中,介電材料墊更包含具有大於7法拉/公尺(F/m)的第一介電常數的至少一個介電材料層。在一些實施例中,介電墊包含以下中的一者或多者:二氧化鈦(TiO2)、三氧化鍶鈦(SrTiO3)、三氧化鋇鍶鈦(BaSrTiO3)、三氧化鋇鈦(BaTiO3)或三氧化鉛鋯鈦(PbZrTiO3)。在一些實施例中,介電墊包含介電材料的至少兩層,其中介電質的至少兩層中的每一者具有大於7法 拉/公尺的介電常數。在一些實施例中,裝置更包含第三導電材料墊,第三導電材料墊在介電材料墊上方且電性連接至控制器晶粒。
前文概述若干實施例的特徵,使得所屬領域中具通常知識者可更好地理解本揭露的態樣。所屬領域中具通常知識者應理解,其可易於使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他方法及結構的基礎。所屬領域的技術人員亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域的技術人員可在不脫離本揭露的精神及範疇的情況下在本文中作出改變、替代以及更改。
100:半導體裝置
102:絕緣材料
104A、104B:接地平面
106A、106B、106C、106D:天線墊
108A、108B、108C、108D:介電墊
110:控制器晶粒
112:接觸件
114A、114B、114C、114D:導電線
115A、115B、115C、115D:天線空腔
120A、120B:接地連接
122A、122B、122C、122D:導電柱
188:總長度
189:總寬度
191A、191B、191C、191D:天線墊長度
192A、192B、192C、192D:天線墊寬度
193A、193B、193C、193D:介電墊長度
194A、194B、194C、194D:介電墊長度
195:第一天線墊間隔
196:第二天線墊間隔
198:第一方向
199:第二方向

Claims (10)

  1. 一種半導體裝置,包括:接地平面;第一導電柱,其中所述第一導電柱電性連接至所述接地平面;天線墊,實質上平行於所述接地平面;介電墊,具有第一介電常數,其中藉由所述介電墊將所述天線墊與所述第一導電柱的遠端分離;以及介電質填充材料,填充天線空腔,其中所述介電質填充材料具有小於所述第一介電常數的第二介電常數,且所述接地平面、所述第一導電柱以及所述介電墊包圍所述天線空腔。
  2. 如請求項1所述的半導體裝置,其中所述介電墊為層壓介電墊,包括:至少一個高k介電材料層,所述高k介電材料具有大於7法拉/公尺(F/m)的介電常數;以及至少一個低k介電材料層,所述低k介電材料具有小於6F/m的介電常數。
  3. 如請求項1所述的半導體裝置,其中所述天線墊電性連接至控制器電路。
  4. 如請求項1所述的半導體裝置,其中所述介電墊在平行於所述接地平面的頂面的第一方向上具有第一尺寸且在平行於所述接地平面的所述頂面的第二方向上具有第二尺寸,所述第二方向垂直於所述第一方向,所述天線墊在所述第一方向上具有第三尺寸且在所述第二方向上具有第四尺寸,且所述第一尺寸小於所述第三尺寸,且所述第二尺寸小於所述第四尺寸。
  5. 一種在半導體裝置中製作貼片天線的方法,包括:在基板上方形成接地平面; 形成與所述接地平面接觸的第一導電柱;將晶粒附接至所述基板;藉由介電質填充材料將所述晶粒與所述第一導電柱電隔離;在與所述接地平面相對的所述第一導電柱的末端處形成具有至少7法拉/公尺(F/m)的介電常數的高κ介電材料的介電墊;在所述介電墊上方形成天線墊;以及將所述天線墊電性連接至所述晶粒。
  6. 如請求項5所述的方法,其中形成高κ介電材料的介電墊更包括:沈積具有大於7F/m的介電常數的高κ介電材料;在所述高κ介電材料上方沈積圖案化材料層;圖案化所述圖案化材料層;以及移除所述高κ介電材料的暴露部分。
  7. 如請求項6所述的方法,其中移除所述高κ介電材料的暴露部分更包括:將酸性溶液施加至所述高κ介電材料的所述暴露部分來溶解所述高κ介電材料的所述暴露部分。
  8. 如請求項5所述的方法,其中藉由介電質填充材料將所述晶粒與所述第一導電柱電隔離更包括:將低κ介電材料施加至所述接地平面的頂面;以及在低於200攝氏度(℃)的溫度下固化所述低κ介電材料以減小所述晶粒及所述第一導電柱上的壓力。
  9. 如請求項5所述的方法,其中製造與所述接地平面接觸的至少一個導電柱更包括: 將第一絕緣層沈積在所述接地平面上方,在所述第一絕緣層上方施加圖案化材料層,經由所述圖案化材料層暴露出所述接地平面的所述一部分,在所述圖案化材料層的開口內且抵靠所述接地平面的所述部分沈積導電材料,平坦化所述導電材料以暴露出所述圖案化材料層,以及自所述接地平面移除所述圖案化材料。
  10. 一種半導體裝置,包括:第一導電材料墊,在基板上方,其中所述第一墊電性連接至地面;絕緣填充材料,在所述第一墊上方,所述絕緣填充材料具有小於7法拉/公尺(F/m)的第一介電常數;第一導電柱,電性連接至所述第一導電材料墊,其中所述第一導電柱延伸穿過所述絕緣填充材料;控制器晶粒,連接至所述基板,其中所述控制器晶粒延伸穿過絕緣填充材料;介電材料墊,在所述絕緣填充材料的頂面及所述第一導電柱上方,所述介電材料墊具有大於7法拉/公尺的第二介電常數;以及第二導電材料墊,在所述介電材料墊上方,其中所述第二導電材料墊電性連接至所述控制器晶粒。
TW109106669A 2019-03-15 2020-03-02 半導體裝置以及在半導體裝置中製作貼片天線的方法 TWI742548B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962819330P 2019-03-15 2019-03-15
US62/819,330 2019-03-15
US16/738,420 US11502402B2 (en) 2019-03-15 2020-01-09 Integrated patch antenna having insulating substrate with antenna cavity and high-K dielectric
US16/738,420 2020-01-09

Publications (2)

Publication Number Publication Date
TW202036792A TW202036792A (zh) 2020-10-01
TWI742548B true TWI742548B (zh) 2021-10-11

Family

ID=72289448

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109106669A TWI742548B (zh) 2019-03-15 2020-03-02 半導體裝置以及在半導體裝置中製作貼片天線的方法

Country Status (4)

Country Link
US (1) US20220368012A1 (zh)
CN (1) CN116936495A (zh)
DE (1) DE102020100778A1 (zh)
TW (1) TWI742548B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11961809B2 (en) * 2021-02-26 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Antenna apparatus and method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405698B2 (en) * 2004-10-01 2008-07-29 De Rochemont L Pierre Ceramic antenna module and methods of manufacture thereof
TW201043107A (en) * 2009-05-27 2010-12-01 Chuan-Ling Hu Package structure to integrate surface mount elements
TW201608758A (zh) * 2014-05-29 2016-03-01 西凱渥資訊處理科技公司 用於射頻裝置之溫度補償電路
US9300025B2 (en) * 2013-03-19 2016-03-29 Texas Instruments Incorporated Interface between an integrated circuit and a dielectric waveguide using a carrier substrate with a dipole antenna and a reflector
TW201715661A (zh) * 2015-10-20 2017-05-01 台灣積體電路製造股份有限公司 半導體裝置及方法
TWI595761B (zh) * 2010-10-29 2017-08-11 Lm艾瑞克生(Publ)電話公司 用於在一資料封包網路中轉發資料訊框之方法及網路節點

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405698B2 (en) * 2004-10-01 2008-07-29 De Rochemont L Pierre Ceramic antenna module and methods of manufacture thereof
TW201043107A (en) * 2009-05-27 2010-12-01 Chuan-Ling Hu Package structure to integrate surface mount elements
TWI595761B (zh) * 2010-10-29 2017-08-11 Lm艾瑞克生(Publ)電話公司 用於在一資料封包網路中轉發資料訊框之方法及網路節點
US9300025B2 (en) * 2013-03-19 2016-03-29 Texas Instruments Incorporated Interface between an integrated circuit and a dielectric waveguide using a carrier substrate with a dipole antenna and a reflector
TW201608758A (zh) * 2014-05-29 2016-03-01 西凱渥資訊處理科技公司 用於射頻裝置之溫度補償電路
TW201715661A (zh) * 2015-10-20 2017-05-01 台灣積體電路製造股份有限公司 半導體裝置及方法

Also Published As

Publication number Publication date
CN116936495A (zh) 2023-10-24
US20220368012A1 (en) 2022-11-17
DE102020100778A1 (de) 2020-09-17
TW202036792A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
KR102224383B1 (ko) 안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나
KR102394042B1 (ko) 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
TWI228792B (en) Process for producing semiconductor device and semiconductor device
US20150118850A1 (en) Lithography using Multilayer Spacer for Reduced Spacer Footing
US10916499B2 (en) Vias and gaps in semiconductor interconnects
US7977237B2 (en) Fabricating vias of different size of a semiconductor device by splitting the via patterning process
JP5427104B2 (ja) パターン形成方法
US8946080B2 (en) Pattern transfer method
TW201633872A (zh) 零失準介層墊結構
US20220051896A1 (en) Selective metal removal for conductive interconnects in integrated circuitry
TWI742548B (zh) 半導體裝置以及在半導體裝置中製作貼片天線的方法
KR20110112727A (ko) 더블 패터닝을 이용한 반도체소자의 패턴형성방법
US11367682B2 (en) Vias and gaps in semiconductor interconnects
US20040038520A1 (en) Method of manufacturing semiconductor device
US10998192B2 (en) Sequential infiltration synthesis extreme ultraviolet single expose patterning
JP3455442B2 (ja) 配線構造の製造方法
CN106158731B (zh) 互连结构的形成方法
CN108335970A (zh) 形成图案的方法、精细图案层以及半导体装置
KR20070063148A (ko) 반도체 소자의 제조 방법
TW202403851A (zh) 基底的處理方法
CN102270571A (zh) 半导体器件的制作方法
KR20020060334A (ko) 균일성을 갖는 웨이퍼의 제조 방법
KR100769206B1 (ko) 반도체 소자의 평탄화 방법
JP2020053653A (ja) 半導体装置及びその製造方法
CN112687528A (zh) 半导体结构及其形成方法