TWI734700B - 處理速率決定設備 - Google Patents

處理速率決定設備 Download PDF

Info

Publication number
TWI734700B
TWI734700B TW105130094A TW105130094A TWI734700B TW I734700 B TWI734700 B TW I734700B TW 105130094 A TW105130094 A TW 105130094A TW 105130094 A TW105130094 A TW 105130094A TW I734700 B TWI734700 B TW I734700B
Authority
TW
Taiwan
Prior art keywords
gas
processing
chamber
concentration
patent application
Prior art date
Application number
TW105130094A
Other languages
English (en)
Other versions
TW201724247A (zh
Inventor
路克 愛爾芭瑞德
亞辛 卡布茲
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201724247A publication Critical patent/TW201724247A/zh
Application granted granted Critical
Publication of TWI734700B publication Critical patent/TWI734700B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本文提供用於處理基板的裝置。基板支撐體係定位於處理腔室內。氣體入口將製程氣體提供至處理腔室中。排氣泵浦自處理腔室泵抽氣體。氣體副產物量測系統包含紅外線光源及紅外線偵測器。控制器包含至少一處理器及電腦可讀媒體。電腦可讀媒體包含電腦可讀碼,該電腦可讀碼係用於下列各項:使製程氣體流至蝕刻腔室中、處理來自紅外線偵測器的資料、使用所處理之來自紅外線偵測器的資料來判定氣體副產物的濃度、以及使用所判定之氣體副產物的濃度來調整製程氣體進入處理腔室的流動。

Description

處理速率決定設備 [相關申請案的交互參照]
本揭露內容將Kabouzi等人於2015年9月23日所提申、作為美國專利第14/862,983號的「METHOD AND APPARATUS FOR DETERMINING PROCESS RATE」併入以供參照,該案係針對所有目的而併入作為參考。
本揭露內容係關於半導體裝置的生產。更具體而言,本揭露內容係關於生產半導體裝置時所使用的蝕刻。
在半導體晶圓處理期間,選擇性地蝕刻含矽層。
為達成上述內容,且根據本揭露內容之目的,本文提供用於處理基板之設備。提供處理腔室。基板支撐體係位於該處理腔室內。氣體入口將製程氣體提供至該處理腔室中,其中當基板於該處理腔室中進行製程處理時,該製程提供氣體副產物。氣體源將該製程氣體提供至該氣體入口。排氣泵浦自該處理腔室泵抽氣體。氣體副產物量測系統包含紅外線光源及紅外線偵測器。控制器係可控制地連接至該氣體源及該紅外線光源,且其接收來自該紅外線偵測器的信號。 該控制器包含至少一處理器及電腦可讀媒體。該電腦可讀媒體包含用於使該製程氣體流至該處理腔室中的電腦可讀碼、用於處理來自該紅外線偵測器之資料的電腦可讀碼、用於使用所處理之來自該紅外線偵測器的資料以判定該氣體副產物之濃度的電腦可讀碼、及用於使用所判定之該氣體副產物的濃度以調整該製程氣體進入該處理腔室之流動的電腦可讀碼。
在另一操作中,提供用於處理基板之設備。提供處理腔室。基板支撐體係位於該處理腔室內。氣體入口將製程氣體提供至該處理腔室中,其中當基板於該處理腔室中進行製程處理時,該製程提供氣體副產物。氣體源將該製程氣體提供至該氣體入口。排氣泵浦自該處理腔室泵抽氣體。氣體副產物量測系統包含紅外線光源、圍繞該基板支撐體上方之容積的侷限環、用於反射該侷限環內之紅外線光的至少一鏡、及紅外線偵測器,其位置係用以在來自該紅外線光源的光於該侷限環內反射複數次後接收來自該紅外線光源的光。
在另一操作中,提供用於處理基板之設備。基板支撐體係位於該處理腔室內。氣體入口將製程氣體提供至該處理腔室中,其中當基板於該處理腔室中進行製程處理時,該製程提供氣體副產物。氣體源將該製程氣體提供至該氣體入口。排氣泵浦自該處理腔室泵抽氣體。氣體副產物量測系統包含用於提供紅外線光的量子級串列雷射、自該排氣泵浦接收排氣的氣室、該氣室內之至少一鏡,其會反射紅外線光、及紅外線偵測器,其位置係用以在來自該量子級串列雷射的光於該氣室內反射複數次後接收來自該量子級串列雷射的光。
本發明之此等及其他特徵將於以下本揭露內容之詳細說明中、並結合下列圖示而加以詳述。
100:電漿處理腔室
102:電漿反應器
104:電漿處理侷限腔室
106:電漿電源
108:匹配網路
110:TCP線圈
112:功率窗
114:電漿
116:晶圓偏壓電源
118:匹配網路
120:電極
124:控制器
130:氣體源/氣體供應機構
132:氣室
134:紅外線光源
136:紅外線偵測器
140:噴淋頭
142:壓力控制閥
144:泵浦
146:排氣管
164:基板
200:電腦系統
202:處理器
204:顯示裝置
206:記憶體
208:儲存裝置
210:可移除式儲存裝置
212:使用者介面裝置
214:通訊介面
216:通訊基礎架構
304:球面鏡
308:輸入光纖
312:輸出光纖
316:加熱器
324:壓力計
404:步驟
408:步驟
412:步驟
416:步驟
420:步驟
424:步驟
504:步驟
508:步驟
512:步驟
600:處理工具
604:負載鎖站
608:電漿處理腔室
612:真空傳送模組
700:偵測與控制系統
704:控制器
712:偵測器
716:氣室
720:量子級串列雷射
728:接收器
732:類比數位轉換器
736:ARM、DSP、FPGA系統
740:乙太網路裝置
752:紅外線雷射光束
756:光纖
800:蝕刻反應器
802:C形護罩
804:基板
806:氣體分配板
808:卡盤
824:氣體源
835:控制器
848:靜電卡盤來源
849:蝕刻腔室
850:腔室壁
860:量子級串列雷射雷射器
862:第一光纖
864:紅外線偵測器
866:第二光纖
904:第一凹面鏡
908:第二凹面鏡
912:第一窗口
916:第二窗口
1004:外罩
1008:紅外線光束
1012:第一光纖
1016:第二光纖
1104:內環形反射鏡
1108:外環形反射鏡
1112:紅外線光束
1116:第一光纖
1120:偵測器
在隨附圖式之圖中,本揭露內容係藉由舉例的方式、而非限制的方式來加以說明,其中類似的參考符號指涉相似的元件,且其中:圖1示意性地繪示電漿處理腔室的範例。
圖2係為顯示電腦系統的高階方塊圖,該電腦系統適用於實施控制器。
圖3係為圖1中所示之實施例的氣室之更詳細的示意圖。
圖4係為在實施例中所使用之製程的高階流程圖。
圖5係為使用所量測之濃度以判定處理速率的步驟之更詳細的流程圖。
圖6係為處理工具的頂視圖。
圖7係為處理工具之偵測與控制系統的示意圖。
圖8係為在另一實施例中所使用之蝕刻反應器的示意圖。
圖9係為由侷限護罩(C形護罩)所形成之電漿容積的示意性頂視圖。
圖10係為另一實施例的頂視圖。
圖11係為另一實施例的頂視圖。
現將參考隨附圖式中所說明的一些較佳實施例而詳細描述本揭露內容。在以下敘述中,提出許多特定細節以提供對於本揭露內容之徹底瞭解。然而,本揭露內容可在不具有此等特定細節之若干或全部的情況下加以實施,此 對於熟習本領域技術者而言係為顯而易見的。在其他情況下,為避免不必要地混淆本揭露內容,眾所周知的製程步驟及/或結構並未詳加描述。
目前用於製程控制(例如端點)的技術有賴使用放射光譜、反射率、或RF電壓及電流的電漿參數之相關量測或間接量測。對於端點控制而言,在當臨界尺寸(CD)縮小為21nm以下,且縱橫比增加為超過30:1時,光放射光譜在訊號變化傾向於零之下到達其極限。對於原位蝕刻速率(ER,etch rate)而言,使用RF電壓/電流之量測係基於相關性,其中在不同腔室間(chamber to chamber)並不能一直維持該相關性。
一實施例依賴SiF4、或SiBr4、或SiCl4、或其他SiX4之副產物的絕對量測值,該副產物為使用氟碳化物類之化學物時之大部分含矽蝕刻(氮化物膜、氧化物膜、多晶薄膜、及矽膜)的直接副產物。藉由結合量測值與蝕刻模型(依據XSEM影像的SiF4質量平衡、或以XSEM影像所校正的特徵分布模擬模型),吾人可在特定條件下預測端點、隨深度變化之ER、平均晶圓選擇性、及均勻性。SiF4副產物係利用使用量子級串列雷射光譜(quantum cascade laser spectroscopy)的紅外線吸收來偵測,其容許用於準確預測之十億分之一(ppb)層級的偵測。
此揭露內容描述結合了與SiF4紅外線吸收聯合之蝕刻輪廓模型化以控制蝕刻製程的方法。該方法在高縱橫比的應用(例如DRAM元件蝕刻及3D-NAND孔洞及凹槽圖案化)中,允許端點的能力擴展至傳統方法(例如放射光譜)的能力可及範圍以外。絕對密度量測及蝕刻輪廓放射模型化的結合使吾人能額外地判定原位蝕刻製程參數(例如ER、選擇性、及均勻性),其可用於達成批次對批次(run-to-run)之製程匹配。
在一實施例中,蝕刻製程的特徵在於量測直接穩定的副產物,其可用以判定:1)針對製程/CD控制之高縱橫比DRAM及3D-NAND蝕刻的端點、2)針對未來節點之使端點偵測規模化的方法、3)藉由與模型結合,吾人可在原位 之情況下判定下列各項:a)平均晶圓ER以及隨深度變化之ER(ARDE)、b)平均晶圓均勻性及選擇性、及c)兩量測皆可用於批次對批次(run-to-run)之匹配及缺陷偵測、4)使用高敏感度的量子級串列雷射光譜(quantum cascade laser spectroscopy)以達到準確蝕刻端點及蝕刻參數預估所需的ppb層級極限之偵測。
圖1示意性地繪示電漿處理腔室100之範例,其依據一實施例,可用以執行蝕刻含矽層的製程。電漿處理腔室100包含其中具有電漿處理侷限腔室104的電漿反應器102。由匹配網路108所調整的電漿電源106將功率供應至位於功率窗112附近之TCP線圈110,以藉由提供感應耦合功率而在電漿處理侷限腔室104中產生電漿114。TCP線圈(上電源)110可配置以在電漿處理侷限腔室104內產生均勻的擴散分布。例如,TCP線圈110可配置以在電漿114中產生環形(toroidal)功率分布。提供功率窗112以使TCP線圈110與電漿處理侷限腔室104分隔,並同時使能量可自TCP線圈110傳遞至電漿處理侷限腔室104。由匹配網路118所調整的晶圓偏壓電源116將功率提供至電極120,以在由電極120所支撐的基板164上設定偏壓。控制器124針對電漿電源106、氣體源/氣體供應機構130、及晶圓偏壓電源116設定複數點。
電漿電源106及晶圓偏壓電源116可配置以在特定射頻下進行操作,例如,13.56MHz、27MHz、2MHz、60MHz、200kHz、2.54GHz、400kHz、及1MHz,或其組合。電漿電源106及晶圓偏壓電源116可適當地調整尺寸以供應一範圍的功率來達成所需的製程性能。例如,在一實施例中,電漿電源106可供應在50瓦特至5000瓦特之範圍中的功率,而晶圓偏壓電源116可供應在20V至2000V之範圍內的偏壓。針對高至4kV或5kV的偏壓,提供不多於25kW的功率。此外,TCP線圈110及/或電極120可由兩或更多子線圈(sub-coils)或子電極(sub-electrodes)所組成,其可由單一電源供電或由多個電源供電。
如圖1中所顯示,電漿處理腔室100更包含氣體源/氣體供應機構130。氣體源130係經由氣體入口(例如噴淋頭140)而與電漿處理侷限腔室104流體連通。氣體入口可設置於電漿處理侷限腔室104中的任何有利位置,並可採取任何形式來注入氣體。然而,較佳地,氣體入口可配置以產生「可調的」氣體注入分布,其允許獨立調節流至電漿處理侷限腔室104中許多區域之氣體的個別流動。製程氣體及副產物係經由壓力控制閥142及泵浦144而自電漿處理侷限腔室104移除,其中壓力控制閥142及泵浦144亦用於維持電漿處理侷限腔室104內的特定壓力。氣體源/氣體供應機構130係受控制器124所控制。可使用加州費利蒙Lam Research Corporation的Kiyo來實行實施例。在其他範例中,可使用加州費利蒙Lam Research Corporation的Flex來實行實施例。
在此實施例中,接著泵浦144之後,設置了連接至排氣管146的氣室132,排氣會流至氣室132中。紅外線(IR)光源134係定位於氣室132中的窗口附近,以便使來自IR光源134的IR光束被導至氣室132中。IR光束可行進通過氣室多次(通常為大於1m的距離)以達到ppb層級或甚至更低的兆分之一(ppt)的百分之一的偵測極限。IR光會在其於氣室內部行進時被氣體所吸收。IR偵測器136係定位於氣室132中的另一窗口附近,以量測光吸收位準。
圖2係為顯示電腦系統200的高階方塊圖,電腦系統200適用於實施實施例中所使用的控制器124。電腦系統可具有許多實體形式,其範圍自積體電路、印刷電路板、及小型手持裝置至大型超級電腦。電腦系統200包含一或更多處理器202,且更可包含電子顯示裝置204(用於顯示圖形、文字、及其他資料)、主記憶體206(例如,隨機存取記憶體(RAM,random access memory))、儲存裝置208(例如,硬碟驅動機)、可移除式儲存裝置210(例如,光碟驅動機)、使用者介面裝置212(例如,鍵盤、觸碰屏幕、鍵板、滑鼠、或其他指向裝置等)、及通訊介面214(例如,無線網路介面)。通訊介面214容許軟體及資料經由連結而於電腦系 統200及外部裝置間傳送。系統亦可包含前述裝置/模組所連接至之通訊基礎架構216(例如,通訊匯流排、交越條(crossover bar)、或網路)。
經由通訊介面214所傳送的資訊可為例如電子訊號、電磁訊號、光學訊號、或其他可透過通訊連結而被通訊介面214所接收之訊號的訊號形式,該通訊連結載送訊號且可使用電線或電纜、光纖、電話線、無線電話連結、射頻連結、及/或其他通訊通道加以實施。在具有如此之通訊介面的情況下,設想一或更多處理器202在執行上述方法步驟的過程中可自網路接收資訊,或可輸出資訊至網路。再者,方法實施例可僅在處理器上執行或可透過網路(例如網際網路)搭配遠端處理器而分擔一部分之處理來執行。
用語「非暫態電腦可讀媒體」通常係用以代表例如主記憶體、輔助記憶體、可移除式儲存裝置,及儲存裝置,如硬碟、快閃記憶體、磁碟驅動機記憶體、CD-ROM,及其他形式的永久記憶體,且不應被解釋為涵蓋例如載波或訊號之暫態標的。電腦編碼之範例包含例如由編譯器所產生的機器編碼、及包含藉由使用直譯器之電腦所執行之較高階編碼的檔案。電腦可讀媒體亦可為藉由體現於載波中之電腦資料訊號所傳輸且代表可由處理器執行之一連串指令的電腦編碼。
圖3係為圖1中所示之實施例的氣室132之更詳細的示意圖。排氣管146延伸自泵浦144的輸出端。在此範例中,排氣管146以約45°的角度延伸自泵浦144。氣室132為排氣管146的部分。氣室132包含一或兩球面鏡304,其位於氣室132之外壁內。輸入光纖308係光學地連接於紅外線光源(其在此實施例中為量子級串列雷射(QCL,quantum cascade laser))與氣室132之內部間。輸出光纖312係光學地連接於紅外線偵測器與氣室132之內部間。加熱器316係設置於排氣管146及氣室132之表面的周圍。一或更多加熱器316可具有熱感測器。加熱器316可電連接至控制器,且可由控制器所控制,並可將溫度資料提供至控制器。壓力計324 係連接至排氣管146。可使用壓力計及溫度量測來獲得SiF4的絕對校準量測值。針對前級管線(foreline)量測,需要控制渦輪泵浦之N2吹淨,其需要具有100-1000sccm之範圍、高準確性的N2流動之質量流量控制器。
為幫助理解,圖4係為在實施例中所使用之製程的高階流程圖。將基板置於處理腔室中(步驟404)。乾式處理基板(步驟408)。在乾式處理期間,氣體副產物產生。量測氣體副產物的濃度(步驟412)。使用所量測之氣體副產物的濃度來判定處理速率、端點、均勻性、與縱橫比相依的蝕刻速率、及選擇性(步驟416)。依據所量測之氣體副產物的濃度來改變腔室設定(步驟420)。判定乾式處理是否完成(步驟424)。若乾式處理未完成,則藉由進一步量測副產物的濃度及繼續該循環,以繼續乾式處理基板的步驟408。若乾式處理完成,則停止該製程。
範例
在較佳實施例的範例中,將具有含矽層的基板置於處理腔室中(步驟404)。
在處理腔室中,於基板上執行乾式處理,其中乾式處理會產生至少一氣體副產物(步驟408)。在不同的實施例中,基板為被蝕刻的矽晶圓,或者基板上的一或更多含矽層會被蝕刻。在此範例中,蝕刻交替之矽氧化物及矽氮化物層的堆疊體。如此的交替之矽氧化物及矽氮化物的堆疊體係設計為ONON,其係用於3D記憶體裝置中。在此範例中,至少有8個交替之ONON層。在其他實施例中,可蝕刻交替的矽氧化物及多晶矽層(OPOP)。在蝕刻如此的堆疊體時,ER及選擇性兩者皆隨縱橫比而減少,此情況表示,介於矽氧化物與矽氮化物之蝕刻速率間的差異會隨縱橫比(蝕刻深度對於蝕刻寬度之比)增加而減少。為蝕刻如此的堆疊體,由氣體源130提供CxFyHz/O2之蝕刻氣體。RF功率係由電漿電源106提供至TCP線圈110,以使蝕刻氣體形成為蝕刻電漿,其蝕刻堆疊體並形成至少一氣體副產物,在此範例中,該氣體副產物為SiF4。(其他蝕刻副產物(例如SiBr4或 SiCl4)可依據氣體化學特性,藉由將IR光源調整至各副產物之吸收光帶而被監測。)
在乾式處理期間,量測該至少一氣體副產物之濃度(步驟412)。在此實施例中,排氣自泵浦144流至氣室132。IR光源134提供IR光束進入氣室132。在此實施例中,氣室132的側部在IR光束被導至IR偵測器136之前反射IR光束多次,而IR偵測器136量測IR光束之強度。來自IR偵測器136的資料係發送至控制器124,而控制器124使用該資料來判定SiF4的濃度。
所量測的濃度係用以判定處理速率、端點、均勻性、及選擇性(步驟416)。圖5係為使用所量測之濃度以判定處理速率的步驟之更詳細的流程圖。提供濃度模型的資料庫(步驟504)。如此的模型可提供隨縱橫比、均勻性、及選擇性而變化的特徵部/晶圓級別蝕刻。如此的模型可藉由實驗而產生,或可解析地計算出,或可同時使用兩方法來決定。在產生模型的範例中,可提供蝕刻作用,在該情況下,氣體副產物之濃度係隨時間量測。由於此範例使用蝕刻,因此處理速率為蝕刻速率。檢驗並量測所蝕刻的特徵部。根據隨時間經過之特徵部的量測值以及副產物氣體之濃度的量測值,可使用幾何蝕刻模型及質量平衡方程式來判定蝕刻速率、端點、均勻性、及選擇性。在一實施例中,模型可具有單一濃度。在另一實施例中,模型在不同時點具有複數濃度。接著使用隨時間所量測的複數濃度值來與最接近的模型匹配(步驟508)。接著使用該最接近的模型來判定蝕刻速率(步驟512)。蝕刻速率係為所蝕刻之特徵部深度隨時間經過的增加量。為判定蝕刻速率、端點、均勻性、及選擇性,可使用單一量測值或複數量測值。端點會指示蝕刻何時完成。此可藉由到達停止層時或信號中斷時來判定。如上所提及,縱橫比為蝕刻深度相對於蝕刻寬度的比。由於特徵部的CD發展係擷取自該模型,因此可使用所量測之濃度來判定隨著所蝕刻特徵部之縱橫比之ER及選擇性的發展。均勻性係為蝕刻特徵部之均勻程度的量測值。可依據特徵部寬度或特 徵部密度而以不同的速率來蝕刻特徵部,而導致不均勻的蝕刻速率。可使用所量測之濃度來判定蝕刻速率的均勻性。選擇性係為一材料之蝕刻速率相對於另一材料之蝕刻速率之差異的量測值。在此範例中,選擇性可為矽氧化物相較於矽氮化物之蝕刻速率的差異。在該交替形式中,選擇性可為矽氧化物之蝕刻速率相對於遮罩材料或停止層之蝕刻速率的差異。可使用所量測之濃度值來判定蝕刻選擇性。
腔室設定係依據所量測之濃度值而改變(步驟420)。當未發現端點使用所量測之濃度值時(步驟424),繼續進行蝕刻製程且製程繼續回到步驟412。若發現蝕刻停止層時,則可藉由停止蝕刻氣體之流動或藉由停止來自電漿電源106之功率或兩者,來停止蝕刻。若判定ER過低,則可改變蝕刻參數(例如氣體或RF功率)以增加ER。若判定非均勻性過高,則可改變參數,例如饋送至腔室之不同區域的氣體,或ESC區的溫度,以改善均勻性。
加熱器316係用以將氣室132及排氣管146之壁部維持在120℃的溫度。該加熱動作會防止或減少排氣管146之壁部上的沉積作用。減少或消除排氣管之壁部上的沉積作用會使排氣管146之流動區域及排氣管146中的壓力保持更加恆定,其會容許更準確的數據讀取。該加熱動作亦可防止或減少球面鏡304上的沉積作用。消除或減少球面鏡304上的沉積作用會防止或減少因沉積作用所引起的反射性干擾。輸入光纖308及輸出光纖312的位置,以及球面鏡304的位置與形狀會使IR光束能被球面鏡304反射多次,而使IR光束橫截氣室多次,以自輸入光纖308傳遞至輸出光纖312,因此容許次ppb層級的偵測極限。壓力計324亦連接至控制器124。由壓力計324所提供的壓力量測值可用於計算副產物之濃度。如所顯示,可將球面鏡304及輸入光纖308的入射角設置成可使各反射位於較高位置的情況,而形成直立式的鋸齒形(如所顯示),直至輸出光纖312被所反射的IR光束所觸及為止。
圖6係為處理工具600的頂視圖,且處理工具600包含複數電漿處理腔室,其用於實施例中。負載鎖站604運作以傳送晶圓來回於大氣與真空傳送模組(VTM,vacuum transport module)612的真空之間。VTM 612係為處理工具600的一部分,且連接至複數電漿處理腔室608。電漿處理腔室608可提供相同的製程或不同的製程。在此實施例中,單一QCL可用於全部5個電漿處理腔室608。其他實施例可支持另一數量的電漿處理腔室,例如支持6個電漿處理腔室。
圖7係為處理工具之偵測與控制系統700的示意圖,其中該處理工具具有含有單一QCL 720的濃度偵測系統。QCL 720提供被引導至光纖756之末端的IR雷射光束752,其使IR雷射光束752分離。光纖756將IR雷射光束引導至氣室716。各別的偵測器712係與電漿處理腔室相關聯,以使各電漿處理腔室具有一專用的偵測器712。各偵測器712接收已在氣室716中被反射多次的IR雷射光束。將來自偵測器712的輸出提供至接收器728的類比數位轉換器(ADC,analog to digital converter)732。接收器可具有ARM、DSP、或FPGA系統736,其可連接至QCL,以便控制QCL來調整至不同的波長。在此範例中,系統736使QCL在整個波長的範圍間循環,以便掃描副產物的吸收頻帶,並推斷其濃度。接收器728亦可具有乙太網路裝置740,其可用以與控制器704建立網路連線。控制器704係與圖1中的控制器124相同,用以控制電漿處理腔室100的各種部件。在此實施例中,各電漿處理腔室100具有專用的控制器704。在其他實施例中,控制器可用以控制不只一電漿處理腔室。由於數個腔室僅需一單一QCL,此實施例可在較低成本下改良偵測。使用單一QCL的成本係較5個QCL低得多。在不同腔室間分享QCL的限制係由於該等偵測器的飽和度所致。使用較高功率的QCL容許與較高數量的腔室一起使用該QCL。
將氣室緊接著置於排氣泵浦後的優點為,在排氣泵浦後之氣體較處理腔室中的氣體更為密集,且延遲或量測滯後的情況最小。此外,在處理腔室 中不使反射性的表面暴露於電漿,以使反射性的表面免於被電漿降解。將氣室本體及鏡加熱至高達120℃,以減少聚合物及微粒在其內壁上的沉積作用,該沉積作用可能會造成感測器偵測極限的效能降低。另外,可使N2氣體吹淨在鏡周圍流動,以使氣體接觸及沉積作用最小化。可使額外的塗層(例如MgF2)沉積於鏡上,以保護鏡免於在處理期間或腔室排氣期間被酸性副產物(例如HF)所蝕刻。
在其他實施例中,氣室係位於電漿處理腔室中,例如在電漿區域周圍。圖8係為可用於實行此類實施例時的蝕刻反應器之示意圖。蝕刻反應器800包含提供氣體入口的氣體分配板806以及卡盤808,其位於蝕刻腔室849內,被腔室壁850所包圍。在蝕刻腔室849內,將在其上形成堆疊體的基板804放置於卡盤808之頂部。卡盤808可提供來自ESC來源848的偏壓而作為靜電卡盤(ESC,electrostatic chuck)以用於固持基板804,或可使用另一夾持應力來固持基板804。氣體源824係藉由分配板806而連接至蝕刻腔室849。電漿侷限護罩(在此實施例中,其為C形護罩802)圍繞著電漿容積。QCL雷射器860及IR偵測器864係可控制地連接至控制器835。第一及第二光纖862及866係分別光學地連接於C形護罩802內部與QCL雷射器860及IR偵測器864之間。在此範例中,使用電容耦合來產生電漿。加州費利蒙Lam Research Corporation的Flex可用以利用電容耦合來實行實施例,以蝕刻DRAM及3D NAND結構。在其他實施例中,可使用其他功率耦合系統。QCL雷射器860具有調整範圍,其將涵蓋超過SiF4之IR頻帶的頻帶,且額外的調整可用以偵測類似CxFy聚合物沉積的任何沉積作用,以使雷射器可監測SiF4的峰值,且同時監測其他膜的峰值,並可追蹤鏡及窗口及氣室上之沉積作用的程度。此等量測值可用於追蹤光學系統的狀態,以判定系統的維持性以及量測值的可靠性。
圖9係為由C形護罩802所形成之電漿容積的示意性頂視圖。第一凹面鏡904係形成於C形護罩的一側上,而第二凹面鏡908係形成於C形護罩的第 二側上,與第一凹面鏡904相對。在此實施例中,第一鏡係連接至第一及第二光纖862、866,並提供第一及第二窗口912、916,以容許IR光束924傳遞至電漿容積中,以及傳遞出電漿容積外。在此實施例中,來自QCL的IR光束924藉由第一光纖862傳遞,通過第一窗口912進入電漿容積,在第一與第二凹面鏡904、908間被反射多次,通過第二窗口916而傳遞至第二光纖866,然後傳遞至IR偵測器。
在一實施例中,C形護罩為多晶矽。第一與第二凹面鏡904、908可為C形護罩之高度拋光的部分,其中曲率係為了符合所需的凹度而設定。窗口係形成於C形護罩的表面中。
在電漿容積內提供偵測作業能降低量測滯後時間。然而,電漿容積內的氣體濃度係較排氣裝置中的氣體濃度低得多。此情況可藉由增加光徑之長度而部分地抵消。此外,電漿可能會更快地使反射性表面及窗口降解。
圖10係為另一實施例的頂視圖。此實施例顯示具有圓形橫截面的外罩1004。外罩可為圍繞電漿容積的C形護罩,或可為在排氣泵浦之後圍繞排氣容積的氣室。在許多實施例及請求項中,用語「氣室」包含外罩內的氣體容積(例如氣室132),或者外罩中的電漿容積(例如C形護罩內的電漿容積)。在此範例中,整個圓形表面為反射性的,或者複數鏡係定位於圓形表面的圓周周圍。在此實施例中,IR光束1008在自第一光纖1012行進至第二光纖1016時會形成星型圖案。在其他實施例中,光徑可被沿著C形護罩周界之環面鏡結構所引導。在C形護罩上反射的數量係由光的輸入角度所控制,且光徑可具有星多邊形。C形護罩可為介電質元件,其可為反射性的,或可具有反射性的襯墊。
可使用其他星形路徑(例如八角星或十角星)來增加路徑長度。在其他實施例中,可使直立式路徑(如圖3中所示)與星形路徑結合,以產生螺旋型的路徑。
圖11係為另一實施例的頂視圖,其將內環形反射鏡1104設置於外環形反射鏡1108的中央。在此範例中,將偵測器1120設置於內環形反射鏡1104的內部。在此實施例中,IR光束1112係自第一光纖1116以星形圖案於內環形反射鏡1104與外環形反射鏡1108之間反射至內環形反射鏡1104中之窗口,然後再反射至偵測器1120。此實施例提供前級管線(foreline)量測,且提供更加密實的氣室。
在其他實施例中,可從腔室的遠端量測電漿。
各種實施例對於提供記憶體置裝置(例如DRAM及3D-NAND裝置)係有用的。在各種實施例中,電漿製程係為含矽層或低k介電質層的蝕刻製程。在各種實施例中,RF功率可為感應耦合的或電容耦合的。在其他實施例中,可蝕刻交替的矽氧化物及多晶矽層(OPOP)。
儘管已藉由許多較佳實施例來描述本揭露內容,但仍有許多落於本揭露內容範疇內之替換、變更、修改、及各種置換均等物。應注意,有許多實施本揭露內容之方法及設備的替代性方式。因此欲使以下隨附請求項解釋為包含所有落於本揭露內容之真正精神及範疇內的此類替換、變更、及各種置換均等物。
404‧‧‧步驟
408‧‧‧步驟
412‧‧‧步驟
416‧‧‧步驟
420‧‧‧步驟
424‧‧‧步驟

Claims (15)

  1. 一種用於處理基板之設備,其包含:處理腔室;基板支撐體,其位於該處理腔室內;氣體入口,其用於將製程氣體提供至該處理腔室中,其中當基板於該處理腔室中進行製程處理時,該製程提供氣體副產物;氣體源,其用於將該製程氣體提供至該氣體入口;排氣泵浦,其用於自該處理腔室泵抽氣體;氣體副產物量測系統,其包含:紅外線光源;及紅外線偵測器;及控制器,其可控制地連接至該氣體源及該紅外線光源,且其接收來自該紅外線偵測器的信號,其中該控制器包含:至少一處理器;及電腦可讀媒體,其包含:記憶體,其儲存濃度模型,該濃度模型用於藉由使蝕刻模型與隨時間量測之該氣體副產物的濃度相關聯而預測隨縱橫比變化的蝕刻速率,該縱橫比為蝕刻深度對於蝕刻寬度之比,該蝕刻模型係用於具有大於30:1之高縱橫比的3D記憶體裝置,其中臨界尺寸(CD)的發展係基於該蝕刻速率隨著受蝕刻之特徵部之該縱橫比的發展而擷取自該濃度模型;用於使該製程氣體流至該處理腔室中的電腦可讀碼;用於處理來自該紅外線偵測器之資料的電腦可讀碼; 用於使用所處理之來自該紅外線偵測器的資料以判定該氣體副產物之濃度的電腦可讀碼;用於使用該濃度模型及所判定之該氣體副產物的濃度以判定隨著受蝕刻之該特徵部之該縱橫比的該蝕刻速率以便擷取受蝕刻之該特徵部之該CD的發展的電腦可讀碼;及用於基於依據該濃度模型所判定之該氣體副產物的濃度至少調整該製程氣體進入該處理腔室之流動而藉以控制受蝕刻之該特徵部之該CD的電腦可讀碼。
  2. 如申請專利範圍第1項之用於處理基板之設備,更包含氣室,其具有反射鏡,該等反射鏡在來自該紅外線光源的光觸及該紅外線偵測器前,反射來自該紅外線光源的光複數次而通過該氣室。
  3. 如申請專利範圍第2項之用於處理基板之設備,其中該紅外線光源為量子級串列雷射。
  4. 如申請專利範圍第2項之用於處理基板之設備,其中該氣室自該排氣泵浦接收氣體。
  5. 如申請專利範圍第2項之用於處理基板之設備,其中該等反射鏡係由該氣室之側部所形成。
  6. 如申請專利範圍第1項之用於處理基板之設備,更包含:第二處理腔室;第二基板支撐體,其位於該第二處理腔室內;第二氣體入口,其用於將該製程氣體提供至該第二處理腔室中;其中該氣體副產物量測系統更包含:第二紅外線偵測器,其與該第二處理腔室相關聯,其中該紅外線偵測器與該處理腔室相關聯;及 光束分離器,其將來自該紅外線光源之光束的部分引導至該紅外線偵測器,而將來自該紅外線光源之光束的部分引導至該第二紅外線偵測器;且其中該控制器接收來自該第二紅外線偵測器的信號。
  7. 如申請專利範圍第1項之用於處理基板之設備,其中該紅外線光源為量子級串列雷射。
  8. 如申請專利範圍第1項之用於處理基板之設備,其中該3D記憶體裝置包含:複數交替的矽氧化物及矽氮化物層、或複數交替的矽氧化物及多晶矽層。
  9. 如申請專利範圍第1項之用於處理基板之設備,其中該電腦可讀媒體更包含:用於使用所判定之該氣體副產物的濃度與該濃度模型以判定處理速率及端點的電腦可讀碼。
  10. 如申請專利範圍第1項之用於處理基板之設備,其中該濃度模型具有包含RF功率、於不同區域中的氣體饋送、以及區域溫度之至少一者的製程參數。
  11. 如申請專利範圍第1項之用於處理基板之設備,其中該電腦可讀媒體更包含:用於使用所判定之該氣體副產物的濃度以判定製程之均勻性的電腦可讀碼;及用於使用所判定之該氣體副產物的濃度以調整該製程氣體進入該處理腔室之流動而控制該蝕刻製程之均勻性的電腦可讀碼。
  12. 如申請專利範圍第1項之用於處理基板之設備,更包含加熱器,其中該氣體副產物量測系統更包含氣室,以及其中該電腦可讀媒體更包含: 用於使用該加熱器以將該氣室加熱至高達120℃的電腦可讀碼。
  13. 如申請專利範圍第1項之用於處理基板之設備,其中該記憶體儲存複數濃度模型,以及其中用於使用所處理之資料的該電腦可讀碼,包含:用於比較所處理的資料與該複數濃度模型以找出最接近之匹配模型的電腦可讀碼。
  14. 如申請專利範圍第13項之用於處理基板之設備,其中該3D記憶體裝置包含:複數交替的矽氧化物及矽氮化物層、或複數交替的矽氧化物及多晶矽層。
  15. 如申請專利範圍第1項之用於處理基板之設備,其中該氣體副產物量測系統用以提供該氣體副產物之絕對密度的十億分之一(<10ppb)層級之量測值作為其濃度,藉以隨著時間以十億分之一層級之準確性量測該氣體副產物的濃度。
TW105130094A 2015-09-23 2016-09-19 處理速率決定設備 TWI734700B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/863,211 US20170084426A1 (en) 2015-09-23 2015-09-23 Apparatus for determining process rate
US14/863,211 2015-09-23

Publications (2)

Publication Number Publication Date
TW201724247A TW201724247A (zh) 2017-07-01
TWI734700B true TWI734700B (zh) 2021-08-01

Family

ID=58283137

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105130094A TWI734700B (zh) 2015-09-23 2016-09-19 處理速率決定設備

Country Status (4)

Country Link
US (1) US20170084426A1 (zh)
KR (1) KR102595434B1 (zh)
CN (1) CN106548960B (zh)
TW (1) TWI734700B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9735069B2 (en) 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
US10302553B2 (en) 2017-08-30 2019-05-28 Lam Research Corporation Gas exhaust by-product measurement system
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
US10930478B2 (en) * 2018-05-24 2021-02-23 Lam Research Corporation Apparatus with optical cavity for determining process rate
KR102587626B1 (ko) * 2018-09-10 2023-10-11 삼성전자주식회사 건식 세정 장치 및 건식 세정 방법
WO2020106297A1 (en) * 2018-11-21 2020-05-28 Lam Research Corporation Method for determining cleaning endpoint
JP7186646B2 (ja) * 2019-03-22 2022-12-09 東京エレクトロン株式会社 基板処理装置および載置台上のフォーカスリングの有無の検知方法
CN116453931B (zh) * 2023-06-09 2023-10-20 江苏天芯微半导体设备有限公司 晶圆处理设备
CN117637554B (zh) * 2024-01-24 2024-05-17 北京北方华创微电子装备有限公司 晶圆刻蚀或沉积及模型获取方法、半导体工艺设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525627A (en) * 1982-05-06 1985-06-25 A V L Gesellschaft fur Verbrennungskraftmaschinen und Messtechnik m.b.H. Method and device for continuous measurement of the mass of aerosol particles in gaseous samples
US5352902A (en) * 1992-07-06 1994-10-04 Tokyo Electron Kabushiki Kaisha Method for controlling plasma surface-treatments with a plurality of photodetectors and optical filters
US5949537A (en) * 1996-04-18 1999-09-07 American Air Liquide Inc. In-line cell for absorption spectroscopy
US6351683B1 (en) * 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US20040060659A1 (en) * 2002-09-27 2004-04-01 Natsuyo Morioka Etching system and etching method
US20120242989A1 (en) * 2011-03-24 2012-09-27 The Laser Sensing Company Multipass Cell Using Spherical Mirrors While Achieving Dense Spot Patterns

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US594537A (en) * 1897-11-30 Arthur kitson
US5963336A (en) * 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
US5966586A (en) * 1997-09-26 1999-10-12 Lam Research Corporation Endpoint detection methods in plasma etch processes and apparatus therefor
JP2000260684A (ja) * 1999-03-08 2000-09-22 Nikon Corp 露光装置、及び照明装置
AU2001251216A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
WO2014109126A1 (ja) * 2013-01-11 2014-07-17 富士電機株式会社 レーザ式ガス分析計
US9240420B2 (en) * 2013-09-06 2016-01-19 Sandisk Technologies Inc. 3D non-volatile storage with wide band gap transistor decoder
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
KR102323319B1 (ko) * 2015-08-28 2021-11-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US9735069B2 (en) * 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525627A (en) * 1982-05-06 1985-06-25 A V L Gesellschaft fur Verbrennungskraftmaschinen und Messtechnik m.b.H. Method and device for continuous measurement of the mass of aerosol particles in gaseous samples
US5352902A (en) * 1992-07-06 1994-10-04 Tokyo Electron Kabushiki Kaisha Method for controlling plasma surface-treatments with a plurality of photodetectors and optical filters
US5949537A (en) * 1996-04-18 1999-09-07 American Air Liquide Inc. In-line cell for absorption spectroscopy
US6351683B1 (en) * 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US20040060659A1 (en) * 2002-09-27 2004-04-01 Natsuyo Morioka Etching system and etching method
US20120242989A1 (en) * 2011-03-24 2012-09-27 The Laser Sensing Company Multipass Cell Using Spherical Mirrors While Achieving Dense Spot Patterns

Also Published As

Publication number Publication date
CN106548960A (zh) 2017-03-29
CN106548960B (zh) 2020-06-26
TW201724247A (zh) 2017-07-01
KR20170039563A (ko) 2017-04-11
US20170084426A1 (en) 2017-03-23
KR102595434B1 (ko) 2023-10-27

Similar Documents

Publication Publication Date Title
TWI734700B (zh) 處理速率決定設備
US11056322B2 (en) Method and apparatus for determining process rate
KR102422230B1 (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
JP7189719B2 (ja) プロセスパラメータを決定するための方法及び装置
US8900470B2 (en) Differential measurements for endpoint signal enhancement
US10636686B2 (en) Method monitoring chamber drift
KR102554542B1 (ko) 가스 배기 부산물 측정 시스템
US20210142991A1 (en) Apparatus with optical cavity for determining process rate
WO2020106297A1 (en) Method for determining cleaning endpoint
WO2024020024A1 (en) Plasma monitoring and plasma density measurement in plasma processing systems
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
WO2024015694A1 (en) Plasma detection in semiconductor fabrication apparatuses
Samara et al. In-situ monitoring of etch uniformity using plasma emission interferometry