TWI731994B - 用於介電蝕刻腔室之腔室填充物套組 - Google Patents

用於介電蝕刻腔室之腔室填充物套組 Download PDF

Info

Publication number
TWI731994B
TWI731994B TW106121340A TW106121340A TWI731994B TW I731994 B TWI731994 B TW I731994B TW 106121340 A TW106121340 A TW 106121340A TW 106121340 A TW106121340 A TW 106121340A TW I731994 B TWI731994 B TW I731994B
Authority
TW
Taiwan
Prior art keywords
filler
chamber
hole
cover
cavity
Prior art date
Application number
TW106121340A
Other languages
English (en)
Other versions
TW201816917A (zh
Inventor
班森 Q 湯
哈密特 席恩
約翰 霍藍德
萊恩 白斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201816917A publication Critical patent/TW201816917A/zh
Application granted granted Critical
Publication of TWI731994B publication Critical patent/TWI731994B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種在一介電蝕刻腔室中用於平衡電場的腔室填充物套組。一傳送模組填充物包含:一電導體;一抗蝕刻表面,其中該抗蝕刻表面包含匹配該蝕刻腔室之一部分圓柱狀的孔之一內彎曲表面;及一晶圓傳送口孔,其中該傳送模組填充物係裝配進該蝕刻腔室的一傳送口孔中。一傳送模組密封板係配置成機械及電連接至該部分圓柱狀的腔體及該傳送模組填充物。一偏壓外罩填充物係配置成機械及電連接至一偏壓外罩壁且包含一導體及一抗蝕刻表面,其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一彎曲表面。

Description

用於介電蝕刻腔室之腔室填充物套組
本揭示內容關於半導體元件的生產。更具體而言,本揭示內容關於在形成半導體元件中之基板的電漿處理。
在半導體晶圓處理期間,特徵部可被蝕刻進一介電層。
為實現上述內容且根據本揭示內容之目的,提供一實施例,該實施例提供在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室包含:一部分圓柱狀的腔體,該部分圓柱狀的腔體具有一部分圓柱狀的孔,該部分圓柱狀的孔具有一傳送口孔及與該傳送口孔相對的一偏壓外罩口孔;及毗鄰該偏壓外罩口孔的一偏壓外罩壁。一傳送模組填充物包含:一電導體;一抗蝕刻表面,其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一內彎曲表面;及一晶圓傳送口孔,用於允許一晶圓及一機器手臂通過進入該部分圓柱狀的孔,其中該傳送模組填充物係裝配進該傳送口孔中且佔據該傳送口孔之體積的至少一半。一傳送模組密封板係配置成機械及電連接至該部分圓柱狀的腔體及該傳送模組填充物,且包含一密封件,用於在該傳送口孔周圍產生密封。一偏壓外罩填充物係配置成機械及電連接至該偏壓外罩壁且包含一導體及一抗蝕刻表面,其中該偏壓外罩填充物佔據該偏壓外罩口孔之體積的至少75%,且其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一彎曲表面。
在另一表現形式中,一實施例提供在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室包含:一部分圓柱狀的腔體,該部分圓柱狀的腔體具有一部分圓柱狀的孔,該部分圓柱狀的孔具有一傳送口孔及一偏壓外罩口孔;及毗鄰該偏壓外罩口孔的一偏壓外罩壁。一傳送模組填充物包含:一電導體;一抗蝕刻表面,其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一內彎曲表面;及一晶圓傳送口孔,用於允許一晶圓及一機器手臂通過進入該部分圓柱狀的孔,其中該傳送模組填充物係裝配進該傳送口孔中且佔據該傳送口孔之體積的至少一半。一偏壓外罩填充物係配置成機械及電連接至該偏壓外罩壁。該偏壓外罩填充物包含一導體及一抗蝕刻表面,其中該偏壓外罩填充物佔據該偏壓外罩口孔之體積的至少75%,且其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一彎曲表面。
本揭示內容的這些及其他特徵將以下列實施例的詳細敘述結合下列附圖描述更多細節。
本發明現將參照如隨附圖式中所說明的幾個較佳實施例詳細描述。在以下說明中,為了提供本發明的透徹理解,說明許多具體細節。然而,顯然地,對於熟習本項技術之人士而言,本發明可不具有某些或全部這些具體細節而實施。另一方面,為了不要不必要地模糊本發明,未詳細說明眾所周知的製程步驟及/或結構。
為了幫助理解,圖1係可在一實施例中使用之電漿處理系統100的示意橫剖面圖。在一實施例中,電漿處理系統100包含在處理腔室149之內由腔體150包圍的頂部中央電極106、頂部外電極104、底部中央電極108、及底部外電極110。底絕緣環112將底部中央電極108絕緣於底部外電極110。亦在處理腔室149之內的是基板180,基板180係位在底部中央電極108的頂部上。底部中央電極108形成靜電夾頭(ESC)和基板支座116的一部分,用於固持基板180。在此實施例中,底部外電極110和頂部外電極104具有直徑比基板180之直徑大的複數口孔,使得基板180係位在該等口孔之內。
氣體源124係連接至處理腔室149,且在蝕刻或開通過程期間將氣體供應進入處理腔室149的電漿區域。
偏壓RF源148、第一激發RF源152、及第二激發RF源156係藉由控制器135電連接至處理腔室149,以提供功率至電極104、106、108、及110。偏壓RF源148產生偏壓RF功率且將該偏壓RF功率供應至處理腔室149。在此例子中,偏壓RF功率具有2 MHz的頻率。第一激發RF源152產生來源RF功率且將該來源RF功率供應至處理腔室149。在此例子中,此來源RF功率具有27 MHz的頻率。除了由第一激發RF源152產生的RF功率之外,第二激發RF源156產生另一個來源RF功率且將該來源RF功率供應至處理腔室149。在此例子中,此來源RF功率具有60 MHz的頻率。溫度控制器160係加以連接以控制形成ESC之底部中央電極108的溫度。
不同的RF訊號可供應至頂部電極和底部電極的各種組合。較佳是,RF的最低頻率應藉由底部電極加以施加,待蝕刻材料係置放在該底部電極上,該底部電極在此例子中係底部中央電極108。在此例子中,頂部電極係接地的,且功率係僅提供至底部中央電極108。
控制器135係連接至氣體源124、溫度控制器160、偏壓RF源148、排氣幫浦120、第一激發RF源152、及第二激發RF源156。控制器135控制:流入處理腔室149之蝕刻氣體的流動;腔室壓力;以及來自三個RF源148、152、156之RF功率的產生;電極104、106、108、和110;及排氣幫浦120。
頂部中央電極106亦作為氣體分配板,該氣體分配板係連接至氣體源124,並作為來自氣體源124之氣體的氣體入口。排氣幫浦120作為移除氣體的氣體出口,該氣體從頂部中央電極106通過電漿區域至排氣幫浦120。該排氣幫浦120可幫助控制壓力。
由Lam Research Corporation™ of Fremont, California製造的Flex FL®介電蝕刻系統,可在本發明之一較佳的實施例中加以使用。在Flex EX+中,上電極係接地的。
腔體150具有偏壓外罩口孔,該偏壓外罩口孔係由偏壓外罩壁128加以密封。傳送模組口孔164係亦形成進入偏壓外罩壁128,且係適於允許基板180被傳送進出腔體150。基板支座116係加以連接且由偏壓外罩壁128透過連接件132加以支撐。電漿處理系統100係一可變間隙的系統,其中連接件132係能夠將基板支座116向上移動或向下移動,以改變在基板支座116和頂部中央電極106之間的間隙。因為腔體150具有偏壓外罩口孔,密封該偏壓外罩口孔的偏壓外罩壁128相對於腔體150的其他部分係更遠離基板180而加以配置。不對稱的靜電場係施加於基板180。
圖2A係腔體150的透視圖。腔體150係部分圓柱狀的腔體,因為該腔體具有形成彎曲內表面204之部分圓柱狀的孔,該彎曲內表面204形成部分圓柱狀的孔,如圖所示。偏壓外罩口孔208、傳送模組口孔212、通氣口216、觀察口220、及光學端口224係形成進入內表面204,導致該圓柱狀的孔不完整。在此實施例中,偏壓外罩口孔208係與傳送模組口孔212相對。
圖2B係腔體150在被旋轉且附接偏壓外罩壁128之後的透視圖,該偏壓外罩壁128覆蓋及密封偏壓外罩口孔,且其中腔體150頂部係加以移除。連接件132將基板支座116連接至偏壓外罩壁128。
圖3係已在腔室中處理之基板180的示意頂視圖。較暗區域304標示低於平均蝕刻速率的區域。較亮區域308標示具有高於平均蝕刻速率的區域。蝕刻深度的範圍係3.0 nm,其中3標準差分布係2.4 nm。在某些需求下,此蝕刻速率變化係不可接受的,因為此變異數造成太多缺陷。
圖4係根據一實施例配置之電漿處理系統100的示意橫剖面圖。偏壓外罩填充物404係附接於偏壓外罩壁且填充偏壓外罩口孔。傳送模組密封板420在傳送模組口孔周圍提供密封且提供較小的口孔422。傳送模組填充物424係附接於傳送模組密封板420且填充該傳送模組口孔的至少一部分。
圖5係在已安裝套組的實施例之後之腔體150的透視圖。偏壓外罩填充物508係在偏壓外罩口孔中加以置放。偏壓外罩填充物508具有內部彎曲表面512,該內部彎曲表面512係與形成該部分圓柱狀的孔之彎曲內表面204齊平,使得彎曲表面512有助於使該圓柱狀的孔完整。傳送模組密封板420在傳送模組口孔周圍提供密封。通氣口填充物516係置放在通氣口中。在此實施例中,通氣口填充物516填充通氣口的整個橫剖面,除了具有小於通氣口之橫剖面面積的四分之一之橫剖面面積的單一通氣口填充物孔520之外。較佳是,至少一部分的通氣口填充物516係導電材料。觀察口蓋524係在觀察口上方加以置放。如圖所示,觀察口蓋524包含複數觀察孔及具有抗蝕刻表面的導體。較佳是,該等觀察孔的總面積係小於該觀察口之橫剖面面積的四分之一。較佳是,觀察口蓋524係加以彎曲以匹配圓柱狀的孔之彎曲表面。然而,由於觀察口的橫剖面面積與圓柱狀的孔之表面積相比係足夠小,所以平的觀察口蓋可在一些實施例中加以使用。光學口蓋528係在光學端口上方加以置放。如圖所示,光學口蓋528包含複數光學孔及具有抗蝕刻表面的導體。較佳是,該等光學孔的總面積係小於該光學端口之橫剖面面積的四分之一。較佳是,光學口蓋528係加以彎曲以匹配圓柱狀的孔之彎曲表面。然而,由於光學端口的橫剖面面積與圓柱狀的孔之表面積相比係足夠小,所以平的光學口蓋可在一些實施例中加以使用。
圖6係與偏壓外罩填充物508一起之偏壓外罩壁128、連接件132、及基板支座116之更詳細的視圖。在此實施例中,偏壓外罩填充物係由具有內部彎曲表面512的兩個楔形部件所形成,該內部彎曲表面512係形狀設計成當形成部分圓柱狀的孔之彎曲內表面204係安裝在腔體150內時與該彎曲內表面204齊平或匹配,如圖5所示。在此實施例中,每一楔形部件包含具有陽極氧化鋁之抗蝕刻表面之鋁的導體。在此示例中,該抗蝕刻表面形成彎曲表面512,及導體表面的其餘表面518。在其他實施例中,更多導體的表面係形成為陽極氧化鋁的抗蝕刻表面。較佳是,為了允許楔形部件接地至偏壓外罩壁128,該表面的其中一些不是陽極氧化鋁。偏壓外罩填充物的體積係偏壓外罩口孔之體積的至少75%。
圖7係傳送模組密封板420的放大透視圖。圖8係連接至傳送模組密封板420之傳送模組填充物804的放大透視圖。傳送模組填充物804包含電導體(其在此實施例中係鋁)、抗蝕刻表面812、及晶圓傳送填充物口孔816。在此實施例中,抗蝕刻表面812係與腔室之部分圓柱狀的孔匹配之彎曲表面的一部分。該抗蝕刻表面812可為陽極氧化鋁。沒有曝露於電漿的其他表面824可為傳送模組填充物804之導電體的表面。在其他實施例中,更多導體的表面可製成抗蝕刻的。較佳是,為了允許導體接地至傳送模組密封板420或腔體150,該表面的一些部件係導體的導電表面。晶圓傳送填充物口孔816的體積係小於傳送模組口孔之體積的一半。晶圓傳送填充物口孔816具有橫剖面面積足以允許由機器手臂支撐之晶圓被傳送進出腔體150。
圖9係在根據一實施例改裝的腔室中被處理之基板180的示意頂視圖。蝕刻在整個基板係更均勻,其中蝕刻深度的範圍係1.1 nm,3標準差分布係0.9 nm。此範圍及分布已被發現係可接受的。
不受理論限制,吾人相信由口孔產生之靜電不對稱造成不均勻的蝕刻。這些由口孔產生的不對稱性亦干擾氣流,其可能產生額外不均勻的處理。吾人相信由類似於腔室的導電材料加以形成之改裝套組有助於校正由不對稱腔室產生的靜電不對稱,其提供更均勻的結果。吾人亦相信套組可改善物理對稱性,其亦可提供更均勻的氣流。更均勻的靜電場及氣流提供更均勻之基板的處理。
一些實施例允許接地的電漿。各種元件係附接於接地的側壁。各種實施例允許支撐件垂直移動基板支座以調整在基板支座上方的間隙而提供處理桿。
雖然本發明已由幾個較佳的實施例加以描述,但仍存在變更、置換、變化、及各種替代等同物,其皆落入本發明的範疇之內。亦應注意有許多替代的方式實施本發明的方法及設備。因此,下列隨附申請專利範圍意欲被解釋為包含落入本發明的真實精神及範圍內的所有這些變更、置換及各種替代等同物。
100‧‧‧電漿處理系統104‧‧‧頂部外電極106‧‧‧頂部中央電極108‧‧‧底部中央電極110‧‧‧底部外電極112‧‧‧底絕緣環116‧‧‧基板支座120‧‧‧排氣幫浦124‧‧‧氣體源128‧‧‧偏壓外罩壁132‧‧‧連接件135‧‧‧控制器148‧‧‧偏壓RF源149‧‧‧處理腔室150‧‧‧腔體152‧‧‧第一激發RF源156‧‧‧第二激發RF源160‧‧‧溫度控制器164‧‧‧傳送模組口孔180‧‧‧基板204‧‧‧內表面208‧‧‧偏壓外罩口孔212‧‧‧傳送模組口孔216‧‧‧通氣口220‧‧‧觀察口224‧‧‧光學端口304‧‧‧較暗區域308‧‧‧較亮區域404‧‧‧偏壓外罩填充物420‧‧‧傳送模組密封板422‧‧‧口孔424‧‧‧傳送模組填充物508‧‧‧偏壓外罩填充物512‧‧‧彎曲表面516‧‧‧通氣口填充物518‧‧‧其餘表面520‧‧‧單一通氣口填充物孔524‧‧‧觀察口蓋528‧‧‧光學口蓋804‧‧‧傳送模組填充物812‧‧‧抗蝕刻表面816‧‧‧晶圓傳送填充物口孔824‧‧‧其他表面
在隨附圖式的圖中,本揭示內容以示例為目的而不是以限制為目的加以說明,且其中類似的參考數字係關於相似的元件,且其中:
圖1係在一實施例中使用之處理腔室的示意圖。
圖2A係腔體的透視圖。
圖2B係移除頂部之處理腔室的透視圖。
圖3係已在腔室中處理之基板的頂視圖。
圖4係具有套組之實施例之處理腔室的示意圖。
圖5係移除頂部及具有套組之實施例之處理腔室的透視圖。
圖6係偏壓外罩填充物之更詳細的透視圖。
圖7係傳送模組密封板的透視圖。
圖8係具有傳送模組填充物之傳送模組密封板的透視圖。
圖9係在具有套組之腔室中被處理之基板的頂視圖。
116‧‧‧基板支座
128‧‧‧偏壓外罩壁
150‧‧‧腔體
204‧‧‧內表面
420‧‧‧傳送模組密封板
508‧‧‧偏壓外罩填充物
512‧‧‧彎曲表面
516‧‧‧通氣口填充物
520‧‧‧單一通氣口填充物孔
524‧‧‧觀察口蓋
528‧‧‧光學口蓋

Claims (17)

  1. 一種在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室包含:一部分圓柱狀的腔體,該部分圓柱狀的腔體具有一部分圓柱狀的孔,該部分圓柱狀的孔具有一傳送口孔及與該傳送口孔相對的一偏壓外罩口孔;及毗鄰該偏壓外罩口孔的一偏壓外罩壁,該腔室填充物套組包含:一傳送模組填充物,包含:一電導體;一抗蝕刻表面,其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一內彎曲表面;及一晶圓傳送口孔,用於允許一晶圓及一機器手臂通過進入該部分圓柱狀的孔,其中該傳送模組填充物係裝配進該傳送口孔中且佔據該傳送口孔之體積的至少一半;一傳送模組密封板,配置成機械及電連接至該部分圓柱狀的腔體及該傳送模組填充物,該傳送模組密封板包含一密封件,用於在該傳送口孔周圍產生密封,其中該傳送模組密封板係安裝在該介電蝕刻腔室之一腔壁的一外側上,並且在該傳送模組密封板與該腔壁的該外側之間產生密封,且其中該晶圓傳送口孔延伸穿過該傳送模組密封板以允許晶圓及機器手臂通過該傳送模組密封板;及一偏壓外罩填充物,配置成機械及電連接至該偏壓外罩壁,包含:一導體;及 一抗蝕刻表面,其中該偏壓外罩填充物佔據該偏壓外罩口孔之體積的至少75%,且其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一彎曲表面。
  2. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室更包含機械連接至該偏壓外罩壁的一基板支座,其中該偏壓外罩填充物形成至少部分圍繞該基板支座至該偏壓外罩壁之一連接件的一口孔。
  3. 如申請專利範圍第2項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中,該傳送模組填充物及該偏壓外罩填充物的導體包含鋁,且該偏壓外罩填充物及該傳送模組填充物的抗蝕刻表面包含陽極氧化鋁。
  4. 如申請專利範圍第3項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含一通氣口,且其中該腔室填充物套組更包含一通氣口填充物,其中該通氣口填充物包含:一導體;一抗蝕刻表面;及一通氣孔,其中該通氣孔具有小於該通氣口之橫剖面面積之四分之一的橫剖面面積。
  5. 如申請專利範圍第4項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含至少一觀察口,且其中該腔室填充物套組更包含一觀察口蓋,該觀察口蓋包含:一導體;一抗蝕刻表面;及複數觀察孔,其中該等觀察孔的總面積係小於該觀察口之橫剖面面積的四分之一。
  6. 如申請專利範圍第5項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該基板支座係可移動的,且其中該偏壓外罩填充物的該口孔允許該基板支座的移動。
  7. 如申請專利範圍第6項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含至少一光學端口,且其中該腔室填充物套組更包含一光學口蓋,該光學口蓋包含:一導體;一抗蝕刻表面;及複數光學孔,其中該等光學孔的總面積係小於該光學端口之橫剖面面積的四分之一。
  8. 如申請專利範圍第7項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中,該腔室填充物套組提供通過圓柱狀腔室之一更對稱的氣體流。
  9. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中,該傳送模組填充物及該偏壓外罩填充物的導體包含鋁,且該偏壓外罩填充物及該傳送模組填充物的抗蝕刻表面包含陽極氧化鋁。
  10. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含一通氣口,且其中該腔室填充物套組更包含一通氣口填充物,其中該通氣口填充物包含:一導體;一抗蝕刻表面;及一通氣孔,其中該通氣孔具有小於該通氣口之橫剖面面積之四分之一的橫剖面面積。
  11. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含至少一觀察口,且其中該腔室填充物套組更包含一觀察口蓋,該觀察口蓋包含:一導體;一抗蝕刻表面;及複數觀察孔,其中該等觀察孔的總面積係小於該觀察口之橫剖面面積的四分之一。
  12. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室更包含一基板支座,該基板支座係透過一連接件而機械連接至該偏壓外罩壁,該連接件係從該偏壓外罩壁延伸 到該基板支座,其中該偏壓外罩填充物形成至少部分圍繞該連接件的一口孔,且其中該連接件係配置成使該基板支座向上移動或向下移動,且其中該偏壓外罩填充物的該口孔允許該連接件的移動。
  13. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該部分圓柱狀的腔體更包含至少一光學端口,且其中該腔室填充物套組更包含一光學口蓋,該光學口蓋包含:一導體;一抗蝕刻表面;及複數光學孔,其中該等光學孔的總面積係小於該光學端口之橫剖面面積的四分之一。
  14. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中,該腔室填充物套組提供通過圓柱狀腔室之一更對稱的氣體流。
  15. 如申請專利範圍第1項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室更包含一基板支座,該基板支座係透過一連接件而機械連接至該偏壓外罩壁,該連接件係從該偏壓外罩壁延伸到該基板支座,其中該偏壓外罩填充物形成至少部分圍繞該連接件的一口孔,且其中該連接件係配置成使該基板支座向上移動或向下移動,且其中該偏壓外罩填充物的該口孔允許該連接件的移動,其中該偏壓外罩填充物的該導體包含: 一第一楔形部件,其中該第一楔形部件的一表面形成該偏壓外罩填充物之該彎曲表面的一部分,其中該偏壓外罩填充物之該口孔的一部分係形成在該第一楔形部件中;及一第二楔形部件,其中該第二楔形部件的一表面形成該偏壓外罩填充物之該彎曲表面的一部分,其中該偏壓外罩填充物之該口孔的一部分係形成在該第二楔形部件中。
  16. 一種在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中該介電蝕刻腔室包含:一部分圓柱狀的腔體,該部分圓柱狀的腔體具有一部分圓柱狀的孔,該部分圓柱狀的孔具有一傳送口孔及一偏壓外罩口孔;及毗鄰該偏壓外罩口孔的一偏壓外罩壁,該腔室填充物套組包含:一傳送模組填充物,包含:一電導體;一抗蝕刻表面,其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一內彎曲表面;及一晶圓傳送口孔,用於允許一晶圓及一機器手臂通過進入該部分圓柱狀的孔,其中該傳送模組填充物係裝配進該傳送口孔中且佔據該傳送口孔之體積的至少一半;及一偏壓外罩填充物,配置成機械及電連接至該偏壓外罩壁,包含:一導體;及一抗蝕刻表面,其中該偏壓外罩填充物佔據該偏壓外罩口孔之體積的至少75%,且其中該抗蝕刻表面包含匹配該部分圓柱狀的孔之一彎曲表面。
  17. 如申請專利範圍第16項之在一介電蝕刻腔室中用於平衡電場的腔室填充物套組,其中,該傳送模組填充物及該偏壓外罩填充物的導體包含鋁,且該偏壓外罩填充物及該傳送模組填充物的抗蝕刻表面包含陽極氧化鋁。
TW106121340A 2016-07-01 2017-06-27 用於介電蝕刻腔室之腔室填充物套組 TWI731994B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/201,207 2016-07-01
US15/201,207 US20180005851A1 (en) 2016-07-01 2016-07-01 Chamber filler kit for dielectric etch chamber

Publications (2)

Publication Number Publication Date
TW201816917A TW201816917A (zh) 2018-05-01
TWI731994B true TWI731994B (zh) 2021-07-01

Family

ID=60807748

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121340A TWI731994B (zh) 2016-07-01 2017-06-27 用於介電蝕刻腔室之腔室填充物套組

Country Status (4)

Country Link
US (1) US20180005851A1 (zh)
KR (1) KR102243234B1 (zh)
CN (1) CN107564788B (zh)
TW (1) TWI731994B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10544842B2 (en) * 2017-03-24 2020-01-28 Ford Global Technologies, Llc One-way clutch for a vehicle
DE102022204358A1 (de) * 2022-05-03 2023-11-09 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US20130000411A1 (en) * 2011-07-01 2013-01-03 Commissariat A L'energie Atomique Et Aux Ene Alt Pressure measurement device having an optimized sensitivity
US20130068391A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US20130244440A1 (en) * 2012-03-15 2013-09-19 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US20160005666A1 (en) * 2014-07-03 2016-01-07 Skyworks Solutions, Inc. Endpoint booster systems and methods for optical endpoint detection

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6089543A (en) * 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
US20100101729A1 (en) * 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
US20120103524A1 (en) * 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
CN103022272A (zh) * 2012-12-22 2013-04-03 蚌埠玻璃工业设计研究院 一种制备非晶硅/非晶锗硅叠层太阳能电池薄膜的装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US20130000411A1 (en) * 2011-07-01 2013-01-03 Commissariat A L'energie Atomique Et Aux Ene Alt Pressure measurement device having an optimized sensitivity
US20130068391A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US20130244440A1 (en) * 2012-03-15 2013-09-19 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US20160005666A1 (en) * 2014-07-03 2016-01-07 Skyworks Solutions, Inc. Endpoint booster systems and methods for optical endpoint detection

Also Published As

Publication number Publication date
US20180005851A1 (en) 2018-01-04
KR20180004010A (ko) 2018-01-10
CN107564788B (zh) 2020-03-31
TW201816917A (zh) 2018-05-01
CN107564788A (zh) 2018-01-09
KR102243234B1 (ko) 2021-04-22

Similar Documents

Publication Publication Date Title
CN102315150B (zh) 用于等离子体处理室的可移动基环
US10727096B2 (en) Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
TWI721062B (zh) 電漿處理方法及電漿處理裝置
US11430636B2 (en) Plasma processing apparatus and cleaning method
CN107408486A (zh) 用于循环与选择性材料移除与蚀刻的处理腔室
US9011635B2 (en) Plasma processing apparatus
CN102142357A (zh) 等离子处理装置
KR20160140450A (ko) 플라즈마 처리 장치 및 포커스 링
TWI731994B (zh) 用於介電蝕刻腔室之腔室填充物套組
WO2020116248A1 (ja) プラズマ処理装置
KR20200051505A (ko) 배치대 및 기판 처리 장치
US20150294839A1 (en) Plasma processing apparatus and plasma processing method
US20240242943A1 (en) Wafer placement table
KR20130070089A (ko) 기판 처리 장치