TWI721270B - 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程 - Google Patents

用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程 Download PDF

Info

Publication number
TWI721270B
TWI721270B TW107116115A TW107116115A TWI721270B TW I721270 B TWI721270 B TW I721270B TW 107116115 A TW107116115 A TW 107116115A TW 107116115 A TW107116115 A TW 107116115A TW I721270 B TWI721270 B TW I721270B
Authority
TW
Taiwan
Prior art keywords
chamber
plasma
curing
flowable layer
flowable
Prior art date
Application number
TW107116115A
Other languages
English (en)
Other versions
TW201909234A (zh
Inventor
梁璟梅
孫顒
郭津睿
普拉凱特P 加
晶粲 李
則敬 龔
慕庫德 史瑞尼凡生
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201909234A publication Critical patent/TW201909234A/zh
Application granted granted Critical
Publication of TWI721270B publication Critical patent/TWI721270B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

本文揭露的實施方式係關於以可流動介電材料在基板中形成和填充溝槽的方法。在一個實施方式中,該方法包括以下步驟:使具有至少一個溝槽的基板歷經沉積製程,以自下而上的方式在溝槽的底表面和側壁表面上形成可流動層,直到可流動層達到預定的沉積厚度為止;使可流動層歷經第一固化處理,該第一固化處理是UV固化處理;使經UV固化的可流動層歷經第二固化處理,該第二固化處理是電漿或電漿輔助製程;及依序地且重複地執行沉積製程、第一固化處理和第二固化處理,直到經電漿固化的可流動層填充溝槽並在該溝槽的頂表面上達到預定高度為止。

Description

用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程
本揭露的實施方式一般係關於以可流動介電材料在基板中形成和填充溝槽的方法。
現今元件上溝槽的寬度已經縮小到使溝槽深度與寬度的深寬比高到難以用介電材料填充溝槽的程度。在溝槽完全填充之前,沉積介電材料容易在頂部堵塞,在溝槽的中間產生空隙或接縫。特別是當由於圖案負載效應而造成在溝槽的頂部和底部形成具有不同直徑的溝槽時,這問題會變得更嚴重。
因此,本領域需要新的沉積製程來解決上述問題。
本文揭露的實施方式係關於以可流動介電材料在基板中形成和填充溝槽的方法。在一個實施方式中,該方法包括:使具有至少一個溝槽的基板歷經沉積製程,以自下而上的方式在溝槽的底表面和側壁表面上形成可流動層,直到可流動層達到預定的沉積厚度為止;使可流動層歷經第一固化處理,該第一固化處理是UV固化處理;使經UV固化的可流動層歷經第二固化處理,該第二固化處理是電漿或電漿輔助製程;及依序地且重複地執行沉積製程、第一固化處理和第二固化處理,直到經電漿固化的可流動層填充溝槽並在溝槽的頂表面上達到預定高度為止。
在另一個實施方式中,該方法包括以下步驟:藉由使含矽前驅物與氧基自由基前驅物和氮基自由基前驅物反應來執行沉積製程,以在基板的溝槽內形成可流動層;固化在電漿腔室中的可流動層,其中第二處理腔室具有含氧環境或含氮環境;及依序地且重複地執行沉積製程和固化處理,直到經固化的可流動層填充溝槽並在溝槽的頂表面上達到預定高度為止。
在又一個實施方式中,提供了一種用於處理基板的群集工具。群集工具包括:負載閘腔室;耦合到該負載閘腔室的第一側的傳送腔室;耦合到傳送腔室的複數個第一處理腔室,其中第一處理腔室中之每一者是能夠執行可流動層沉積的沉積腔室;耦接至傳送腔室的複數個第二處理腔室,其中該第二處理腔室中的每一者是能夠執行固化處理的固化腔室;耦接至傳送腔室的複數個第三處理腔室,其中第三處理腔室中之每一者是能夠執行電漿固化處理的電漿腔室,以及耦合至負載閘腔室的第二側面的工廠介面。
在另一個實施方式中,群集工具包括:負載閘腔室;第一真空傳送腔室,耦合至負載閘腔室的第一側;第二真空傳送腔室;冷卻站,配置在第一真空傳送腔室和第二真空傳送腔室之間;工廠介面,耦合至負載閘腔室的第二側;複數個第一處理腔室,耦合至第一真空傳送腔室,其中第一處理腔室中之每一者是能夠執行可流動層沉積的沉積腔室;及複數個第二處理腔室,耦合至第二真空傳送腔室,其中第二處理腔室中之每一者是能夠執行電漿固化處理的電漿腔室。
圖1為一流程圖,示出了形成便於填充溝槽的可流動介電層的方法100中的選定操作。圖2A示出了基板200的一部分的示意性三維視圖。 圖2B- 2G是沿著圖2A的A-A線的半導體元件結構的一部分的示意性橫截面 圖。圖2B-2G示出了根據圖1的流程圖在各個製造階段期間圖2A的基板200。為了便於說明,將結合圖1和圖2A-2G進行說明。
方法100藉由將諸如圖2A中所示的基板200之類的基板轉移到沉積腔室的基板處理區域中而開始於方塊102。合適的沉積腔室可包括高密度電漿CVD腔室、電漿增強CVD腔室、次大氣壓CVD腔室等。可經適配以形成可流動的氧化物/氮化物層的示例性沉積腔室,包括Producer® ETERNA CVD® 系統或Ultima HDP CVD® 系統,二者皆可自美國加州聖克拉拉市的應用材料公司(Applied Materials, Inc.)取得。可以預期到, 也可以使用來自其他製造商的其他合適的沉積腔室。
基板200上形成有翼片202。每個翼片202可以作為將形成一或更多個元件的有效區。使用在基板200上執行的合適製程(包括屏蔽、光刻和/或蝕刻製程)來製造翼片202,以將溝槽204形成到基板200中,使翼片202從基板200向上延伸。
溝槽204的深寬比可以是約1:1、約2:1、約3:1、約5:1、約10:1、約15:1、約20:1、約30:1、約50:1、約100:1,或更高。在一些實施方式中,溝槽204的深寬比可以是約10:1至約30:1,例如約15:1。此處描述的術語「深寬比」,是指一特定特徵(例如,形成在基板200中的溝槽204)的高度尺寸與寬度尺寸的比值。
基板200可以是能夠在其上沉積材料的任何基板,諸如矽基板(例如,矽(摻雜或未摻雜)、晶體矽(例如,Si<100>或Si<111>)、氧化矽、摻雜或未摻雜的多晶矽等)、鍺基板、矽鍺(SiGe)基板、III-V族化合物基板(如砷化鎵基板)、碳化矽(SiC)基板、圖案化或未圖案化的半導體–絕緣體上(SOI)基板、 碳摻雜氧化物、氮化矽、顯示器基板,諸如液晶顯示器(LCD)、電漿顯示器、電致發光(EL)燈顯示器、太陽能電池陣列、太陽能電池板、發光二極管(LED)基板、玻璃、藍寶石,或諸如金屬、金屬合金和其他導電材料之類的任何其他材料。諸如各種N型金氧半導體(NMOS)和/或P型金氧半導體(PMOS)元件的一或更多個電子元件,例如電晶體、電容器、電阻器、二極體、光電二極體、熔絲等可以形成在基板200中。可以預期到,基板 200 不限於任何特定的尺寸或形狀。因此,基板 200 可以是具有200mm直徑、300mm直徑或其他直徑(例如,450mm等)的圓形基板。基板 200也可以是任何多邊形、正方形、矩形、曲形或其他非圓形工件。
在方塊104處,在基板200上形成可流動層206。可流動層206可以是至少包含矽的介電層。在一些實施例中,可流動層206是至少包含矽和氧的介電層 。在一些實施例中,可流動層206是至少包含矽和氮的介電層。在一些實施例中,可流動層206是至少含有矽、氧和氮的介電層。在可流動層206是包含矽、氧和氮的介電層的情況下,可以將含矽前驅物、氧基自由基前驅物和氮基自由基前驅物引入沉積腔室中以在基板200上形成可流動層206。可流動層206可沉積在基板200的暴露表面上並填充溝槽204。在一個實施例中,如圖2B所示,可流動層206係形成在溝槽204的底表面207上並沿溝槽204的側壁表面209形成。合適的可流動層206可包括(但不限於)SiC、SiO、SiCN、SiO2 、SiOC、SiOCN、SiON或SiN。或者,可流動層206可以不含有可追蹤量的碳(即,無碳)。
可流動層206提供流動性以允許以無縫或無空隙的方式自下而上填充溝槽204。流動性可能至少部分是由於沉積層中存在短鏈聚矽氮烷聚合物。例如,沉積層可具有矽氮烷型–Si-NH-Si主鏈(即,Si-N-H層)。允許形成短鏈聚合物和流動性的氮可源自自由基前驅物 或含矽前驅物。由於介電層是可流動的,所以它可以以自下而上的方式填充具有高深寬比的溝槽而不會在溝槽204中產生空隙。當達到預定的沉積厚度時可以停止可流動層206的沉積。在一個實施例中,預定的沉積厚度「T1」在約20埃至約300埃的範圍內。介電層的流動性隨著沉積的進行而衰減,且流動性在隨後的固化/電漿處理階段期間基本上已消除。
合適的含矽前驅物可包括其中氧與矽原子之比值為0至約6的有機矽化合物。合適的有機矽化合物可為:矽氧烷化合物、包括一或更多種鹵素部分(如氟、氯、溴或碘)的滷化矽氧烷化合物,例如四氯矽烷、二氯二乙氧基矽氧烷、氯三乙氧基矽氧烷、六氯二矽氧烷和/或八氯三矽氧烷,及氨烷基矽烷(例如,三矽烷基胺(TSA)、六甲基二矽氮烷(HMDS)、矽烷、四(二甲基氨基)矽烷、雙(二乙基氨基)矽烷、三(二甲基氨基)氯矽烷和甲基矽烷)。也可以使用其它含矽前驅物,例如矽烷、鹵化矽烷、有機矽烷及其任何組合。矽烷可包括具有實驗式Six H 2x+2 的矽烷(SiH4 )和更高階的矽烷,例如乙矽烷(Si2 H6 )、丙矽烷(Si3 H8 )和四矽烷(Si4 H10 ),或其他更高階的矽烷,如聚氯矽烷。
氧基自由基前驅物可包括由氧(O2 )、臭氧(O3 )、氮氧化合物(如NO、NO2 或N2 O)、氫氧化合物(如水或過氧化物)、碳氧化合物(如一氧化碳或二氧化碳)、及其他含氧前驅物,以及它們的任何組合所形成的氧自由基。氧自由基可遠端產生並與含矽前驅物一同被引入。氧基自由基前驅物在被引入到沉積腔室之前,可例如使用遠端電漿源(其可具有一個CCP(電容耦合電漿)或ICP(電感耦合電漿)配置)來激活。
氮基自由基前驅物可包括由氮(N2 )、一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 )、氨(NH3 ),以及他們的任何組合所形成的氮自由基。氮自由基可以遠端產生,並且與含矽前驅物和氧基自由基前驅物一同引入。氮基自由基前驅物在被引入到沉積腔室之前,可例如使用遠端電漿源(其可具有一個CCP(電容耦合電漿)或ICP(電感耦合電漿)配置)來激活。
在一些實施方式中,氧基自由基前驅物以第一體積流量流入沉積腔室,且含矽前驅物以第二體積流量流入沉積腔室,並且第一體積流量與第二體積流量之比值可控制在約0.3:1至約0.9:1之間,例如約0.5:1至約0.7:1之間,如約0.6:1。
在一些實施方式中,氮基自由基前驅物以第一體積流量流入沉積腔室,且含矽前驅物以第二體積流量流入沉積腔室,並且第一體積流量與第二體積流量之比值可控制在約0.2:1至約0.8:1之間,例如約0.4:1至約0.6:1,如約0.5:1。
可預期到,若使用了含有氧和氮兩者自由基的自由基前驅物,則可以省略氧基自由基前驅物或氮基自由基前驅物。
含矽前驅物、氧基自由基前驅物和氮基自由基前驅物可在約攝氏150度或以下(例如約攝氏100度或以下(如約攝氏65度))的溫度下反應。在形成可流動介電層期間,沉積腔室的室壓可保持在約0.1托至約10托,例如約0.5托至約6托。沉積速率可控制在約50埃/秒或以下,以提供足夠薄的沉積控制。在一個實施方式中,沉積速率係控制在約5埃/秒或以下, 例如約4 埃/秒。較慢的沉積速率(5 埃/秒或以下)在一些應用中可能是有利的,因為其允許可流動層形成無空隙且具有平滑的表面粗糙度。
在方塊106處,在可流動層達到預定沉積厚度「T1」( 例如,約20-300埃)之後,含矽前驅物、氧基自由基前驅物和氮基自由基前驅物的流動被中斷,且基板在固化腔室中經歷第一固化處理231,如圖2C所示。固化後的可流動層206呈現出更高的密度、更好的穩定性,並且能夠承受在隨後的電漿處理期間的高溫(方塊108)。固化腔室可使用任何合適的固化技術,例如UV光固化、熱固化、微波固化、電漿固化、電子束固化或中性束固化。在一些實施方式中,固化處理是可選的並且可以省略。在一個實施方式中,固化腔室是UV 固化腔室。示例性的固化腔室可包括Producer ®NANOCURE TM 3的UV固化腔室,其可自美國加州聖克拉拉市的應用材料公司(Applied Materials, Inc.)取得。可以預期到,也可以使用來自其他製造商的其他合適的固化腔室,以執行本文所述的製程。
固化處理231可在含氧環境、含氮環境和/或惰性氣體環境中執行。可藉由將一或更多種含氧氣體(例如分子氧(O2 )、臭氧(O3 )、水蒸汽(H2 O)、一氧化氮(NO)、二氧化氮( NO2 )、一氧化二氮(N2 O)及其任何組合)引入到固化腔室來產生含氧環境。可藉由將一或更多種含氮氣體(例如氮氣(N2 )、氨氣(NH3 )及其任何組合)引入到固化腔室中來產生含氮環境。可藉由將氦氣、氬氣、氫氣、氪氣、氙氣及其任何組合引入到固化腔室中來產生惰性環境。需要時,固化處理可在基於自由基的環境中執行,亦即,使用來自含氧氣體、含氮氣體或惰性氣體的自由基來幫助將氧/氮原子結合到可流動層中206。
在可流動層為氧化物的一些實施例中,固化處理231可在含氧的環境中執行。在這種情況下,固化處理可在臭氧環境中使用熱或UV以用於氧氣***和膜交聯目的。含氧環境提供氧氣以將可流動層(可為含矽層)轉化為氧化矽層。在可流動層為氮化物的情況下,固化處理可以在含氮環境中執行。在這種情況下,固化處理可以在氮氣或氨氣環境中使用UV氮化可流動層並增加沉積層中的氮濃度。在任何一種情況下,固化處理都可以幫助穩定沉積層的揮發性鍵,從而在電漿處理之前形成熱穩定層(方塊108)。較長UV處理通常在電漿處理後會導致較小的收縮率和接近於中性膜應力。
在一個實施方式中,固化處理231使用UV光固化技術。固化處理可在所需的環境(反應性或惰性環境)、溫度和壓力下使膜能夠進行熱交聯或UV光子輔助交聯。示例性的UV光固化技術可包括從一或更多個UV光源(其將光投影到基板上)提供光或光子能量。這些UV光源可包括UV燈,其發射在UV波長(例如,220nm)處具有峰值強度的廣譜波長(包括非UV波長)的光。UV燈的實例包括氙燈(峰值發射波長在172nm處)、汞燈(峰值在243nm處)、氘燈(峰值在140nm處)和氯化氪(KrCl2 )燈(峰值在222nm處),以及其他類型的UV燈。額外的UV光源可包括雷射器,其為流動層提供同調窄頻帶UV光。雷射光源可包括準分子雷射器(例如,XeCl、KrF、F2 等準分子雷射器)和/或固態雷射器(例如,Nd-YAG雷射器)的適當諧波。UV光源還可包括二極體UV光源。
在固化處理231期間,可流動層206進行固化約10秒至約60分鐘,固化時間長短可根據不同應用而適應性調整。固化腔室的壓力可在約1托至約600托的範圍內,例如約10托至150托。固化溫度可在約攝氏5度至約攝氏1100度的範圍內,例如約攝氏10度、約攝氏25度、約攝氏50度、約攝氏100度、約攝氏200度、約攝氏300度、約攝氏400度、約攝氏500度、約攝氏600度、約攝氏700度、約攝氏800度、約攝氏900度、約攝氏1000 度。在一個實例中,固化處理是在臭氧環境中在約攝氏350度的溫度下和約500托下進行約100秒的熱固化處理。
在熱固化經適配的一些情況下,固化處理可 在沉積可流動層206的沉積腔室中原位執行,或者可在將執行電漿處理的電漿腔室中執行(方塊108),於何處執行係取決於固化溫度和壓力。
在方塊108處,在沉積製程完成之後(或在可選的固化處理之後(若執行固化處理)),基板200在電漿腔室中歷經第二固化處理233以進一步固化形成在基板200上的介電層,如圖2D中所示。在一個實施例中,第二固化處理233是電漿處理。電漿腔室可以是使用電漿或電漿輔助技術的任何合適的腔室。電漿腔室產生在高溫下的高密度電漿,以來自高密度電漿的離子進行轟擊且因此緻密化和進一步固化所固化的層(自方塊106),或固化可流動介電層(自方塊104,若不執行固化處理)。
取決於材料的不同,電漿處理可在含氧環境中(若固化層或可流動介電層是氧化物)或含氮環境(若固化層或可流動介電層是氮化物)中執行。可藉由將一或更多種含氧氣體(如分子氧(O2 )、臭氧(O3 )、水蒸汽(H2 O)、一氧化氮(NO)、二氧化氮(NO2 )、一氧化二氮(N2 O)及其任何組合)引入電漿腔室來產生含氧環境。可藉由將一或更多種含氮氣體(如氮氣(N2 )、氨氣(NH3 )及其任何組合)引入電漿腔室來產生含氮環境。在任何一種情況下,都可以將惰性氣體(如氬氣、氫氣或氦氣)引入電漿腔室中。例如,若固化層或可流動介電層是氧化物,則可以在氧氣/氦氣環境、氧氣/氬氣環境或氧氣/氫氣環境中執行電漿處理。若固化層或可流動介電層是氮化物,則可以在氮/氨環境、氮/氫環境或氮/氦環境中執行電漿處理 。
在一些實施方式中,電漿處理可以是基於自由基的處理。例如,含氧環境可以是(或額外包括)可遠端產生並輸送到電漿腔室中的自由基氧物質和/或自由基羥基物質。同樣地,含氮環境可以是(或額外包括)可遠端產生並輸送到電漿腔室中的自由基氮物質。使用自由基的電漿處理,可在較高壓力(例如1托以上,如約10-40托)下和/或以脈衝RF功率波形執行。例如,電漿處理可以是使用以標準模式操作的脈衝源功率(即,使用相同的RF頻率並且流過線圈天線的電流同相)的電感耦合電漿。
在電漿處理期間,由於存在於電漿腔室中的氧氣或氮氣環境,固化層或可流動介電層進一步轉化成氧化物或氮化物。由於Si-N鍵能(355kJ/mol)和N-H鍵能(386kJ/mol)低於Si-O鍵能(452kJ/mol),氧環境促使固化層或可流動介電層中的Si-N鍵或N-H鍵被Si-O鍵取代。因此,當在含氧環境中執行電漿處理時,固化層或可流動介電層(具有 Si-NH-Si主鏈)進一步轉化為氧化矽層。當在含氮環境中執行電漿處理時,固化層或可流動介電層(具有 Si-NH-Si主鏈)進一步轉化為氮化矽層。因此,電漿處理在一個操作中結合材料的轉化和緻密化,而不需要一個長持續時間的熱退火製程 (這是在固化處理之後在FCVD膜的常規形成程序中通常進行的製程以將氧原子或氮原子進一步併入層中)。與熱退火相比,高密度電漿還可降低熱預算。結果,製造製程的整體熱預算降低了。
在一些實施方式中,電漿處理是雙操作處理,其包括使用氧氣/氦氣環境、氧氣/氬氣環境或氧氣/氫氣環境的第一電漿處理操作(若固化層或可流動介電層是氧化物)以及使用諸如氦氣的惰性氣體環境的第二電漿處理操作。若固化層或可流動介電層是氮化物,則電漿處理可包括使用氮氣/氨氣環境、氮氣/氫氣環境或氮氣/氦氣環境的第一電漿處理操作以及使用諸如氦氣的惰性環境的第二電漿處理操作。在惰性環境中(例如,氦氣)的電漿處理在薄膜緻密化中是有效的,因為高能離子的轟擊使得薄膜破壞現有的鍵並重構,以釋放薄膜應力並形成更緻密的結構網。
電漿腔室可以是任何合適的電漿反應器,其可獨立控制對電漿源發生器和基板偏壓裝置的輸入功率。在一個實施方式中,電漿腔室是電感耦合電漿(ICP)腔室。在這樣的情況下,電漿腔室可具有:電漿源控制器,控制決定電漿密度的電感耦合RF功率的供應(源功率);及偏壓控制器,控制用於產生基板表面上的偏壓的RF功率或DC功率供應(偏壓功率)。此偏置電壓係用於將來自在處理區域中形成的電漿的離子吸引到基板200。可使用偏壓來控制固化層(或者,若不執行固化處理時,控制可流動介電層)上的離子物質的轟擊能量。源功率和壓力是控制電離的操作鈕。偏壓功率提供額外的操作鈕來調節離子能量,以控制薄膜處理深度。除了偏壓功率之外,低壓(例如,小於約5毫托)能夠實現長平均自由徑和深溝槽層處理。一種合適的電漿腔室是可自美國加州聖克拉拉市的應用材料公司(Applied Materials, Inc.)取得的Centura® AdvantageTM MesaTM 蝕刻腔室。
儘管在本揭露中使用ICP腔室作為用於形成電漿的實例,可預期亦可使用其他電漿源,例如電容耦合電漿(CCP)源、解耦電漿源(DPS)、磁控管電漿源、電子迴旋共振(ECR)源或微波電漿源。
在使用ICP腔室的情況下,以下的腔室處理參數可用於執行電漿處理。如前所述,這些參數可用於處理固化層(自方塊106)或可流動介電層(自方塊104)。在各種實施方式中,固化層是氧化物或氮化物。腔室壓力可為約1毫托至約10托,諸如約2毫托至約1托,例如約5毫托至約88毫托。源功率可以是約50瓦(W)至約650W,諸如約100W至約500W,例如約250W至約450W。所施的源功率可在約30MHz至約60MHz的射頻(RF)範圍。提供給ICP腔室的基板支撐件的偏壓功率可以是約10W至約450W,諸如約50W至約300W,例如100W至約200W。所施加的偏壓功率可在約10MHz至約30MHz的RF範圍。基板溫度可以是約攝氏550度或以下,例如約攝氏300度至約攝氏500度,如約攝氏350度。第一氣體(例如,含氧氣體或含氮氣體)的氣體流可為約60 sccm至約5000sccm,例如約100sccm至約2200sccm,如約300sccm至約1000sccm。第二氣體(例如,惰性氣體)的氣體流可為約5sccm至約250sccm,例如約10sccm至約150sccm,如約20sccm至約100sccm。處理時間可以是約10秒至約120秒,諸如約 30秒至約90秒,例如約45秒至約60 秒。此處論述的製程參數是基於300mm的基板。可預期到,這些製程參數可根據固化層(自方塊106)或可流動介電層(自方塊104)的厚度、溝槽204的尺寸、基板200的尺寸、電漿腔室的功能和應用等而適應性調整。
在方塊108之後,作出關於沉積的介電層(即,已經固化和/或處理過的可流動層206)是否達到目標高度「T2」的決定110,如圖2E所示。沉積的介電層的目標高度「T2」可為約500埃至約8000埃,例如約1000埃至約6000埃,其係量測溝槽204從沉積的介電層的底表面207至頂表面211。若尚未達到目標高度「T2」,則可在固化/電漿處理層的厚度再次與目標厚度比較之前執行另一循環的沉積/固化/電漿處理(例如,方塊104-108)。可重複方塊104、106和108的製程,直到沉積的介電層達到目標高度「T2」為止。
在達到目標高度「T2」之後,就可以例如藉由化學機械平面化(CMP)將沉積的介電層平坦化,使得翼片202的頂表面208和沉積的介電層的頂表面210是共面,如圖2C所示。然後,例如藉由使用可接受的蝕刻製程,使基板200凹陷以顯露或暴露翼片202的上部分213。蝕刻製程可在進行電漿處理(方塊108)的同一電漿腔室中執行。之後,可將基板200從電漿腔室傳送到負載閘腔室,接著傳送到一或更多個前開式晶圓盒(FOUP),其中基板將被傳送到其他處理系統以繼續進行製造積體電路晶片所需的下游處理,諸如替換閘極形成、磊晶沉積、清潔、退火、熱處理、化學氣相沉積、氧化或氮化處理等等。
圖3是根據本揭露的實施方式的可用於執行圖1中所示的處理序列的處理系統300的示意性俯視圖。處理系統300的一個例子為,可自美國加州聖克拉拉市的應用材料公司(Applied Materials, Inc.)取得的PRODUCER® 或CentrisTM 系統。處理系統300包括真空密封處理平台302和工廠介面304。平台302包括耦接到真空基板傳送腔室312的複數個處理腔室306a-b、308a-b、310a-b,以及設置在真空基板傳送腔室312和工廠介面304之間並與之耦接的負載閘腔室314。
工廠介面304包括至少一個工廠介面機器人316、318,以便於傳送基板。工廠介面304被配置以接受一或更多個前開式晶圓盒(FOUP)320。在一個例子中,三個FOUP被適配。工廠介面機器人316、318將基板(例如,方塊102中描述的基板)從工廠介面304傳送到處理平台302,在處理平台302處至少一個傳送機器人322從工廠介面機器人316、318接收基板,然後將它們傳送到任何處理腔室306a-b、308a-b、310a-b。在一個實施方式中,處理腔室306a-b是沉積腔室,可用於執行方塊104描述的程序。處理腔室308a-b是固化腔室,可用於執行方塊106中所述的程序。處理腔室310a-b是電漿腔室,可用於執行方塊108中所述的程序和翼片顯露程序。在這些程序完成後,藉由傳送機器人322將基板傳送到負載閘腔室314。工廠介面機器人314、316接著從負載閘腔室314拾取基板並將其傳送回FOUP320。
圖4是根據本揭露的實施方式的可用於執行圖1中所示的處理序列的程序的處理系統400的示意性俯視圖。在一個示例性實施方式中,處理系統400是用於執行圖1中所示的某些程序(例如,方塊102、104和108) 的大量製造(HVM)系統。處理系統400包括真空密封處理平台402和工廠介面404。平台402包括:分別聯接到第一真空基板傳送腔室412和第二真空基板傳送腔室413的複數個處理腔室406a-d、408a-f;配置在第一真空基板傳送腔室412和第二真空基板傳送腔室413之間的冷卻站415;及配置在第一真空基板傳送腔室412和工廠介面404之間並與之耦合的負載閘腔室414。
工廠界面404包括至少一個工廠介面機器人416、418,以便於傳送基板。工廠介面404係配置以接受一或更多個前開式晶圓盒(FOUP)420。在一個例子中,四個FOUP被適配。工廠介面機器人416、418將基板(例如,方塊102中描述的基板)從工廠介面404傳送到處理平台402。在第一真空基板傳送腔室412處的至少一個傳送機器人422從工廠介面機器人416、418接收基板,接著將它們傳送到處理腔室406a-d中的任何一個。在一個實施方式中,處理腔室406a-d是可用於執行方塊108中所述的程序的電漿腔室。可選的傳送機器人417可配置在冷卻站415處,以在第一真空基板傳送腔室412和第二真空基板傳送腔室413之間傳送基板。在第二真空基板傳送腔室413處的至少一個傳送機器人419接收來自冷卻站415的基板,接著將它們傳送到任何處理腔室408a-f。或者,傳送機器人417可被省略,且傳送機器人417、422可一起工作以在第一真空基板傳送腔室412和第二真空基板傳送腔室413之間傳送基板。在一個實施方式中,處理腔室408a-f是可用於執行方塊104中所述的程序的沉積腔室。可在沉積腔室(即,處理腔室408a-f)和電漿腔室(即,處理腔室406a-d)之間傳送基板,直到達到沉積的介電層的目標高度為止。在這些程序完成後,基板被傳送到負載閘腔室414。工廠介面機器人414、416接著從負載閘腔室414拾取基板,並將其傳送回FOUP 420。
概括而言,本文揭露的實施方式係關於以可流動介電層在基板中形成和填充溝槽的方法。該方法包括以下步驟:在含氧/惰性氣體或含氮/惰性氣體環境中,以來自高密度電感耦合電漿的離子轟擊可流動介電層,以將介電層轉化並緻密化為目標層組合物。可在可流動沉積和電漿處理之間安插固化處理,以幫助膜交聯並將氧/氮原子結合到可流動介電層中。這些程序以循環方式執行,直到達到所需的厚度為止。循環程序使溝槽底部的介質層始終維持好品質,同時溝槽側壁上的沉積最少。
儘管前述內容係針對本揭露的實施方式,但是可以在不脫離本揭露的基本範圍的情況下設計本揭露的其他和進一步的實施方式,並且其範圍由隨後的申請專利範圍決定。
100‧‧‧流程圖102-110‧‧‧方塊200‧‧‧基板202‧‧‧翼片204‧‧‧溝槽206‧‧‧可流動層207‧‧‧底表面208‧‧‧頂表面209‧‧‧側壁表面210‧‧‧頂表面211‧‧‧頂表213‧‧‧上部分231‧‧‧第一固化處理233‧‧‧第二固化處理300‧‧‧處理系統302‧‧‧處理平台304‧‧‧工廠介面306a-b‧‧‧處理腔室308a-b‧‧‧處理腔室310a-b‧‧‧處理腔室312‧‧‧基板傳送腔室314‧‧‧負載閘腔室316‧‧‧工廠介面機器人318‧‧‧工廠介面機器人320‧‧‧前開式晶圓盒(FOUP)322‧‧‧傳送機器人400‧‧‧處理系統402‧‧‧處理平台404‧‧‧工廠介面406a-d‧‧‧處理腔室408a-f‧‧‧處理腔室412‧‧‧基板傳送腔室413‧‧‧基板傳送腔室414‧‧‧負載閘腔室415‧‧‧冷卻站416‧‧‧工廠介面機器人417‧‧‧傳送機器人418‧‧‧工廠介面機器人419‧‧‧傳送機器人420‧‧‧前開式晶圓盒(FOUP)422‧‧‧傳送機器人
為了能夠詳細理解本揭露的上述特徵,可以藉由參照實施方式來對上面簡要總結的本揭露進行更具體描述,其中一些具體細節係在附圖中示出。然而,要注意的是,附圖僅示出了本揭露的典型實施方式,因此不被認為是對範圍的限制,因為本揭露可以允許其他等效的實施方式。
圖1是示出形成便於填充溝槽的可流動介電層的示例方法中的選定操作的流程圖。
圖2A示出了基板的一部分的示意性三維視圖。
圖2B-2G示出了根據圖1的流程圖在各個製造階段期間圖2A的基板。
圖3是根據本揭露的實施方式的可用於執行圖1中所示的處理序列的處理系統的示意性俯視圖。
圖4是根據本揭露的實施方式的可用於執行圖1中所示的處理序列的程序的處理系統的示意性俯視圖。
為了利於理解,在允許的情況下使用相同的元件符號來表示各附圖中共用的相同元件。可以預期的是,在一個實施方式中揭露的元件可以在其他實施方式上有利地使用,而不需要特別說明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧流程圖
102-110‧‧‧方塊

Claims (25)

  1. 一種處理基板的方法,包括以下步驟:使具有至少一個溝槽的一基板歷經一沉積製程,以自下而上的方式在該溝槽的一底表面和一側壁表面上形成一可流動層,直到該可流動層達到一預定沉積厚度為止;使該可流動層歷經一第一固化處理,該第一固化處理是一UV固化處理;接著使UV固化的該可流動層歷經一第二固化處理,該第二固化處理是一電漿或電漿輔助製程;及依序地且重複地執行該沉積製程、該第一固化處理和該第二固化處理,直到電漿固化的該可流動層填充該溝槽並在該溝槽的一頂表面上達到一預定高度為止。
  2. 根據請求項1所述之方法,其中該可流動層是一含矽介電層。
  3. 根據請求項1所述之方法,其中該第一固化處理係在包含氧氣、氮氣或惰性氣體的一基於自由基的環境中執行。
  4. 根據請求項1所述之方法,其中該第二固化處理係在一氧氣/氦氣環境、氧氣/氬氣環境或氧氣/氫氣環境中執行。
  5. 根據請求項4所述之方法,其中該第二固化處理係在一基於自由基的環境中執行。
  6. 根據請求項1所述之方法,其中該可流動層係以約5埃/秒或以下的沉積速率沉積。
  7. 根據請求項1所述之方法,其中該預定沉積厚度係在約20埃至約300埃的範圍內,且該預定高度係在約500埃至約8000埃的範圍內。
  8. 根據請求項1所述之方法,其中該可流動層包含SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON或SiN。
  9. 一種處理一基板的方法,包括以下步驟:藉由使一含矽前驅物與一氧基自由基前驅物和一氮基自由基前驅物反應來執行一沉積製程,以在一基板的一溝槽內形成一可流動層;在一第一固化處理期間在一含氧環境、一含氮環境或一惰性氣體環境中以一UV能量固化該可流動層;接著在一第二固化處理期間在一電漿腔室中固化經UV固化的該可流動層,其中該電漿處理腔室含有一含氧環境或一含氮環境;及依序地且重複地執行該沉積製程、該第一固化處理和該第二固化處理,直到電漿固化的該可流動層填充 該溝槽並在該溝槽的一頂表面上達到一預定高度。
  10. 根據請求項9所述之方法,其中該含矽前驅物包含矽氧烷化合物或含有一或更多種鹵素部分的鹵化矽氧烷化合物。
  11. 根據請求項9所述之方法,其中固化經UV固化的該可流動層之步驟還包括以下步驟:在一氧氣/氦氣環境、一氧氣/氬氣環境或一氧氣/氫氣環境中以離子轟擊經UV固化的該可流動層;及在一惰性氣體環境中以離子轟擊經UV固化的該可流動層。
  12. 根據請求項11所述之方法,其中該電漿腔室是一電感耦合電漿(ICP)腔室。
  13. 根據請求項11所述之方法,其中固化經UV固化的該可流動層之步驟還包括以下步驟:在約5毫托或以下的一腔室壓力下向該基板施加一偏壓功率。
  14. 根據請求項9所述之方法,其中以該UV能量固化該可流動層之步驟係在一基於自由基的環境中執行的。
  15. 一種用於處理一基板的群集工具,包括:一負載閘腔室;一傳送腔室,耦接至該負載閘腔室的一第一側; 複數個第一處理腔室,耦接至該傳送腔室,其中該等第一處理腔室中的每一者是能夠執行一可流動層沉積的一沉積腔室;複數個第二處理腔室,耦接至該傳送腔室,其中該等第二處理腔室中的每一者是能夠執行一熱固化處理的一固化腔室;複數個第三處理腔室,耦接至該傳送腔室,其中該等第三處理腔室中的每一者是能夠執行一電漿固化處理的一電漿腔室;及一工廠介面,耦接至該負載閘腔室的一第二側。
  16. 根據請求項15所述之群集工具,其中該等第一處理腔室中的至少一者是一高密度電漿CVD腔室、一電漿增強CVD腔室或一次大氣壓CVD腔室。
  17. 根據請求項15所述之群集工具,其中該等第二處理腔室中的至少一者是一UV光固化腔室、一熱固化腔室、一微波固化腔室、一電漿固化腔室、一電子束固化腔室或一中性束固化腔室。
  18. 根據請求項15所述之群集工具,其中該等第三處理腔室中的至少一者是一電感耦合電漿(ICP)腔室或一電容耦合電漿(CCP)腔室。
  19. 根據請求項15所述之群集工具,其中該第一處理腔室是一高密度電漿CVD腔室,該第二處理腔 室是一UV光固化腔室,且該第三處理腔室是一電感耦合電漿(ICP)腔室。
  20. 一種處理基板的方法,包括以下步驟:在一沉積製程期間以自下而上的方式在一基板上的一溝槽的一底表面和側壁表面上形成一可流動層;在一第一固化處理期間使該可流動層暴露至UV能量,以製造一UV固化的可流動層;接著在一第二固化處理期間使該UV固化的可流動層暴露至一電漿,以製造一電漿固化的可流動層;及依序地且重複地執行該沉積製程、該第一固化處理和該第二固化處理,直到該電漿固化的可流動層填充該溝槽並在該溝槽的一頂表面上達到一預定高度為止。
  21. 根據請求項20所述之方法,其中該可流動層是一含矽介電層。
  22. 根據請求項20所述之方法,其中該可流動層包含SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON或SiN
  23. 根據請求項20所述之方法,其中該可流動層係以約5埃/秒或以下的沉積速率沉積。
  24. 根據請求項20所述之方法,其中該沉積製程包含使一含矽前驅物進行反應以形成該可流動層,以及其中該含矽前驅物包含矽氧烷化合物或含有一或 更多種鹵素部分的鹵化矽氧烷化合物。
  25. 根據請求項20所述之方法,其中該預定高度係在約500埃至約8000埃的範圍內。
TW107116115A 2017-05-13 2018-05-11 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程 TWI721270B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762505857P 2017-05-13 2017-05-13
US62/505,857 2017-05-13

Publications (2)

Publication Number Publication Date
TW201909234A TW201909234A (zh) 2019-03-01
TWI721270B true TWI721270B (zh) 2021-03-11

Family

ID=64097395

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107116115A TWI721270B (zh) 2017-05-13 2018-05-11 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程
TW110103928A TWI782410B (zh) 2017-05-13 2018-05-11 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110103928A TWI782410B (zh) 2017-05-13 2018-05-11 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程

Country Status (6)

Country Link
US (2) US10707116B2 (zh)
JP (2) JP7168586B2 (zh)
KR (2) KR102576563B1 (zh)
CN (2) CN110622298B (zh)
TW (2) TWI721270B (zh)
WO (1) WO2018212999A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7085929B2 (ja) * 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
TW202108813A (zh) * 2019-06-08 2021-03-01 美商應用材料股份有限公司 可流動pecvd的低沉積速率
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI792005B (zh) 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11581203B2 (en) 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220199458A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Gap fill dielectrics for electrical isolation of transistor structures in the manufacture of integrated circuits
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置
WO2022230944A1 (ja) * 2021-04-29 2022-11-03 東ソー株式会社 平坦化膜の製造方法、平坦化膜用材料および平坦化膜
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
KR20030043724A (ko) * 2001-11-27 2003-06-02 엔이씨 일렉트로닉스 코포레이션 반도체 장치 제조 방법
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080166498A1 (en) * 2007-01-10 2008-07-10 United Microelectronics Corp. Method of curing porous low-k layer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
KR20090066936A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성방법
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101484658B1 (ko) 2010-04-30 2015-01-21 캐논 아네르바 가부시키가이샤 에피텍셜 박막형성방법, 진공처리장치, 반도체 발광소자 제조방법, 반도체 발광소자, 및 조명장치
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5521981B2 (ja) 2010-11-08 2014-06-18 豊田合成株式会社 半導体発光素子の製造方法
CN102477531B (zh) 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
KR20120089792A (ko) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 고 종횡비 트렌치의 바텀 업 충전
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
WO2012087352A2 (en) 2010-12-20 2012-06-28 The Regents Of The University Of California Superhydrophobic and superoleophobic nanosurfaces
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (zh) 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 铁基合金表面镀膜方法及由该方法制得的镀膜件
US8575819B1 (en) 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (zh) 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法
CN104428441B (zh) * 2012-07-02 2017-04-12 应用材料公司 由物理气相沉积形成的氮化铝缓冲层和活性层
KR101942092B1 (ko) 2012-07-30 2019-01-25 한국전자통신연구원 유기발광소자 제조방법
KR102052936B1 (ko) * 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
TWI595296B (zh) * 2014-09-23 2017-08-11 元太科技工業股份有限公司 顯示器
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Also Published As

Publication number Publication date
KR20190141786A (ko) 2019-12-24
US20200286773A1 (en) 2020-09-10
US10707116B2 (en) 2020-07-07
CN117524976A (zh) 2024-02-06
JP2020520120A (ja) 2020-07-02
WO2018212999A1 (en) 2018-11-22
US20180330980A1 (en) 2018-11-15
US11152248B2 (en) 2021-10-19
KR102576563B1 (ko) 2023-09-07
KR20230130177A (ko) 2023-09-11
TW201909234A (zh) 2019-03-01
JP2023017833A (ja) 2023-02-07
JP7458457B2 (ja) 2024-03-29
CN110622298A (zh) 2019-12-27
TW202135136A (zh) 2021-09-16
TWI782410B (zh) 2022-11-01
CN110622298B (zh) 2023-09-22
JP7168586B2 (ja) 2022-11-09

Similar Documents

Publication Publication Date Title
TWI721270B (zh) 用於高品質間隙填充解決方案之循環式可流動沉積及高密度電漿處理製程
TWI676700B (zh) 用於高品質流動式化學氣相沉積膜之先進製程流程
US9396986B2 (en) Mechanism of forming a trench structure
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
CN110476239B (zh) 使用反应性退火的间隙填充
TW201735256A (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
US20150118822A1 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
CN110476222B (zh) 用于硅间隙填充的两步工艺
KR20110104482A (ko) 낮은 에치 레이트 유전체 라이너들을 이용한 갭충진 개선
KR20090033449A (ko) 보텀-업 방식의 갭필을 위한 유전체 증착 및 에치 백 공정
KR102141670B1 (ko) 저온 경화 모듈러스 강화
JP2022534801A (ja) 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法
JP2022541735A (ja) 誘電体材料を硬化させる方法及び装置
TWI839527B (zh) 微波輻射後處理介電膜的方法
TW202105513A (zh) 微波輻射後處理介電膜的方法