TWI703403B - 遮罩及其製造方法和使用方法 - Google Patents

遮罩及其製造方法和使用方法 Download PDF

Info

Publication number
TWI703403B
TWI703403B TW108114023A TW108114023A TWI703403B TW I703403 B TWI703403 B TW I703403B TW 108114023 A TW108114023 A TW 108114023A TW 108114023 A TW108114023 A TW 108114023A TW I703403 B TWI703403 B TW I703403B
Authority
TW
Taiwan
Prior art keywords
layer
mask
magnetic
multilayer
magnetic film
Prior art date
Application number
TW108114023A
Other languages
English (en)
Other versions
TW202009596A (zh
Inventor
廖啟宏
廖主瑋
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202009596A publication Critical patent/TW202009596A/zh
Application granted granted Critical
Publication of TWI703403B publication Critical patent/TWI703403B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一種方法包括將遮罩夾持在遮罩台上,其中遮罩包括多層磁膜;藉由使用遮罩來執行第一微影製程;使遮罩移動遠離遮罩台;以及確認多層薄膜之表面層之表面狀況是否可接受;以及當表面層之表面狀況被確認為不可接受時自多層磁膜剝離多層磁膜之表面層。

Description

遮罩及其製造方法和使用方法
本揭露是關於一種遮罩及其製造方法和使用方法。
半導體積體電路(integrated circuit;IC)工業已經歷指數增長。IC材料及設計中之技術進步已產生了幾代IC,其中每代具有比前一代更小且更複雜之電路。在IC進化中,功能密度(亦即,每晶片面積之互連元件之數目)通常已增加,而幾何尺寸(亦即,可使用製造製程產生之最小部件(或接線))已減小。此按比例縮小製程通常藉由增大生產效率及降低相關聯之成本來提供益處。此按比例縮小亦增加了IC處理及製造之複雜性。為了實現此些進步,需要IC處理及製造中之類似發展。舉例而言,對執行較高解析度之微影製程的需要增長了。一種微影技術為極紫外線微影(EUVL)。其他技術包括X-Ray微影術、離子束投影微影術、電子束投影微影術,及多電子束無遮罩微影術。
EUVL採用使用具有約1至100nm波長的極紫外線(EUV)區域之光的掃描器。一些EUV掃描器提供4倍縮 小投影列印,類似於一些光學掃描器,除了EUV掃描器使用反射光學元件而非折射光學元件,亦即,鏡子代替了透鏡。EUV掃描器藉由轉移由吸收層限定之遮罩圖案提供晶圓上之所需圖案。目前,在EUVL中採用伴隨軸上照明(ONI)之二元強度遮罩(BIM)。為了實現未來節點(例如,具有32nm及22nm之最小間距的節點等)之充足的空間圖像對比度,已開發了例如衰減相移式遮罩(AttPSM)及交變相移式遮罩(AltPSM)之若干技術,以獲得EUVL之解析度增強。但每一技術具有其需要克服之局限性。舉例而言,然而吸收層可能不會完全吸收入射光且入射光之部分自吸收層反射。吸收層之厚度亦導致陰影效應。所有此些情況時常導致降低的空間圖像對比度,此可導致差的圖案輪廓及差的解析度,尤其是當圖案特徵之大小繼續減小時。需要在此領域中進行改良。
在本揭示案之一些實施例中,一種方法包括將遮罩夾持在遮罩台上,其中遮罩包括多層磁膜;藉由遮罩來執行第一微影製程;將遮罩自遮罩台移開;以及確認多層薄膜之表面層之表面狀況是否可接受;以及當表面層之表面狀況被確認為不可接受時自多層磁膜剝離多層磁膜之表面層。
在本揭示案之一些實施例中,一種方法包括將第一磁層附接至基板上;將第二磁層附接至第一磁層上;在 基板上形成反射性多層;在反射性多層上形成吸收層;以及圖案化吸收層。
在本揭示案之一些實施例中,一種遮罩包括基板、多層磁膜、反射性多層,及經圖案化之吸收層。多層磁膜安置於基板上,其中多層磁膜包括複數個磁層。基板在多層磁膜與反射性多層之間。反射性多層在經圖案化之吸收層與基板之間。
20:微影系統
30:檢查系統
40:清潔系統
100:低熱膨脹材料基板
100A:第一側
100B:第二側
110:多層磁膜/多層薄膜
111:磁層/表面層
112:磁層
114:磁層
120:反射性多層
130:封蓋層
140:緩衝層
150:吸收層
152:遮罩圖像區域
154:黑色邊界區域
200:遮罩
210:遮罩台
212:輻射源
214:照明器
220:投影光學盒
222:光瞳相位調制器
224:投影光瞳平面
226:半導體晶圓
228:基板台
302:通道
304:顯微鏡物鏡
306:光瞳濾光器
308:投影光學系統
310:偵測器
312:輻射束
316:處理單元
320:光源
410:清洗裝置
1000:方法
1002:操作
1004:操作
1006:操作
1008:操作
1010:操作
1012:操作
1014:操作
1016:操作
1018:操作
1120:磁性黏合層
1122:基底層
1124:釋放層
1140:黏合層
1142:磁性基底層
1144:釋放層
M1:第一光阻劑層
M2:第二光阻劑層
P1:磁性填料
P2:磁性填料
R1:凹槽
R2:凹槽
當結合附圖進行閱讀時得以自以下詳細描述最佳地理解本揭露之態樣。應注意,根據工業上之標準實務,各種特徵並未按比例繪製。實際上,為了論述清楚可任意地增大或減小各種特徵之尺寸。
第1圖至第9圖為根據本揭露之一些實施例之處於各種階段的用於製造遮罩之方法的橫截面圖。
第10圖為根據本揭露之一些實施例的用於操作遮罩之方法。
第11圖至第16圖為根據本揭露之一些實施例的處於操作之各種階段的遮罩。
以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。以下描述部件及佈置之特定實例以簡化本揭示案。當然,此等僅為實例且並不意欲為限 定性的。舉例而言,在如下描述中第一特徵在第二特徵上方或在第二特徵上形成可包括第一特徵及第二特徵形成為直接接觸之實施例,且亦可包括額外特徵可在第一特徵及第二特徵之間形成而使得第一特徵及第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複參考數字及/或字母。此重複是出於簡化及清楚目的,且其本身並不指示所論述之各種實施例及/或配置之間的關係。
另外,為了描述簡單起見,可在本文中使用諸如「在......之下」、「低於」、「下部」、「在......上方」、「上部」以及其類似術語的空間相對術語,以描述如諸圖中所圖示之一個元件或特徵與另一(其他)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語亦意欲涵蓋在使用中或操作中設備的不同定向。裝置可以其他方式定向(旋轉90度或在其他定向上),且可同樣相應地解釋本文中所使用之空間相對描述詞。
本揭露中所描述之先進微影製程、方法及材料可用於許多應用中,包括鰭型場效應電晶體(FinFET)。舉例而言,可圖案化鰭以產生特徵之間的相對緊密之間隔,以上揭示內容非常適合於此。另外,可根據以上揭示內容來處理在形成鰭型場效應電晶體之鰭中所使用之間隔。
第1圖至第9圖為根據本揭示案之一些實施例之處於各種階段的用於製造遮罩之方法的橫截面圖。以下描述涉及遮罩及遮罩製造製程。遮罩製造製程包括兩個步驟:空白遮罩製造製程及遮罩圖案化製程。在空白遮罩製造製程 期間,藉由將合適層(例如,多個反射層)沉積在合適基板上來形成空白遮罩。在遮罩圖案化製程期間圖案化空白遮罩以便具有積體電路(IC)元件(或晶片)之層的設計。接著使用經圖案化遮罩將電路圖案(例如,IC元件之層的設計)轉印至半導體晶圓上。可經由各種微影製程將圖案一遍又一遍地轉印至多個晶圓上。可使用若干遮罩(例如,15至30個遮罩之集合)來構造完整的IC元件。
參考第1圖。接收基板100。在一些實施例中,基板100可由低熱膨脹材料(low thermal expansion material;LTEM)製成。在一些實施例中,低熱膨脹材料可包括石英、矽、碳化矽及氧化矽-氧化鈦化合物。或者,低熱膨脹材料可包括TiO2摻雜之SiO2,及/或本領域中已知之其他低熱膨脹材料。在操作期間,低熱膨脹材料基板100用以減少歸因於遮罩加熱之圖像變形。在一些實施例中,低熱膨脹材料基板100包括具有低缺陷水準及光滑表面之材料。
參考第2A圖至第2C圖。多層磁膜110形成於低熱膨脹材料基板100之第一側100A上。舉例而言,第一側100A為基板100之背側。在一些實施例中,多層磁膜110包括交替堆疊之複數個磁層111。多層磁膜110之磁性導致多層磁膜110與遮罩台(未圖示)之間的強化附接。在一些實施例中,多層磁膜110為伸展釋放膜,且多層薄膜110之膜111具有強保持力以及清潔移除且無表面損壞。亦即,可自磁膜110之剩餘層111乾淨地剝離表面層111而不損壞剩 餘層111之表面。因此,各磁層111彼此可卸除或釋放。稍後將描述細節。在一些實施例中,磁層111為磁帶(或磁條)。
可藉由將磁層111逐層堆疊於基板100之第一側100A上來形成多層磁膜110(參考第2A圖)。舉例而言,最初將磁層111附接至基板100。接下來,接著將另一磁層111附接至先前磁層111。重複此處理直至多層磁膜110達到所需厚度為止。在一些實施例中,每一磁層111之厚度在約10μm至約20μm之範圍中。多層磁膜110之厚度在約50μm至約100μm之範圍中。若多層磁膜之厚度大於100μm,則當被夾持於卡盤上時其將影響平整度均勻性。在一些實施例中,磁層111之數目為5或6。若磁層111之數目小於5,則其將無法提供足夠的產率。若磁層111之數目大於6,則當剝離最頂磁層111時其將影響下方磁層111之平整度。
參考第2B圖。圖示了多層磁膜110之實例。在一些實施例中,多層磁膜110包括複數個磁層112,其中磁層112中之每一者包括磁性黏合層1120、基底層1122及釋放層1124。磁性黏合層1120及釋放層1124分別被塗佈於基底層1122之相對側上。如上所述,磁層112中之每一者彼此堆疊,使得磁層112之磁性黏合層1120連接至另一磁層112之釋放層1124,從而使得在磁層112彼此連接之後容易將磁層112展開。以此方式,多層磁膜110形成為伸展釋放膜,因為多層磁膜110之磁層112具有強保持力以及清潔移除且 無表面損壞。亦即,可在不損壞另一磁層112之表面的情況下自另一磁層112之表面乾淨地移除磁層112。在一些實施例中,基底層1122由塑膠、橡膠或樹脂材料與可磁化材料之混合物製成。在一些實施例中,釋放層1124可包含聚矽氧釋放組合物及微膠囊化材料之膠囊,此微膠囊化材料之膠囊可藉由將微膠囊化材料與聚矽氧釋放組合物混合來製備。
在一些實施例中,磁性黏合層1120包括磁性填料P1且因此具有順磁性材料之性質。因此,當電被施加至電磁結構(例如,第11圖中之遮罩台210)時,在磁性黏合層1120與電磁結構之間產生了吸引力,從而將多層磁膜110夾持在電磁結構上。在一些實施例中,磁性填料P1可包括順磁性材料,諸如,鉭(Ta)、鈮(Nb)及釩(V)。順磁性是由材料中之不成對電子引起的,因此具有不完全填充之原子軌道的原子為順磁性的。歸因於自旋,不成對電子具有磁偶極矩,且因此如磁體一樣起作用。當施加外部磁場時,磁場使電子之自旋與場平行對準,從而導致淨吸引力。另一方面,若移除外部磁場,則順磁性材料之吸引力將消失。因此,若多層磁膜110包含順磁性材料,則可藉由控制外部磁場將其附接至電磁結構或自電磁結構上拆下。若多層磁膜110包含鐵磁材料,則鐵磁性材料之永磁性可導致將多層磁膜110自電磁結構拆下的難度增加。
參考第2C圖。圖示了多層磁膜110之實例。在一些實施例中,多層磁膜110包括複數個磁層114,其中磁層114中之每一者包括黏合層1140、磁性基底層1142及釋 放層1144。黏合層1140及釋放層1144分別被塗佈於磁性基底層1142之相對側上。如上所述,磁層114中之每一者彼此堆疊,使得磁層114之黏合層1140連接至另一磁層114之釋放層1144,從而使得在磁層114彼此連接之後容易將磁層114展開。以此方式,多層磁膜110形成為伸展釋放膜,因為多層磁膜110之磁層114具有強保持力以及清潔移除且無表面損壞。亦即,可在不損壞另一磁層114之表面的情況下自另一磁層114之表面乾淨地移除磁層114。在一些實施例中,磁性基底層1142由塑膠、橡膠或樹脂材料與可磁化材料之混合物製成。在一些實施例中,釋放層1144可包括聚矽氧釋放組合物及微膠囊化材料之膠囊,此微膠囊化材料之膠囊可藉由將微膠囊化材料與聚矽氧釋放組合物混合來製備。
在一些實施例中,磁性基底層1142包括磁性填料P2且因此具有順磁性材料之性質。因此,當電被施加至電磁結構(例如,第11圖中之遮罩台210)時,在磁性基底層1142與電磁結構之間產生了吸引力,從而將多層磁膜110夾持在電磁結構上。在一些實施例中,磁性填料P2可包括順磁性材料,諸如鉭(Ta)、鈮(Nb)及釩(V)。
參考第3圖。反射性多層(muitilayer;ML)120形成於基板100之第二側100B上。根據菲涅爾等式,當光在兩種不同折射率之材料之間的界面上傳播時,發生光反射。當折射率差較大時,光反射較大。為了增加光反射,亦可藉由形成多層交替材料來增加界面之數目,且藉由為多層 內之每個層選擇合適厚度而使得自不同界面反射之光相長干涉。然而,多層所用之材料的吸收限制了可達成之最高發射率。反射性多層120包括複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如,在每一膜對中鉬層在矽層上方或下方)。或者,反射性多層120可包括鉬-鈹(Mo/Be)膜對,或具有大折射率差及小消光係數之任何兩種材料或材料組合。反射性多層120之每一層的厚度取決於入射光之波長及遮罩上之入射角。對於指定入射角,調整反射性多層120之厚度以達成對在反射性多層120之不同界面處反射之光的最大相長干涉。在一些實施例中,反射性多層120之膜對的數目在約20至約80之範圍中,然而,任何數目之膜對皆為可能的。在一些實施例中,反射性多層120包括四十對Mo/Si層。每一Mo/Si膜對具有約7nm之厚度,例如Mo為3nm且Si為4nm。在本揭示案之一些實施例中,可達成約70%之反射率。
將封蓋層130安置在反射性多層120上。在所描繪之實施例中,封蓋層130可包括含矽材料,諸如矽。舉例而言,封蓋層130為反射性多層120之最頂Mo/Si膜對之矽層。封蓋層130可防止例如在遮罩之處理期間反射性多層120之氧化。封蓋層130可因此包括防止反射性多層120氧化的除含矽材料以外之材料。在一些實施例中,封蓋層130具有約4nm至約7nm之厚度。
將緩衝層140安置在封蓋層130上。緩衝層140包含在遮罩之處理期間(例如,在遮罩之吸收層的蝕刻期間)保護反射性多層120的材料。在所描繪之實施例中,緩衝層 140包含諸如Ru、RuNb、RuZr、RuMo、RuY、RuB、RuTi、RuLa之含釕材料、其他含釕材料,或其組合。或者,緩衝層140包含諸如Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN之含鉻材料、其他含鉻材料,或其組合。在又一替代實施例中,緩衝層140包含除含釕材料及含鉻材料以外之材料。緩衝層140可包含含釕材料、含鉻材料及其他材料之組合,例如在緩衝層140包括多個層的情況下。在一個實例中,緩衝層140具有約2nm至約5nm之厚度。應注意,在替代實施例中,封蓋層130及緩衝層140可為單一層。
將吸收層150安置在緩衝層140上。吸收層150包括經設計以吸收投射至遮罩上之輻射類型/波長範圍中之輻射的一或多個層。在所描繪之實施例中,吸收層150之一或多個層經設計以吸收EUV輻射。此一或多個層包含各種材料,諸如含鉭材料(例如,Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaB-SiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含鉭材料或其組合)、含鉻材料(例如,Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含鉻材料或其組合)、含鈦材料(例如,Ti、TiN、其他含鈦材料或其組合)、其他合適材料,或其組合。選擇一或多個層之配置(諸如,一或多個層的材料組成)以在遮罩100之製造期間提供製程靈活性。舉例而言,吸收層150之一或多個層之蝕刻特性提供了製程靈活性,此可減少製造時間及成本。
根據以上描述,可藉由各種方法形成反射性多層120、封蓋層130、緩衝層140及吸收層150中之一或多者,包括物理氣相沉積(PVD)製程,諸如蒸發及DC磁控濺射;鍍敷製程,諸如無電鍍敷或電鍍;化學氣相沉積(CVD)製程,諸如常壓CVD(APCVD)、低壓CVD(LPCVD)、電漿增強CVD(PECVD)或高密度電漿CVD(HDP CVD);離子束沉積;旋塗;金屬有機分解(MOD);及/或其他合適方法。
參考第4圖。第一光阻劑層M1形成於吸收層150上。在一些實施例中,第一光阻劑層M1可為雷射束抗蝕劑,且可藉由諸如旋塗之合適方法形成光阻劑層。接著,基於接收到之設計佈局來圖案化第一光阻劑層M1。在一些實施例中,使用電子束(e-beam)直寫機來圖案化第一光阻劑層M1。可與電子束寫入結合使用或作為電子束寫入之替代的其他圖案化技術包括離子束寫入以及遮罩及無遮罩光微影。另外,可使用任何其他合適的圖案化技術。對光阻劑層進行圖案化可包括軟烘烤、遮罩對準、曝光、曝光後烘烤、使光阻劑顯影、清洗、乾燥(例如,硬烘烤)、其他合適製程及/或其組合。
參考第5圖。對吸收層150進行圖案化。在形成第一光阻劑層M1之一些實施例中,使用第一光阻劑層M1作為遮罩來圖案化吸收層150以在其中形成複數個凹槽R1。此製程可稱作圖案轉印,因為第一光阻劑層M1之圖案被轉印至吸收層150。在一些實施例中,對吸收層150進行 圖案化包括一或多個蝕刻製程以選擇性地移除吸收層150之部分直至緩衝層140被曝光為止。蝕刻製程可包括乾蝕刻、濕法蝕刻,及/或其他蝕刻方法。
參考第6圖。自吸收層150剝離第一光阻劑層M1(參見第7圖)。參考第7圖。第二光阻劑層M2形成於吸收層150上。第二光阻劑層M2可為正型抗蝕劑或負型抗蝕劑。第二光阻劑層M2可為電子束抗蝕劑。接著,使用包括電子束(e-beam)寫入、離子束寫入、光微影及/或無遮罩光微影之合適方法來圖案化第二光阻劑層M2。圖案化第二光阻劑層M2可進一步包括軟烘烤、遮罩對準、曝光、曝光後烘烤、使光阻劑顯影、清洗、乾燥(例如,硬烘烤)、其他合適製程及/或其組合。
參考第8圖。圖案化吸收層150、緩衝層140、封蓋層130及反射性多層120以在其中形成複數個凹槽R2。在一些實施例中,使用第二光阻劑層M2來圖案化吸收層150、緩衝層140、封蓋層130及反射性多層120直至基板100被曝光為止。此製程可稱作圖案轉印。可以經由多步蝕刻製程或單步蝕刻製程來圖案化吸收層150、緩衝層140、封蓋層130及反射性多層120。在例示性單步蝕刻製程中,使用諸如CF4、SF6、NF3及/或任何其他合適氣體之含氟氣體化學物質執行乾蝕刻。在一些其他實施例中,可控制圖案化製程以使得凹槽R2可延伸至反射性多層120中但不曝光基板100。
參考第9圖。自吸收層150剝離第二光阻劑層M2(參見第10圖)。因此,形成遮罩200。在一些實施例中,遮罩200包括遮罩圖像區域152及圍繞遮罩圖像區域152之黑色邊界區域154。在操作期間,遮罩200用以將遮罩圖像區域152之圖案轉印至晶圓。舉例而言,在曝光製程期間,光(輻射)投影至遮罩200上,且光之部分被透射至晶圓,藉以將遮罩圖像區域152之圖案轉印至晶圓。
在一些實施例中,遮罩200之遮罩圖像區域152由形成於吸收層150中之凹槽R1限定,其中凹槽R1使緩衝層140之頂表面曝光。另一方面,遮罩200之黑色邊界區域154由形成在吸收層150、緩衝層140、封蓋層130及反射性多層120中之凹槽R2限定。在第9圖中所圖示之一些實施例中,凹槽R2延伸至反射性多層120中且使基板100之頂表面曝光。亦即,凹槽R2比凹槽R1深。
在遮罩之曝光製程期間,曝光之光可洩漏至鄰近區域。此光洩漏可歸因於光衍射現象、遮罩相對於晶圓之位置精度、遮罩相對於曝光工具之位置精度、其他現象,或其組合。舉例而言,光洩漏可由遮罩相對於曝光工具(諸如,步進器或掃描器)之位置精度引起。舉例而言,對於每一曝光製程而言,曝光工具限定遮罩200之部分以在此部分上進行曝光。曝光工具之曝光狹縫(在一個實例中,由曝光工具之刀片限定)可以限定遮罩200之將曝光的部分。理想地,光使遮罩200之遮罩圖像區域152曝光。然而,通常曝光狹縫使遮罩200之在遮罩圖像區域152之外的區域曝光。在本 揭示案之一些實施例中,遮罩200之遮罩黑色邊界區域154表示遮罩200之在遮罩圖像區域152外部的區域,此區域將被曝光(換言之,遮罩200之在遮罩圖像區域外部之未被曝光工具覆蓋的部分)。在此,遮罩200之遮罩黑色邊界區域154鄰近遮罩圖像區域152。因為遮罩200之遮罩黑色邊界區域154在曝光製程期間曝光,所以遮罩黑色邊界區域154非期望地將光之部分透射至晶圓,導致一些區域接收雙重曝光且場之角落接收四重曝光。為了彌補此光洩漏,使遮罩200之遮罩黑色邊界區域154的反射率最小化以減少此非期望曝光。在一個實例中,遮罩200之遮罩黑色邊界區域154處的反射率小於或等於約0.3%。舉例而言,遮罩黑色邊界區域154之輪廓經配置以允許輻射經由凹槽R2透射至遮罩200之更深的結構,諸如基板100。在一些實施例中,凹槽R2使由低熱膨脹材料製成之基板100曝光。因為低熱膨脹材料具有可吸收入射輻射之性質,所以黑色邊界區域154之反射率可以相應地降低。
在第1圖至第9圖中所圖示之一些實施例中,在形成反射性多層120之前在基板100上形成多層磁膜110。然而,在一些其他實施例中,在形成反射性多層120、封蓋層130,緩衝層140及吸收層150之後,可在基板100上形成多層磁膜110。
第10圖為根據本揭示案之一些實施例的用於操作遮罩之方法。第11圖至第13圖為根據本揭露之一些實施例之處於操作之各種階段的遮罩。
參考第10圖及第11圖。方法1000開始於操作1002,此處將遮罩夾持在遮罩台上。如第11圖中所圖示,將遮罩200夾持在微影系統(例如,第12圖中之微影系統20)之遮罩台210上。遮罩200與第1圖至第9圖中所述之彼些遮罩相同,且因此為了簡化起見而省略相關結構細節。遮罩台210經配置以緊固遮罩200,其中遮罩200之多層磁膜110連接至遮罩台210之表面。
在一些實施例中,遮罩台210包括靜電卡盤(e-chuck)以緊固遮罩200。在半導體製造製程中,遮罩台210之靜電卡盤可用以保持遮罩200以進行處理微影製程。靜電卡盤在真空處理環境中有用,在真空處理環境中沒有足夠的壓差來使用真空卡盤保持基板。在一些實施例中,靜電卡盤包括由適用於被緊固在處理腔室中之支撐件支撐的靜電構件。靜電構件包括電絕緣之電極。電連接器將電極電連接至處理腔室中之電壓供應源。如第2A圖至第2C圖中所提及,多層磁膜110可包括磁性填料且因此具有順磁性材料之性質。因而,當電極相對於保持在遮罩台210上之遮罩200電偏置時,在多層磁膜110與遮罩台210之間產生吸引力,以便將遮罩200夾持在遮罩台210上。
參考第10圖及第12圖。方法1000進行至操作1004,此處執行微影製程。在第12圖中,圖示了微影系統20。微影系統20亦可統稱作掃描器,其可操作以使用各別輻射源及曝光模式來執行微影曝光製程。在一些實施例中,微影系統20為經設計以藉由EUV光來曝光抗蝕劑層之極紫 外線(EUV)微影系統。抗蝕劑層為對EUV光敏感之材料。EUV微影系統20採用輻射源212產生EUV光,諸如波長範圍在約1nm與約100nm之間的EUV光。舉例而言,輻射源212產生具有以約13.5nm為中心之波長的EUV光。因此,輻射源212亦稱作EUV輻射源212。微影系統20亦包括經配置以緊固遮罩200之遮罩台210,如第11圖中所描述。
微影系統20亦採用照明器214。在各種實施例中,照明器214包括各種折射光學部件,諸如單個透鏡或具有多個透鏡(區板)之透鏡系統,或者反射性光學元件(用於EUV微影系統),諸如單個鏡子或具有多個鏡子之鏡子系統,以便將來自輻射源212之光導向至遮罩台210上,尤其是導向至緊固於遮罩台210上之遮罩200。在輻射源212產生在EUV波長範圍中之光的本實施例中,照明器214採用反射性光學元件。在一些實施例中,照明器214包括偶極照明部件。
在一些實施例中,照明器214可操作以配置鏡子以便為遮罩200提供適當照明。在一個實例中,照明器214之鏡子可切換以將EUV光反射至不同照明位置。在一些實施例中,在照明器214之前的台可另外包括其他可切換鏡子,其可控制以使用照明器214之鏡子將EUV光導向至不同照明位置。在一些實施例中,照明器214經配置以為遮罩200提供軸上照明(ONI)。在一個實例中,採用具有至多為0.3之部分相干σ的圓盤照明器214。在一些其他實施例中,照明器214經配置以為遮罩200提供軸外照明(OAI)。在一個 實例中,照明器214為偶極照明器。在一些實施例中,偶極照明器具有至多為0.3之部分相干σ。
微影系統20亦包括投影光學模組(或投影光學盒(projection optics box;POB))220以將遮罩200之圖案成像至緊固於微影系統20之基板台228上的半導體晶圓226上。在各種實施例中,投影光學盒220具有折射性光學元件(諸如,用於UV微影系統)或者反射性光學元件(諸如,用於EUV微影系統)。由投影光學盒220收集自遮罩200導向之光,此光攜帶在遮罩上限定之圖案的圖像。照明器214及投影光學盒220共同稱作微影系統20之光學模組。
微影系統20亦包括光瞳相位調制器222以調制自遮罩200導向之光的光學相位,使得光具有在投影光瞳平面224上之相位分佈。在光學模組中,存在具有對應於物件(在此情形下為遮罩200)之傅立葉變換之場分佈的平面。此平面稱作投影光瞳平面。光瞳相位調制器222提供調制光在投影光瞳平面224上之光學相位的機構。在一些實施例中,瞳孔相位調制器222包括調制投影光學盒220之反射鏡以進行相位調制之機構。舉例而言,投影光學盒220之鏡子可切換且經控制以反射EUV光,藉以調制經過投影光學盒220之光之相位。
在一些實施例中,光瞳相位調制器222利用置放於投影光瞳平面上之光瞳濾光器。光瞳濾光器過濾掉來自遮罩210之EUV光的特定空間頻率分量。特定而言,光瞳濾光器為相位光瞳濾光器,其用以調制被導向經過投影光學盒 220之光的相位分佈。然而,利用相位光瞳濾光器在一些微影系統(諸如,EUV微影系統)中受限制,因為所有材料皆吸收EUV光。
如上所述,微影系統20亦包括基板台228以緊固待圖案化之半導體晶圓226,諸如半導體基板。在一些實施例中,半導體晶圓為半導體基板,諸如,矽基板或其他類型之基板。半導體晶圓226塗佈有對諸如本實施例中之EUV光之輻射束敏感的抗蝕劑層。藉由操作微影系統20以曝光塗佈在晶圓226上之抗蝕劑層來執行微影製程。當已曝光之抗蝕劑層進一步顯影時,各種開口形成於抗蝕劑層中,導致經圖案化之抗蝕劑層。隨後使用經圖案化之抗蝕劑層來蝕刻晶圓226,以便在晶圓226上形成具有設計之IC圖案的材料層,藉以在其中或其上形成用於積體電路之特徵。可逐層地重複此製程從而在晶圓226上形成多個材料層。
包括上述之彼些部件的各種部件整合在一起且可操作以執行微影曝光製程。微影系統20可進一步包括其他模組或與其他模組整合在一起(或可與其他模組耦接)。
參考第10圖。方法1000進行至操作1006,此處在執行了微影製程之後自遮罩台移除遮罩。在一些實施例中,若遮罩200可重複使用,則遮罩200可自遮罩台210被移除且可被儲存直至意欲執行另一微影製程為止。
應注意,當遮罩200隨後移動至遮罩台210或自遮罩台210移開時,微粒可存在於遮罩200之界面與遮罩台210之間。微粒可能劃傷或鑿刻遮罩200之表面並最終導致 表面破裂以及磨損遮罩200之表面的平坦度。舉例而言,在長時間使用之後,連接至遮罩台210之遮罩200之多層磁膜110的表面可能被磨損,使得多層磁膜110的最外磁層111之表面(亦即,表面層)可具有呈現於其上的污染,諸如,劃痕、簇、凹痕或微粒。此些缺陷可不利地影響遮罩200與遮罩台210之間的附接,且因此影響光微影製程之所得圖案。
在將執行另一微影製程之前,方法1000藉由將遮罩移動至檢查系統並檢查遮罩之多層磁膜之表面狀況而進行至操作1008。參考第13圖,圖示了微影系統20、檢查系統30及清潔系統40,其中微影系統20類似於第12圖中所描述之微影系統20或與之相同。在使用微影系統20執行微影製程之後,將遮罩200自微影系統20移動至檢查系統30。其後,使用檢查系統30來檢查遮罩200之多層磁膜110的表面狀況。舉例而言,檢查遮罩200之多層磁膜110的最外磁層111,以確認最外磁層111上之微粒的數目是否超過預定值。
在第14圖中圖示一個例示性之遮罩檢查系統。例示性遮罩檢查系統30包括通道302,其包括顯微鏡物鏡304、光瞳濾光器306、投影光學系統(例如,投影透鏡)308,及偵測器310。自光源320產生之輻射(例如,雷射)束312照射遮罩200之最外磁層111。光瞳濾光器306用以阻擋由於遮罩200之磁層111之圖案造成的光散射。處理單元316可用以基於遮罩200之磁層111的圖案來控制光瞳濾光 器306之濾光。因此,濾光器306經提供作為在相對於遮罩200之磁層111之光瞳平面內的空間濾光器且與遮罩200之磁層111之圖案相關聯,以便過濾掉來自被散射輻射之輻射。偵測器310偵測由投影光學系統308透射之輻射的小部分以偵測磁層111上之微粒。因此,處理單元316基於自偵測器310產生之偵測結果來計數微粒之數目。在一些實施例中,處理單元316為電腦中之中心處理單元(CPU)或其類似者。
返回參考第10圖,方法1000進行至操作1010,確認遮罩之多層磁膜之表面狀況是否可接受。舉例而言,處理單元316可確認微粒數目之已計數數目是否超過閾值。閾值可為儲存於與處理單元316相關聯之記憶體中的預定資料。若微粒數目之已計數數目不超過閾值,則可將多層磁膜之表面狀況確認為可接受的。相反,若微粒數目之已計數數目超過閾值,則可將多層磁膜之表面狀況確認為不可接受的。
若微粒之已計數數目不超過閾值(亦即,多層磁膜110之最外磁層111的表面狀況被確認為可接受的),則方法1000接著返回至操作1002並繼續進行操作1002至1008。亦即,將遮罩200移動回微影系統20且夾持在遮罩台210上,且接著執行另一微影製程。在執行此微影製程之後,自遮罩台210移除遮罩200。製程細節類似於第10圖至第12圖中所描述之彼些細節。
然而,若微粒之已計數數目超過閾值(亦即,多層磁膜110之最外磁層111的表面狀況被確認為不可接受的),則方法1000進行到操作1012,將遮罩移動至清潔系統並清潔遮罩。參考第13圖,將遮罩200自檢查系統30移動至清潔系統40,且接著清潔遮罩200。舉例而言,在第15圖中,圖示了清潔系統40之實例。清潔系統40包括具有氣體出口之清洗裝置410。在一些實施例中,氣體出口經定向而朝向遮罩200之最外磁層111的表面,清洗裝置410之氣體出口可因此朝向遮罩200提供氣體,以便將微粒吹離遮罩200。在一些其他實施例中,清潔可包括使用洗滌器執行之清潔製程。舉例而言,洗滌器可為刷子洗滌器,其包括用以自遮罩200之最外磁層111的表面移除微粒的鬃刷(例如,尼龍鬃刷)。
在清潔遮罩200之最外磁層111的表面之後,方法1000進行至操作1014,將遮罩移動至檢查系統並檢查遮罩之多層磁膜之表面狀況。舉例而言,可將遮罩200從清潔系統40移動返回至檢查系統30。其後,遮罩200經歷如先前所述之使用檢查系統30之檢查製程。舉例而言,檢查系統30可對遮罩200上之微粒數目進行計數。操作1014類似於操作1008,且為了簡要起見不再重複操作1014之詳細描述。
接著,方法1000進行至操作1016,確認遮罩之多層磁膜之表面狀況是否可接受。舉例而言,檢查系統可確 認微粒之已計數數目是否超過閾值。操作1016類似於操作1010,且為了簡要起見不再重複操作1016之詳細描述。
若微粒之已計數數目不超過閾值(亦即,多層磁膜110之最外磁層111的表面狀況被確認為可接受的),則方法1000接著返回至操作1002並繼續進行操作1002至1008。亦即,將遮罩200移動回微影系統20且夾持在遮罩台210上,且接著執行另一微影製程。在執行此微影製程之後,自遮罩台210移除遮罩200。製程細節類似於第10圖至第12圖中所描述之彼些細節。
然而,若微粒之已計數數目超過閾值(亦即,多層磁膜110之最外磁層111的表面狀況仍被確認為不可接受的),則方法1000進行到操作1018,此處遮罩之多層磁膜之表面層被剝離,如以下參考第16圖更詳細地論述。
參考第16圖。如第2A圖至第2C圖中所提及,第2A圖之磁層111(或第2A圖及第2C圖中之磁層112及114)為伸展釋放膜。亦即,可在不損壞下一磁層111之表面的情況下自下一磁層111之表面乾淨地移除最外磁層111。在一些實施例中,可手動地將最外磁層111剝離。在移除最外磁層111之後,後續磁層111之表面曝光。因為在先前製程期間此曝光表面受已移除之磁層111保護,所以曝光表面大體上新且平坦。
接著,可相應地執行操作1002至1004。亦即,將更新之遮罩200夾持在遮罩台210上,且執行另一微影製程。在此微影製程之後,自遮罩台210移除遮罩200。製程 細節類似於第10圖至第13圖中所描述之彼些細節。如前所述,藉由移除已磨損之磁層111來更新遮罩200。以此方式,遮罩200之壽命可延長,且進一步節省了遮罩成本。另外,因為遮罩200可經更新以具有平坦表面,所以可改良調平控制,此繼而將促進製程效能。
根據前述實施例,可見,本揭示案提供了製造半導體元件方面之優勢。然而,應理解,其他實施例可提供額外優勢,本文中未必揭示了所有優勢,且無特定優勢對所有實施例而言為必需。一個優勢在於,多層磁膜形成於遮罩之基板上,且因此可自遮罩移除多層磁膜之已磨損膜,藉以延長遮罩之壽命並節省遮罩成本。此外,可藉由移除已磨損膜以曝光後續膜之平坦表面來更新遮罩,所以可改良調平控制,此繼而將促進製程效能。
在本揭示案之一些實施例中,一種方法包括將遮罩夾持在遮罩台上,其中遮罩包括多層磁膜;藉由遮罩來執行第一微影製程;將遮罩自遮罩台移開;以及確認多層薄膜之表面層之表面狀況是否可接受;以及當表面層之表面狀況被確認為不可接受時自多層磁膜剝離多層磁膜之表面層。
在部分實施例中,此方法進一步包含在剝離多層磁膜之表面層之後,將遮罩夾回遮罩台;以及在將遮罩夾回遮罩台之後,執行第二微影製程。
在部分實施例中,其中執行剝離多層磁膜之表面層以使得多層磁膜之另一層之平坦表面曝露;以及將遮罩 夾回遮罩台包含將多層磁膜之另一層之平坦表面連接至遮罩台。
在部分實施例中,此方法進一步包含當表面層之表面狀況可接受時,將該遮罩夾回遮罩台;以及在將遮罩夾回遮罩台之後,執行第二微影製程。
在部分實施例中,其中剝離多層磁膜之表面層是手動地執行。
在部分實施例中,其中將遮罩夾持在遮罩台上包含在遮罩台之靜電卡盤與遮罩一多層磁膜之磁性材料之間產生吸引力。
在部分實施例中,其中剝離多層磁膜之表面層包含自多層磁膜之另一層的釋放層卸除多層磁膜之表面層的黏合層。
在本揭示案之一些實施例中,一種方法包括將第一磁層附接至基板上;將第二磁層附接至第一磁層上;在基板上形成反射性多層;在反射性多層上形成吸收層;以及圖案化吸收層。
在部分實施例中,其中在圖案化該吸收層之後,第一磁層及第二磁層保持大體完整。
在部分實施例中,其中該基板包含第一側及與第一側相對之第二側,且膜形成於第一側上,且反射性多層及吸收層形成於第二側上。
在部分實施例中,其中第一磁層及第二磁性材料是由同一材料形成。
在部分實施例中,其中第一磁層經由由第一磁層之黏合層所提供的黏合力附接至基板。
在部分實施例中,其中將第二磁層附接於第一磁層上包含將第二磁層之黏合層連接至第一磁層之釋放層。
在部分實施例中,此方法進一步包含圖案化吸收層及反射性多層以在其中形成複數個凹槽,其中凹槽曝露基板。
在本揭示案之一些實施例中,一種遮罩包括基板、多層磁膜、反射性多層,及經圖案化之吸收層。多層磁膜安置於基板上,其中多層磁膜包括複數個磁層。基板在多層磁膜與反射性多層之間。反射性多層在經圖案化之吸收層與基板之間。
在部分實施例中,其中磁層為可卸除的。
在部分實施例中,其中磁層中之每一者包含黏合層、基底層及釋放層,且黏合層及釋放層安置於基底層之相對側上。
在部分實施例中,其中磁層中之一者的黏合層連接至磁層之另一者的釋放層。
在部分實施例中,其中膜之黏合層包含磁層材料。
在部分實施例中,其中膜之基底層包含磁層材料。
前文概述了若干實施例之特徵,使得熟習此項技術者可較佳理解本揭露之態樣。熟習此項技術者應瞭解, 他們可容易地使用本揭露作為設計或修改用於實現相同目的及/或達成本文中所介紹之實施例之相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下對本文進行各種改變、代替及替換。
100:基板
110:多層磁膜/多層薄膜
111:磁層/表面層
120:反射性多層
130:封蓋層
140:緩衝層
150:吸收層
200:遮罩

Claims (10)

  1. 一種遮罩的使用方法,包含:將一遮罩夾持在一遮罩台上,其中該遮罩包含一多層磁膜;藉由該遮罩來執行一第一微影製程;將該遮罩自該遮罩台移開;確認該多層磁膜之一表面層之一表面狀況是否可接受;以及當該表面層之該表面狀況被確認為不可接受時自該多層磁膜剝離該多層磁膜之該表面層。
  2. 如請求項1所述之方法,進一步包含:在剝離該多層磁膜之該表面層之後,將該遮罩夾回該遮罩台;以及在將該遮罩夾回該遮罩台之後,執行一第二微影製程。
  3. 如請求項2所述之方法,其中:執行剝離該多層磁膜之該表面層以使得該多層磁膜之另一層之一平坦表面曝露;以及將該遮罩夾回該遮罩台包含將該多層磁膜之該另一層之該平坦表面連接至該遮罩台。
  4. 如請求項1所述之方法,其中剝離該多層磁膜之該表面層包含自該多層磁膜之另一層的一釋放層卸除該多層磁膜之該表面層的一黏合層。
  5. 一種遮罩的製造方法,包含:將一第一磁層附接至一基板上;將一第二磁層附接至該第一磁層上;在該基板上形成一反射性多層;在該反射性多層上形成一吸收層;以及圖案化該吸收層。
  6. 如請求項5所述之方法,其中該第一磁層經由一由該第一磁層之一黏合層所提供的黏合力附接至該基板。
  7. 如請求項5所述之方法,其中將該第二磁層附接於該第一磁層上包含將第二磁層之一黏合層連接至該第一磁層之一釋放層。
  8. 一種遮罩,包含:一基板;一多層磁膜,該多層磁膜安置於該基板上,其中該多層磁膜包含複數個磁層;一反射性多層,其中該基板在該多層磁膜與該反射性多層之間;以及一經圖案化之吸收層,其中該反射性多層在該經圖案化之吸收層與該基板之間。
  9. 如請求項8所述之遮罩,其中該等磁層中之每一者包含一黏合層、一基底層及一釋放層,且該黏合層及該釋放層安置於該基底層之相對側上。
  10. 如請求項9所述之遮罩,其中該等磁層中之一者的該黏合層連接至該等磁層之另一者的該釋放層。
TW108114023A 2018-08-14 2019-04-22 遮罩及其製造方法和使用方法 TWI703403B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862718395P 2018-08-14 2018-08-14
US62/718,395 2018-08-14
US16/212,442 US11137675B2 (en) 2018-08-14 2018-12-06 Mask and method for forming the same
US16/212,442 2018-12-06

Publications (2)

Publication Number Publication Date
TW202009596A TW202009596A (zh) 2020-03-01
TWI703403B true TWI703403B (zh) 2020-09-01

Family

ID=69524017

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108114023A TWI703403B (zh) 2018-08-14 2019-04-22 遮罩及其製造方法和使用方法

Country Status (3)

Country Link
US (1) US11137675B2 (zh)
CN (1) CN110824853B (zh)
TW (1) TWI703403B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787966B (zh) * 2020-10-16 2022-12-21 台灣積體電路製造股份有限公司 極紫外光遮罩與其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201409537A (zh) * 2012-08-13 2014-03-01 Applied Materials Inc 控制極紫外光微影(euvl)光罩基板之缺陷的方法
TWI611998B (zh) * 2015-10-01 2018-01-21 因特瓦克公司 製造基板所用的晶圓承載板與光罩配置
WO2018135468A1 (ja) * 2017-01-17 2018-07-26 Hoya株式会社 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6249932B1 (en) * 1999-01-29 2001-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for removing fine particles
JP3768786B2 (ja) * 2000-08-15 2006-04-19 株式会社ルネサステクノロジ ホトマスクの製造方法、ホトマスクブランクスの製造方法およびホトマスクの再生方法
US7407729B2 (en) * 2004-08-05 2008-08-05 Infineon Technologies Ag EUV magnetic contrast lithography mask and manufacture thereof
US20060130886A1 (en) * 2004-12-22 2006-06-22 Taiyo Nippon Sanso Corporation Method and apparatus for manufacturing cleaning material and cleaning system using the same
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP5659086B2 (ja) * 2011-05-30 2015-01-28 株式会社東芝 反射型マスクの欠陥修正方法
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8663878B2 (en) 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
CN103579531B (zh) 2012-08-03 2016-01-27 西安文景光电科技有限公司 在聚合物基材表面形成可以剥离的弹性体掩模板的方法
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN105220110A (zh) 2015-10-20 2016-01-06 昆山允升吉光电科技有限公司 一种蒸镀用复合磁性掩模板的制作方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201409537A (zh) * 2012-08-13 2014-03-01 Applied Materials Inc 控制極紫外光微影(euvl)光罩基板之缺陷的方法
TWI611998B (zh) * 2015-10-01 2018-01-21 因特瓦克公司 製造基板所用的晶圓承載板與光罩配置
WO2018135468A1 (ja) * 2017-01-17 2018-07-26 Hoya株式会社 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787966B (zh) * 2020-10-16 2022-12-21 台灣積體電路製造股份有限公司 極紫外光遮罩與其形成方法

Also Published As

Publication number Publication date
US11137675B2 (en) 2021-10-05
TW202009596A (zh) 2020-03-01
CN110824853A (zh) 2020-02-21
CN110824853B (zh) 2021-10-26
US20200057365A1 (en) 2020-02-20

Similar Documents

Publication Publication Date Title
US9760015B2 (en) Extreme ultraviolet lithography process
US9618837B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9116435B2 (en) Extreme ultraviolet lithography mask
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
US8628897B1 (en) Extreme ultraviolet lithography process and mask
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US9377696B2 (en) Extreme ultraviolet lithography process and mask
KR101722855B1 (ko) Euv 마스크용 펠리클 및 그 제조
US9304390B2 (en) Extreme ultraviolet lithography process and mask
TWI703403B (zh) 遮罩及其製造方法和使用方法
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US20200348586A1 (en) Method and apparatus for collecting information used in image-error compensation
TWI820754B (zh) 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
TWI787966B (zh) 極紫外光遮罩與其形成方法
US9690186B2 (en) Extreme ultraviolet lithography process and mask
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
TW202422207A (zh) 反射光罩及其製造方法